JP2024012132A - 光活性化合物、それを含むフォトレジスト組成物及びパターン形成方法 - Google Patents

光活性化合物、それを含むフォトレジスト組成物及びパターン形成方法 Download PDF

Info

Publication number
JP2024012132A
JP2024012132A JP2023111332A JP2023111332A JP2024012132A JP 2024012132 A JP2024012132 A JP 2024012132A JP 2023111332 A JP2023111332 A JP 2023111332A JP 2023111332 A JP2023111332 A JP 2023111332A JP 2024012132 A JP2024012132 A JP 2024012132A
Authority
JP
Japan
Prior art keywords
substituted
unsubstituted
ring
formula
groups
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023111332A
Other languages
English (en)
Inventor
アカッド イマッド
Aqad Emad
マランゴーニ トマス
Marangoni Thomas
ツェン インジェ
Yinjie Cen
ジェイ.ラボーム ポール
J Labeaume Paul
リー ミンチー
Mingqi Li
エフ.キャメロン ジェームズ
F Cameron James
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of JP2024012132A publication Critical patent/JP2024012132A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C25/00Compounds containing at least one halogen atom bound to a six-membered aromatic ring
    • C07C25/18Polycyclic aromatic halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C321/00Thiols, sulfides, hydropolysulfides or polysulfides
    • C07C321/24Thiols, sulfides, hydropolysulfides, or polysulfides having thio groups bound to carbon atoms of six-membered aromatic rings
    • C07C321/28Sulfides, hydropolysulfides, or polysulfides having thio groups bound to carbon atoms of six-membered aromatic rings
    • C07C321/30Sulfides having the sulfur atom of at least one thio group bound to two carbon atoms of six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/66Esters of carboxylic acids having esterified carboxylic groups bound to acyclic carbon atoms and having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety
    • C07C69/67Esters of carboxylic acids having esterified carboxylic groups bound to acyclic carbon atoms and having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety of saturated acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/02Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom condensed with one carbocyclic ring
    • C07D209/44Iso-indoles; Hydrogenated iso-indoles
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/02Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom condensed with one carbocyclic ring
    • C07D209/44Iso-indoles; Hydrogenated iso-indoles
    • C07D209/46Iso-indoles; Hydrogenated iso-indoles with an oxygen atom in position 1
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/56Ring systems containing three or more rings
    • C07D209/58[b]- or [c]-condensed
    • C07D209/62Naphtho [c] pyrroles; Hydrogenated naphtho [c] pyrroles
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D275/00Heterocyclic compounds containing 1,2-thiazole or hydrogenated 1,2-thiazole rings
    • C07D275/04Heterocyclic compounds containing 1,2-thiazole or hydrogenated 1,2-thiazole rings condensed with carbocyclic rings or ring systems
    • C07D275/06Heterocyclic compounds containing 1,2-thiazole or hydrogenated 1,2-thiazole rings condensed with carbocyclic rings or ring systems with hetero atoms directly attached to the ring sulfur atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/02Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings
    • C07D307/26Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member
    • C07D307/30Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D307/32Oxygen atoms
    • C07D307/33Oxygen atoms in position 2, the oxygen atom being in its keto or unsubstituted enol form
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D327/00Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms
    • C07D327/02Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms one oxygen atom and one sulfur atom
    • C07D327/06Six-membered rings
    • C07D327/08[b,e]-condensed with two six-membered carbocyclic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/50Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D333/76Dibenzothiophenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D335/00Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom
    • C07D335/04Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D335/10Dibenzothiopyrans; Hydrogenated dibenzothiopyrans
    • C07D335/12Thioxanthenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D335/00Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom
    • C07D335/04Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D335/10Dibenzothiopyrans; Hydrogenated dibenzothiopyrans
    • C07D335/12Thioxanthenes
    • C07D335/14Thioxanthenes with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached in position 9
    • C07D335/16Oxygen atoms, e.g. thioxanthones
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D339/00Heterocyclic compounds containing rings having two sulfur atoms as the only ring hetero atoms
    • C07D339/08Six-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D347/00Heterocyclic compounds containing rings having halogen atoms as ring hetero atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D405/00Heterocyclic compounds containing both one or more hetero rings having oxygen atoms as the only ring hetero atoms, and one or more rings having nitrogen as the only ring hetero atom
    • C07D405/02Heterocyclic compounds containing both one or more hetero rings having oxygen atoms as the only ring hetero atoms, and one or more rings having nitrogen as the only ring hetero atom containing two hetero rings
    • C07D405/04Heterocyclic compounds containing both one or more hetero rings having oxygen atoms as the only ring hetero atoms, and one or more rings having nitrogen as the only ring hetero atom containing two hetero rings directly linked by a ring-member-to-ring-member bond
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D417/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00
    • C07D417/02Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00 containing two hetero rings
    • C07D417/04Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00 containing two hetero rings directly linked by a ring-member-to-ring-member bond
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D417/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00
    • C07D417/02Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00 containing two hetero rings
    • C07D417/06Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for by group C07D415/00 containing two hetero rings linked by a carbon chain containing only aliphatic carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D495/00Heterocyclic compounds containing in the condensed system at least one hetero ring having sulfur atoms as the only ring hetero atoms
    • C07D495/02Heterocyclic compounds containing in the condensed system at least one hetero ring having sulfur atoms as the only ring hetero atoms in which the condensed system contains two hetero rings
    • C07D495/04Ortho-condensed systems
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D513/00Heterocyclic compounds containing in the condensed system at least one hetero ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for in groups C07D463/00, C07D477/00 or C07D499/00 - C07D507/00
    • C07D513/02Heterocyclic compounds containing in the condensed system at least one hetero ring having nitrogen and sulfur atoms as the only ring hetero atoms, not provided for in groups C07D463/00, C07D477/00 or C07D499/00 - C07D507/00 in which the condensed system contains two hetero rings
    • C07D513/04Ortho-condensed systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/06Systems containing only non-condensed rings with a five-membered ring
    • C07C2601/08Systems containing only non-condensed rings with a five-membered ring the ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/14The ring being saturated
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Plural Heterocyclic Compounds (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

【課題】光活性化合物、それを含むフォトレジスト組成物及びパターン形成方法の提供。【解決手段】有機カチオンと、下記式(1)で表されるアニオンとを含む光活性化合物。JPEG2024012132000037.jpg30170(Xは有機基であり;Y1及びY2は、独立して、水素ではない置換基であり;Y1とY2は、一緒に環を形成し;Z2は、水素、ハロゲン、C1~30アルキル、C3~30シクロアルキル、C3~30ヘテロシクロアルキル、C6~50アリール、C7~50アリールアルキル、C7~50アルキルアリール、C6~50アリールオキシ、C3~30ヘテロアリール、C4~30アルキルヘテロアリール、C4~30ヘテロアリールアルキル、又はC3~30ヘテロアリールオキシであり;Z2は、その構造の一部として1つ以上の二価連結基を更に含み;Z2とY1又はY2のうちの一方は、一緒に環を形成し;XとZ2は、一緒に環を形成し;XとY1又はY2のうちの一方は、一緒に環を形成する)【選択図】なし

Description

本発明は、フォトレジスト組成物のための光活性化合物及びそのようなフォトレジスト組成物を使用するパターン形成方法に関する。本発明は、半導体製造業界におけるリソグラフィー用途に適用性を見出す。
フォトレジスト材料は、半導体基板上に配置された金属、半導体又は誘電体層などの1つ以上の下層に画像を転写するために典型的に使用される感光性組成物である。半導体デバイスの集積密度を高める及びナノメートル範囲の寸法を有する構造の形成を可能にするために、高解像能力を有するフォトレジスト及びフォトリソグラフィー加工ツールが開発されてきたし、開発され続けている。
従来、化学増幅フォトレジストが高解像度処理のために使用されている。そのようなレジストは、典型的には、酸不安定基を有するポリマー、光酸発生剤及び酸失活材料を使用する。フォトマスクを通した活性化放射へのパターン様露光は、酸発生剤に酸を形成させ、それは、露光後ベーキング中、ポリマーの露光領域において酸不安定基の開裂を引き起こす。非露光領域への酸の拡散を制御してコントラストを改善するために、多くの場合、酸失活材料がフォトレジスト組成物に添加される。リソグラフィープロセスの結果は、現像液へのレジストの露光領域と非露光領域との間の溶解度特性の差の生成である。ポジ型現像(PTD)プロセスにおいて、フォトレジスト層の露光領域は、現像液に可溶性になり、基板表面から除去されるが、現像液に不溶性である非露光領域は、現像後に残ってポジ画像を形成する。結果として生じたレリーフ像は、基板の選択的な処理を可能にする。
化学増幅レジストで一般に使用される非光活性酸失活材料には、線状脂肪族アミン、環状脂肪族アミン、芳香族アミン、線状及び環状アミド並びにそれらの誘導体が含まれる。別のタイプの一般に使用される酸失活材料クラスは、光分解可能な失活剤又は光分解性失活剤として知られる光活性失活剤である。光活性失活剤は、化学増幅レジスト組成物にも使用されている。光分解可能な失活剤は、典型的には、光活オニウムカチオンとアニオンとを含む塩であって、アニオンが弱酸の共役塩基である、塩である。この塩は、露光前に塩基又は酸失活剤として機能する。露光時、光分解性失活剤のアニオン部分がプロトン化されることになり、したがってより酸性になる。そのため、光分解可能な失活剤を含む化学増幅レジストの照射時、露光部分における酸失活剤の濃度は、劇的に低下した。一方で、非露光部における無傷の光分解可能な失活剤は、リソグラフ処理中に露光部から拡散する酸分子を捕捉し、それによりリソグラフィー性能を高め得る。
光分解可能な失活剤を含むフォトレジスト組成物及びその使用は、当技術分野において記載されている。コントラストが優れた高解像度のライン-スペースフィーチャ及び/又は臨界寸法均一性(CDU)が改善されたコンタクト-ホール(CH)を提供することができる新しいフォトレジストが求められている。
米国特許第8,431,325号明細書 米国特許第4,189,323号明細書
有機カチオンと、式(1):

(式中、Xは有機基であり;Y及びYは、それぞれ独立して、水素ではない置換基であり;YとYは、一緒に任意選択的に環を形成し;Zは、水素、ハロゲン、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~50アリール、置換若しくは無置換C7~50アリールアルキル、置換若しくは無置換C7~50アルキルアリール、置換若しくは無置換C6~50アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシであり;Zは、任意選択的にその構造の一部として1つ以上の二価連結基を更に含み;ZとY又はYのうちの一方は、一緒に任意選択的に環を形成し;XとZは、一緒に任意選択的に環を形成し;XとY又はYのうちの一方は、一緒に任意選択的に環を形成する)で表されるアニオンとを含む光活性化合物が提供される。
また、光活性化合物とポリマーとを含むフォトレジスト組成物も提供される。
請求項9又は10に記載のフォトレジスト組成物の層を基板上に塗布して、フォトレジスト組成物層を得ること;フォトレジスト組成物層を活性化放射にパターン様露光して、露光されたフォトレジスト組成物層を得ること;及び露光されたフォトレジスト組成物層を現像すること;を含むパターン形成方法も提供される。
ここで、例示的な実施形態が詳細に言及され、それらの例が本説明で例示される。これに関連して、本例示的な実施形態は、異なる形態を有し得、本明細書に明記される記載に限定されると解釈されるべきではない。したがって、例示的な実施形態は、本説明の態様を記載するために、図に言及することによって以下に記載されるにすぎない。本明細書で用いる場合、用語「及び/又は」は、関連する列挙された項目の1つ以上の任意の及び全ての組み合わせを包含する。「少なくとも1つ」などの表現は、要素のリストに先行する場合、要素の全リストを修飾し、リストの個々の要素を修飾しない。
本明細書で用いる場合、用語「1つの(a)」、「1つの(an)」及び「その」は、量の制限を意味せず、本明細書で特に示さないか又は文脈によって明らかに矛盾しない限り、単数形及び複数形の両方を包含すると解釈されるべきである。「又は」は、特に明記しない限り、「及び/又は」を意味する。量に関連して用いられる修飾語句「約」は、表明値を含み、前後関係によって決定される意味を有する(例えば、特定の量の測定と関連したエラーの度合いを含む)。本明細書で開示される全ての範囲は、終点を含み、終点は、独立して、互いに合体できる。接尾辞「(s)」は、それが修飾する用語の単数形及び複数形の両方を含み、それによってその用語の少なくとも1つを含むことを意図する。「任意選択的な」又は「任意選択的に」は、その後に記載される事象又は状況が起こり得るか又は起こり得ないこと及びその記載は、事象が起こる場合及び事象が起こらない場合を含むことを意味する。用語「第1」、「第2」等は、本明細書では、順番、量又は重要性を意味せず、むしろ1つの要素を別の要素から区別するために用いられる。要素が別の要素「上」にあると言われる場合、それは、他の要素と直接に接触し得るか、又は介在要素がそれらの間に存在し得る。対照的に、要素が別の要素の「直接上に」あると言われる場合、介在要素は、存在しない。態様の記載される成分、要素、制限及び/又は特徴は、様々な態様では任意の好適な方法で組み合わされ得ることが理解されるべきである。
特に定義しない限り、本明細書で用いられる全ての用語(技術用語及び科学用語を含む)は、本発明が属する技術分野の当業者によって一般に理解されるものと同じ意味を有する。一般に使用される辞書において定義されるものなどの用語は、関連技術分野及び本開示との関連でそれらの意味と一致する意味を有すると解釈されるべきであり、本明細書で明確にそのように定義しない限り、理想的な意味又は過度に形式的な意味で解釈されないことが更に理解されるであろう。
本明細書で用いる場合、「化学線」又は「放射」は、例えば、水銀ランプの輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線(EUV光)、X線、電子ビーム及びイオンビームなどの粒子線等を意味する。更に、本発明において、「光」は、化学線又は放射を意味する。フッ化クリプトンレーザー(KrFレーザー)は、特定のタイプのエキシマレーザーであり、エキシプレックスレーザーと呼ばれる場合がある。「エキシマ」は、「励起二量体」の略であり、「エキシプレックス」は、「励起錯合体」の略である。エキシマレーザーは、希ガス(アルゴン、クリプトン又はキセノン)と、ハロゲンガス(フッ素又は塩素)との混合物を使用し、それは、電気刺激及び高圧の好適な条件下で、干渉性の刺激放射(レーザー光)を紫外範囲で放出する。更に、本明細書における「露光」には、特に明記しない限り、水銀ランプ、エキシマレーザーに代表される遠紫外線、X線、極紫外線(EUV光)等による露光のみならず、電子ビーム及びイオンビームなどの粒子線による書き込みも含まれる。
本明細書で用いる場合、「有機基」は、1つ以上の炭素原子、例えば1~60個の炭素原子を含む。用語「炭化水素」は、少なくとも1つの炭素原子と少なくとも1つの水素原子とを有する有機化合物又は有機基を指す。用語「アルキル」は、明記された数の炭素原子を有し、且つ1の価数を有する直鎖若しくは分岐鎖の飽和炭化水素基を指し;「アルキレン」は、2の価数を有するアルキル基を指し;「ヒドロキシアルキル」は、少なくとも1個のヒドロキシル基(-OH)で置換されたアルキル基を指し;「アルコキシ」は、「アルキル-O-」を指し;「カルボキシル」及び「カルボン酸基」は、式「-C(=O)-OH」を有する基を指し;「シクロアルキル」は、全ての環員が炭素である1つ以上の飽和環を有する一価基を指し;「シクロアルキレン」は、2の価数を有するシクロアルキル基を指し;「アルケニル」は、少なくとも1個の炭素-炭素二重結合を有する直鎖若しくは分岐鎖の、一価炭化水素基を指し;「アルケノキシ」は、「アルケニル-O-」を指し;「アルケニレン」は、2の価数を有するアルケニル基を指し;「シクロアルケニル」は、少なくとも1個の炭素-炭素二重結合を有する、少なくとも3個の炭素原子を有する非芳香族環状二価炭化水素基を指し;「アルキニル」は、少なくとも1個の炭素-炭素三重結合を有する一価炭化水素基を指し;用語「芳香族基」は、Huckel則を満たし、環中に炭素を含み、環中の炭素原子の代わりにN、O、及びSから選択される1個以上のヘテロ原子を任意選択的に含み得る単環式若しくは多環式の環系を指し;「アリール」は、全ての環員が炭素であり、且つ少なくとも1つのシクロアルキル又はヘテロシクロアルキル環に縮合した芳香環を有する基を含み得る一価の芳香族単環式若しくは多環式環系を指し;「アリーレン」は、2の価数を有するアリール基を指し;「アルキルアリール」は、アルキル基で置換されているアリール基を指し;「アリールアルキル」は、アリール基で置換されているアルキル基を指し;「アリールオキシ」は「アリール-O-」を指し;「アリールチオ」は「アリール-S-」を指す。
接頭辞「ヘテロ」は、化合物又は基が、炭素原子の代わりに、ヘテロ原子である少なくとも1つの構成原子(例えば、1、2、3、又は4個以上のヘテロ原子)を含むことを意味し、ここで、ヘテロ原子は、それぞれ独立して、N、O、S、Si、又はPであり;「ヘテロ原子含有基」は、少なくとも1個のヘテロ原子を含む置換基を指し;「ヘテロアルキル基」は、炭素の代わりに1~4個又はそれ以上のヘテロ原子を有するアルキル基を指し;「ヘテロシクロアルキル基」は、炭素の代わりに1~4個又はそれ以上のヘテロ原子を環員として有するシクロアルキル基を指し;「ヘテロシクロアルキレン基」は、2の価数を有するヘテロシクロアルキル基を指し;「ヘテロアリール基」は、炭素の代わりに1~4個又はそれ以上のヘテロ原子を環員として有するアリール基を指し;「ヘテロアリーレン基」は、2の価数を有するヘテロアリール基を指す。
明白に特に規定されない限り、前述の置換基のそれぞれは、任意選択的に置換され得る。例えば、基が置換されていること又は無置換であることが明示されずに列挙されている場合には、その基には置換基を有さない基と置換基を有する基の両方が含まれる。用語「任意選択的に置換される」は、置換又は無置換であることを指す。
「置換」は、指定された原子の正常な原子価を越えないことを条件として、化学構造の少なくとも1個の水素原子が典型的には一価である別の末端置換基で置換されていることを意味する。置換基がオキソ(すなわち=O)である場合、炭素原子上の2個のジェミナル水素原子が末端オキソ基で置換される。置換基又は変数の組み合わせが許容される。「置換」位置に存在し得る例示的な置換基には、ニトロ(-NO)、シアノ(-CN)、ヒドロキシル(-OH)、オキソ(=O)、アミノ(-NH)、モノ-若しくはジ-(C1~6)アルキルアミノ、アルカノイル(アシルなどのC2~6アルカノイル基など)、ホルミル(-C(=O)H)、カルボン酸又はそれのアルカリ金属塩若しくはアンモニウム塩;C2~6アルキルエステル(-C(=O)O-アルキル又は-OC(=O)-アルキル)及びC7~13アリールエステル(-C(=O)O-アリール又は-OC(=O)-アリール)などのエステル(アクリレート、メタクリレート及びラクトンを含む);アミド(-C(=O)NR(式中、Rは、水素又はC1~6アルキルである)、カルボキサミド(-CHC(=O)NR(式中、Rは、水素又はC1~6アルキルである)、ハロゲン、チオール(-SH)、C1~6アルキルチオ(-S-アルキル)、チオシアノ(-SCN)、C1~6アルキル、C2~6アルケニル、C2~6アルキニル、C1~6ハロアルキル、C1~9アルコキシ、C1~6ハロアルコキシ、C3~12シクロアルキル、C5~18シクロアルケニル、C2~18ヘテロシクロアルケニル、少なくとも1つの芳香環を有するC6~12アリール(例えば、各環が置換芳香族若しくは無置換芳香族のいずれかのフェニル、ビフェニル、ナフチル等)、1~3つの別個の環又は縮合環と、6~18個の環炭素原子とを有するC7~19アリールアルキル、1~3つの別個の環又は縮合環と、6~18個の環炭素原子とを有するアリールアルコキシ、C7~12アルキルアリール、C3~12ヘテロシクロアルキル、C3~12ヘテロアリール、C1~6アルキルスルホニル(-S(=O)-アルキル)、C6~12アリールスルホニル、(-S(=O)-アリール)又はトシル(CHSO-)が含まれるが、それらに限定されない。
用語「ハロゲン」は、フッ素(フルオロ)、塩素(クロロ)、臭素(ブロモ)又はヨウ素(ヨード)である一価置換基を意味する。接頭辞「ハロ」は、水素原子の代わりにフルオロ、クロロ、ブロモ又はヨード置換基の1つ以上を含む基を意味する。ハロ基(例えば、ブロモ及びフルオロ)の組み合わせ又はフルオロ基のみが存在し得る。例えば、用語「ハロアルキル」は、1つ以上のハロゲンで置換されたアルキル基を指す。本明細書で用いる場合、「置換C1~8ハロアルキル」は、少なくとも1つのハロゲンで置換されたC1~8アルキル基を指し、ハロゲンではない1つ以上の他の置換基で更に置換される。
本明細書で用いる場合、「酸不安定基」は、酸の触媒作用により、任意選択的に及び典型的には熱処理を伴って、結合が開裂し、カルボン酸基又はアルコール基などの極性基の形成をもたらす基を指し、ポリマー上に形成され、任意選択的に及び典型的には、開裂された結合に連結している部位は、ポリマーから切り離される。別の系では、非ポリマー系化合物は、酸の作用によって開裂され得る酸不安定基を含むことができ、非ポリマー系化合物の開裂した部分にカルボン酸基又はアルコール基などの極性基が形成される。そのような酸は、典型的には、露光後ベーク(PEB)中に結合開裂が起こる、光により生成する酸である。しかしながら、実施形態は、これに限定されず、例えば、そのような酸は、熱的に生成され得る。好適な酸不安定基としては、例えば、三級アルキルエステル基、二級若しくは三級アリールエステル基、アルキル基とアリール基との組み合わせを有する二級若しくは三級エステル基、三級アルコキシ基、アセタール基又はケタール基が含まれる。酸不安定基は、当技術分野において、「酸開裂可能基」、「酸開裂可能保護基」、「酸不安定保護基」、「酸脱離基」、「酸分解可能基」及び「酸感受性基」とも一般に言われる。
本明細書で用いる場合、定義が特に規定されない限り、「二価連結基」は、-O-、-S-、-Te-、-Se-、-C(O)-、-N(R)-、-S(O)-、-S(O)-、-C(S)-、-C(Te)-、-C(Se)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン又はそれらの組み合わせの1つ以上を含む二価基を指し、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール又は置換若しくは無置換C3~30ヘテロアリールである。典型的には、二価連結基には、-O-、-S-、-C(O)-、-N(R)-、-S(O)-、-S(O)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン又はそれらの組み合わせの1つ以上が含まれ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール又は置換若しくは無置換C3~30ヘテロアリールである。より典型的には、二価連結基には、-O-、-C(O)-、-C(O)O-、-N(R)-、-C(O)N(R)-、置換若しくは無置換C1~10アルキレン、置換若しくは無置換C3~10シクロアルキレン、置換若しくは無置換C3~10ヘテロシクロアルキレン、置換若しくは無置換C6~10アリーレン、置換若しくは無置換C3~10ヘテロアリーレン又はそれらの組み合わせの少なくとも1つが含まれ、Rは、水素、置換若しくは無置換C1~10アルキル、置換若しくは無置換C1~10ヘテロアルキル、置換若しくは無置換C6~10アリール又は置換若しくは無置換C3~10ヘテロアリールである。
本発明は、光活性化合物、例えば、光分解可能な失活剤化合物に関する。特に、本発明の光活性化合物は、アルケニル基に直接結合しており且つ任意選択的に第2の電子求引基に直接結合している窒素原子アニオンを含む塩である。本発明の光活性化合物は、改善されたコントラスト及び改善された局所限界寸法均一性(LCDU)を達成するためにフォトレジスト組成物において特に有用である。
光活性化合物には、有機カチオンと式(1)で表されるアニオンとが含まれる:
式(1)において、Xは有機基である。例えば、Xとしては、置換若しくは無置換C1~30アルキル、置換若しくは無置換C1~30ヘテロアルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C2~30アルケニル、置換若しくは無置換C2~30アルキニル、置換若しくは無置換C~C30アルコキシ、置換若しくは無置換C~C30アルキルチオ、置換若しくは無置換C~C10シクロアルケニル、置換若しくは無置換C~C10ヘテロシクロアルケニル、置換若しくは無置換C6~30アリール、置換若しくは無置換C7~30アリールアルキル、置換若しくは無置換C7~30アルキルアリール、又は置換若しくは無置換C~C30アリールオキシを挙げることができ、Xは、任意選択的にその構造の一部として1つ以上の二価連結基を更に含み得る。いくつかの実施形態では、Xは、その構造の一部として、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択される1つ以上の二価連結基を更に含んでいてもよく、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
XとY又はYの一方とは、一緒になって任意選択的に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(1)において、Y及びYは、それぞれ独立して、水素ではない置換基である。いくつかの態様では、Y及びYは、それぞれ独立して、電子吸引性基、例えばカルボニル基(-C(O)-)又はシアノ基(-CN)を含む。好ましくは、Y及びYは、それぞれ独立して、ハロゲン、シアノ、置換若しくは無置換C1~30アルキル、置換若しくは無置換C1~30ヘテロアルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C2~30アルケニル、置換若しくは無置換C2~30アルキニル、置換若しくは無置換C~C30アルコキシ、置換若しくは無置換C~C30アルキルチオ、置換若しくは無置換C~C10シクロアルケニル、置換若しくは無置換C~C10ヘテロシクロアルケニル、置換若しくは無置換C6~30アリール、置換若しくは無置換C7~30アリールアルキル、置換若しくは無置換C7~30アルキルアリール、又は置換若しくは無置換C~C30アリールオキシであり、Y及びYは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含み得る。典型的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~10シクロアルキレン、置換若しくは無置換C3~10ヘテロシクロアルキレン、置換若しくは無置換C6~20アリーレン、置換若しくは無置換C3~20ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。典型的には、Y及びYは、それぞれ独立して、シアノ、置換若しくは無置換C1~10アルキル、置換若しくは無置換C1~10ヘテロアルキル、置換若しくは無置換C3~10シクロアルキル、又は置換若しくは無置換C3~10ヘテロシクロアルキルであり、Y及びYは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基(例えば-C(O)O-)を更に含み得る。いくつかの態様では、Y及び/又はYは、その構造の一部として酸不安定基を含み得る。例えば、YとYのうちの少なくとも一方はその構造の一部として酸不安定基を含む。
式(1)において、YとYは、任意選択的に一緒に環を形成し得る。例えば、YとYは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含んでいてもよく、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。いくつかの態様では、YとYは、その構造の一部として酸不安定基を含み得る二価連結基を介して一緒に連結されていてもよい。
式(1)において、Zは、水素、ハロゲン、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~50アリール、置換若しくは無置換C7~50アリールアルキル、置換若しくは無置換C7~50アルキルアリール、置換若しくは無置換C6~50アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシである。好ましくは、Zは、水素、ハロゲン、置換若しくは無置換C1~10アルキル、置換若しくは無置換C3~10シクロアルキル、置換若しくは無置換C3~10ヘテロシクロアルキル、置換若しくは無置換C6~20アリール、置換若しくは無置換C7~20アリールアルキル、置換若しくは無置換C7~20アルキルアリール、置換若しくは無置換C6~20アリールオキシ、置換若しくは無置換C3~10ヘテロアリール、置換若しくは無置換C4~10アルキルヘテロアリール、置換若しくは無置換C4~10ヘテロアリールアルキル、又は置換若しくは無置換C3~10ヘテロアリールオキシであってよい。典型的には、Zは、置換若しくは無置換C1~10アルキル、置換若しくは無置換C3~10シクロアルキル、置換若しくは無置換C3~10ヘテロシクロアルキル、置換若しくは無置換C6~20アリール、置換若しくは無置換C7~20アリールアルキル、置換若しくは無置換C7~20アルキルアリール、置換若しくは無置換C6~20アリールオキシ、置換若しくは無置換C3~10ヘテロアリール、置換若しくは無置換C4~10アルキルヘテロアリール、置換若しくは無置換C4~10ヘテロアリールアルキル、又は置換若しくは無置換C3~10ヘテロアリールオキシであってよい。
式(1)において、Zは、その構造の一部として1つ以上の二価連結基を任意選択的に更に含む。典型的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
式(1)において、XとRは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含んでいてもよく、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。典型的には、XとRは一緒に環を形成しており、この環は、その構造の一部として1つ以上の二価連結基を更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
好ましくは、Xは、式(1)のNに直接連結又は結合している電子吸引性基を含む。例えば、電子求引性基は、式(1)中の窒素アニオン(すなわち式(1)中のアニオン性窒素原子)に結合していてもよい。
いくつかの態様では、Xは、式(2a)~(2c):

(式中、*は式(1)におけるNへの連結点を表す)のうちの1つによって表される部位であってよい。
式(2a)において、Z1aは、水素、ハロゲン、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~50アリール、置換若しくは無置換C7~50アリールアルキル、置換若しくは無置換C7~50アルキルアリール、置換若しくは無置換C6~50アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシである。好ましくは、Z1aは、水素、ハロゲン、置換若しくは無置換C1~10アルキル、置換若しくは無置換C3~10シクロアルキル、置換若しくは無置換C3~10ヘテロシクロアルキル、置換若しくは無置換C6~20アリール、置換若しくは無置換C7~20アリールアルキル、置換若しくは無置換C7~20アルキルアリール、置換若しくは無置換C6~20アリールオキシ、置換若しくは無置換C3~10ヘテロアリール、置換若しくは無置換C4~10アルキルヘテロアリール、置換若しくは無置換C4~10ヘテロアリールアルキル、又は置換若しくは無置換C3~10ヘテロアリールオキシであってよい。典型的には、Z1aは、置換若しくは無置換C1~10アルキル、置換若しくは無置換C3~10シクロアルキル、置換若しくは無置換C3~10ヘテロシクロアルキル、置換若しくは無置換C6~20アリール、置換若しくは無置換C7~20アリールアルキル、置換若しくは無置換C7~20アルキルアリール、置換若しくは無置換C6~20アリールオキシ、置換若しくは無置換C3~10ヘテロアリール、置換若しくは無置換C4~10アルキルヘテロアリール、置換若しくは無置換C4~10ヘテロアリールアルキル、又は置換若しくは無置換C3~10ヘテロアリールオキシであってよい。
式(2a)において、Z1aは、任意選択的にその構造の一部として1つ以上の二価連結基を更に含む。典型的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
式(2a)において、Y及びYは、それぞれ独立して、水素ではない置換基である。好ましくは、Y及びYは、それぞれ独立して、ハロゲン、シアノ、置換若しくは無置換C1~30アルキル、置換若しくは無置換C1~30ヘテロアルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C2~30アルケニル、置換若しくは無置換C2~30アルキニル、置換若しくは無置換C~C30アルコキシ、置換若しくは無置換C~C30アルキルチオ、置換若しくは無置換C~C10シクロアルケニル、置換若しくは無置換C~C10ヘテロシクロアルケニル、置換若しくは無置換C6~30アリール、置換若しくは無置換C7~30アリールアルキル、置換若しくは無置換C7~30アルキルアリール、又は置換若しくは無置換C~C30アリールオキシであり、Y及びYは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含み得る。典型的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~10シクロアルキレン、置換若しくは無置換C3~10ヘテロシクロアルキレン、置換若しくは無置換C6~20アリーレン、置換若しくは無置換C3~20ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。典型的には、Y及びYは、それぞれ独立して、シアノ、置換若しくは無置換C1~10アルキル、置換若しくは無置換C1~10ヘテロアルキル、置換若しくは無置換C3~10シクロアルキル、又は置換若しくは無置換C3~10ヘテロシクロアルキルであり、Y及びYは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基(例えば-C(O)O-)を更に含み得る。いくつかの態様では、Y及び/又はYは、その構造の一部として酸不安定基を含み得る。例えば、YとYのうちの少なくとも一方はその構造の一部として酸不安定基を含む。
式(2a)において、YとYは、任意選択的に一緒に環を形成し得る。例えば、YとYは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含んでいてもよく、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。いくつかの態様では、YとYは、その構造の一部として酸不安定基を含み得る二価連結基を介して一緒に連結されていてもよい。
式(2a)において、Z1aとY又はYの一方は、任意選択的に一緒に環を形成する。この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(1)及び(2a)において、ZとY又はYのうちの一方は任意選択的に一緒に環を形成する。この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(1)及び(2a)において、Z1aとZは、任意選択的に一緒に環を形成する。この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。典型的には、Z1aとZは、任意選択的に一緒に環を形成し、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(2b)及び(2c)において、Z1b及びZ1cは、それぞれ独立して、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~50アリール、置換若しくは無置換C7~50アリールアルキル、置換若しくは無置換C7~50アルキルアリール、置換若しくは無置換C6~50アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシである。好ましくは、Z1b及びZ1cは、それぞれ独立して、置換若しくは無置換C1~10アルキル、置換若しくは無置換C3~10シクロアルキル、置換若しくは無置換C3~10ヘテロシクロアルキル、置換若しくは無置換C6~20アリール、置換若しくは無置換C7~20アリールアルキル、置換若しくは無置換C7~20アルキルアリール、置換若しくは無置換C6~20アリールオキシ、置換若しくは無置換C3~10ヘテロアリール、置換若しくは無置換C4~10アルキルヘテロアリール、置換若しくは無置換C4~10ヘテロアリールアルキル、又は置換若しくは無置換C3~10ヘテロアリールオキシであってよい。典型的には、Z1b及びZ1cは、それぞれ独立して、置換若しくは無置換C1~10アルキル、置換若しくは無置換C3~10シクロアルキル、置換若しくは無置換C3~10ヘテロシクロアルキル、置換若しくは無置換C6~20アリール、置換若しくは無置換C7~20アリールアルキル、置換若しくは無置換C7~20アルキルアリール、置換若しくは無置換C6~20アリールオキシ、置換若しくは無置換C3~10ヘテロアリール、置換若しくは無置換C4~10アルキルヘテロアリール、置換若しくは無置換C4~10ヘテロアリールアルキル、又は置換若しくは無置換C3~10ヘテロアリールオキシである。
式(2b)及び(2c)において、Z1b及びZ1cは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含む。典型的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
式(1)及び(2b)において、Z1bとZは、任意選択的に一緒に環を形成する。この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。典型的には、Z1bとZは一緒に環を形成し、この環は、その構造の一部として1つ以上の二価連結基を更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(1)及び(2c)において、Z1cとZは、任意選択的に一緒に環を形成する。この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。典型的には、Z1cとZは、一緒に環を形成し、この環は、その構造の一部として1つ以上の二価連結基を更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
いくつかの態様では、式(1)で表されるアニオンには、-F、-CF、又は-CF-基が含まれず、それらがない。「-F、-CF、又は-CF-基がない」は、光酸発生剤のアニオンから-CHCF及び-CHCFCHなどの基が除外されることを意味すると理解されるべきである。更に別の態様では、式(1)で表されるアニオンはフッ素を含まない(すなわち、フッ素原子を含まず、フッ素含有基で置換されていない)。いくつかの態様では、光活性化合物はフッ素を含まない(すなわち、有機カチオンと式(1)で表されるアニオンの両方がフッ素を含まない)。
例えば、式(1)において、X、Y、Y、及びZのうちの1つ以上はフッ素を含まず、好ましくはX、Y、Y、及びZの全てがフッ素を含まない。例えば、式(1)、(2a)、(2b)、及び(2c)において、Y、Y、Y、Y、Z1a、Z1b、Z1c、及びZのうちの1つ以上はフッ素を含まず、好ましくはY、Y、Y、Y、Z1a、Z1b、Z1c、及びZの全てがフッ素を含まない。
いくつかの態様では、上述したように、式(1)で表されるアニオンは、1つ以上の酸不安定基を含み得る。例えば、式(1)において、X、Y、Y、及びZのうちの1つ以上は酸不安定基を含む。例えば、式(1)、(2a)、(2b)、及び(2c)において、Y、Y、Y、Y、Z1a、Z1b、Z1c、及びZのうちの1つ以上は酸不安定基を含む。
いくつかの態様では、式(1)の光活性化合物は、式(3a)~(3c)のうちの1つ以上で表され得る:
式(3a)及び(3b)において、Y及びYは、式(1)においてY及びYについて定義した通りである。式(3c)において、Y及びYは、式(1)においてY及びYについて定義した通りであり、Y及びYは、式(2a)においてY及びYについて定義した通りである。
式(3a)~(3c)において、R及びRは、それぞれ独立して、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~30アリール、置換若しくは無置換C7~30アリールアルキル、置換若しくは無置換C7~30アルキルアリール、置換若しくは無置換C6~30アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシである。
式(3a)~(3c)において、R及びRは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含む。例示的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
式(3a)~(3c)において、RとRは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。典型的には、RとRは一緒に環を形成し、この環は、その構造の一部として1つ以上の二価連結基を更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(3a)~(3c)で表されるアニオンは、フッ素を含まなくてよい。例えば、式(3a)及び(3b)において、R、R、Y、及びYのうちの1つ以上はフッ素を含まず、好ましくはR、R、Y、及びYの全てがフッ素を含まない。例えば、式(3c)において、R、R、Y、Y、Y、及びYのうちの1つ以上はフッ素を含まず、好ましくはR、R、Y、Y、Y、及びYの全てがフッ素を含まない。
式(3a)~(3c)で表されるアニオンは、1つ以上の酸不安定基を含み得る。例えば、式(3a)及び(3b)において、R、R、Y、及びYのうちの1つ以上は酸不安定基を含む。例えば、式(3c)において、R、R、Y、Y、Y、及びYのうちの1つ以上は酸不安定基を含む。
いくつかの態様では、式(1)の光活性化合物は、式(4a)~(4c)のうちの1つ以上で表され得る:
式(4a)及び(4b)において、Y及びYは式(1)においてY及びYについて定義した通りである。式(4c)において、Y及びYは式(1)においてY及びYについて定義した通りであり、Y及びYは、式(2a)においてY及びYについて定義した通りである。
式(4a)~(4c)において、各Rは、独立して、ハロゲン、シアノ、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~30アリール、置換若しくは無置換C7~30アリールアルキル、置換若しくは無置換C7~30アルキルアリール、置換若しくは無置換C6~30アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシである。
式(4a)~(4c)において、各Rは、独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含む。例示的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
式(4a)~(4c)において、aは、0~4、好ましくは0~2、典型的には0又は1の整数である。
式(4a)~(4c)において、aが2以上である場合、隣接する2つ以上のRは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(4a)~(4c)で表されるアニオンは、フッ素を含まなくてよい。例えば、式(4a)及び(4b)において、R、Y、及びYのうちの1つ以上はフッ素を含まず、好ましくはR、Y、及びYの全てがフッ素を含まない。例えば、式(4c)において、R、Y、Y、Y、及びYのうちの1つ以上はフッ素を含まず、好ましくはR、Y、Y、Y、及びYの全てがフッ素を含まない。
式(4a)~(4c)で表されるアニオンは、1つ以上の酸不安定基を含み得る。例えば、式(4a)及び(4b)において、R、Y、及びYのうちの1つ以上は酸不安定基を含む。例えば、式(4c)において、R、Y、Y、Y、及びYのうちの1つ以上は酸不安定基を含む。
いくつかの態様では、式(1)の光活性化合物は、式(5a)~(5c)のうちの1つ以上で表され得る:
式(5a)~(5c)において、R及びaは、それぞれ式(4a)~(4c)においてR及びaについて定義した通りである。
式(5a)及び(5b)において、R及びRは、それぞれ独立して、水素、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~30アリール、置換若しくは無置換C7~30アリールアルキル、置換若しくは無置換C7~30アルキルアリール、置換若しくは無置換C6~30アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシである。
式(5a)及び(5b)において、R及びRは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含む。例示的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
式(5a)及び(5b)において、RとRは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(5c)において、R~Rは、それぞれ独立して、水素、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~30アリール、置換若しくは無置換C7~30アリールアルキル、置換若しくは無置換C7~30アルキルアリール、置換若しくは無置換C6~30アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシである。
式(5c)において、R~Rは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含む。例示的な二価連結基は、-O-、-C(O)-、-C(O)O-、-S-、-S(O)-、-N(R)-、-C(O)N(R’)-、置換若しくは無置換C1~30アルキレン、置換若しくは無置換C3~30シクロアルキレン、置換若しくは無置換C3~30ヘテロシクロアルキレン、置換若しくは無置換C6~30アリーレン、置換若しくは無置換C3~30ヘテロアリーレン、又はそれらの組み合わせから選択することができ、Rは、水素、置換若しくは無置換C1~20アルキル、置換若しくは無置換C1~20ヘテロアルキル、置換若しくは無置換C6~30アリール、又は置換若しくは無置換C3~30ヘテロアリールであってよい。
式(5c)において、RとRは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である;及び/又はRとRは、任意選択的に一緒に環を形成していてもよく、この環は、その構造の一部として1つ以上の二価連結基を任意選択的に更に含み、1つ以上の二価連結基のそれぞれは置換若しくは無置換であり、環は置換若しくは無置換である。
式(5a)~(5c)で表されるアニオンは、フッ素を含まなくてよい。例えば、式(5a)及び(5b)において、R、R、及びRのうちの1つ以上はフッ素を含まず、好ましくはR、R、及びRの全てがフッ素を含まない。例えば、式(5c)において、R~Rのうちの1つ以上はフッ素を含まず、好ましくはR~Rの全てがフッ素を含まない。
式(5a)~(5c)で表されるアニオンは、1つ以上の酸不安定基を含み得る。例えば、式(5a)及び(5b)において、R、R、及びRのうちの1つ以上は酸不安定基を含む。例えば、式(5c)において、R~Rのうちの1つ以上は酸不安定基を含む。
いくつかの態様では、式(1)の光活性化合物は、式(6a)~(6c):

(式中、Y及びYは、式(1)においてY及びYについて定義した通りであり、Y及びYは、式(2a)においてY及びYについて定義した通りであり、R及びaは、式(4a)~(4c)においてR及びaについて定義した通りである)のうちの1つで表され得る。
例示的な式(1)で表されるアニオンとしては、以下のものが挙げられる:


光活性化合物は有機カチオンも含む。例えば、有機カチオンは、スルホニウムカチオン又はヨードニウムカチオンであってよい。いくつかの実施形態では、有機カチオンは、式(7a)のスルホニウムカチオン又は式(7b)のヨードニウムカチオンであってよい:
式(7a)及び(7b)において、R~R12は、それぞれ独立して、置換若しくは無置換C1~20アルキル、置換若しくは無置換C3~20シクロアルキル、置換若しくは無置換C2~20アルケニル、置換若しくは無置換C6~30アリール、置換若しくは無置換C6~30ヨードアリール、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C7~20アリールアルキル、又は置換若しくは無置換C4~20ヘテロアリールアルキル、又はそれらの組み合わせである。各R~R10は、独立していてもよく、或いは単結合若しくは二価連結基を介して別の基R~R10と連結して環を形成していてもよい。R11とR12は、独立していてもよく、或いは単結合若しくは二価連結基を介して互いに連結して環を形成していてもよい。各R~R12は、任意選択的に、その構造の一部として二価連結基を含み得る。各R~R12は、独立して、例えば三級アルキルエステル基、二級若しくは三級アリールエステル基、アルキル基とアリール基との組み合わせを有する二級若しくは三級エステル基、三級アルコキシ基、アセタール基、又はケタール基から選択される酸不安定基を任意選択的に含み得る。
式(7a)の例示的なスルホニウムカチオンには、以下の1つ以上が含まれる:
式(7b)の例示的なヨードニウムカチオンには、以下の1つ以上が含まれる:
光活性化合物は、本明細書の実施例に記載されているものを含めて、当技術分野における任意の適切な方法を用いて調製され得る。
本発明は、光活性化合物とポリマーとを含み、追加の任意選択的な成分を含有し得るフォトレジスト組成物に更に関する。典型的には、フォトレジスト組成物は、1種以上の溶媒、光酸発生剤(PAG)、又はそれらの組み合わせを更に含むであろう。
ポリマーは、1種以上の繰り返し単位を含み得る。繰り返し単位は、例えば、エッチ速度及び溶解性など、フォトレジスト組成物の特性を調整する目的のための1つ以上の単位であり得る。例示的な繰り返し単位には、(メタ)アクリレート、ビニル芳香族、ビニルエーテル、ビニルケトン及び/又はビニルエステルモノマーの1つ以上に由来するものが含まれ得る。フォトレジスト組成物のポリマーは、ホモポリマーであってもよく、或いは2種以上の構造的に異なる繰り返し単位を含むコポリマーであってもよい。例えば、ポリマーは、ヒドロキシアリール基、酸不安定基、塩基可溶化基、ラクトン含有基、スルトン含有基、極性基、架橋性基、架橋基など、又はそれらの組み合わせから選択される官能基を含む1種以上の繰り返し単位を含み得る。
1つ以上の実施形態では、ポリマーは、酸不安定基を含むモノマーから形成される繰り返し単位を含み得る。適切な酸不安定基としては、例えば三級エステル基、アセタール基、ケタール基、及び三級エーテル基が挙げられる。


(これらの式中、Rは、水素、ハロゲン、置換若しくは無置換C1~6アルキル、又は置換若しくは無置換C3~6シクロアルキルである)。
酸不安定基を有する繰り返し単位がポリマー中に存在する場合、それは、典型的には、ポリマー中の全繰り返し単位を基準として、25~75モル%、より典型的には25~50モル%、更により典型的には30~50モル%の量で存在する。
いくつかの実施形態では、ポリマーは、1種以上のラクトン含有モノマーに由来する繰り返し単位を含み得る。適切なラクトン含有モノマーには、例えば以下のうちの1つ以上が含まれる:

(これらの式中、Rは、水素、ハロゲン、置換若しくは無置換C1~6アルキル、又は置換若しくは無置換C3~6シクロアルキルである)。
いくつかの実施形態では、ポリマーは、塩基可溶化基を有する且つ/又は12以下のpKaを有する繰り返し単位を含み得る。例示的な塩基可溶化基には、フルオロアルコール基、カルボン酸基、カルボキシミド基、スルホンアミド基、又はスルホンイミド基が含まれ得る。
塩基可溶化基を含むモノマーの非限定的な例には、以下の1つ以上が含まれる:



(これらの式中、各Rは、独立して、水素、ハロゲン、置換若しくは無置換C1~6アルキル、又は置換若しくは無置換C3~6シクロアルキルである)。
ポリマーは、1種以上の追加の繰り返し単位を任意選択的に含み得る。追加の繰り返し構造単位は、例えば、エッチ速度及び溶解性など、フォトレジスト組成物の特性を調整する目的のための1種以上の追加の単位であり得る。例示的な追加の単位は、(メタ)アクリレート、ビニル芳香族、ビニルエーテル、ビニルケトン及び/又はビニルエステルモノマーの1つ以上から誘導されるものを含み得る。1種以上の追加の繰り返し単位は、第1及び/又は第2のポリマー中に存在する場合、ポリマーの繰り返し単位の合計を基準として50モル%以下、典型的には3~50モル%の量で使用され得る。
本発明の非限定的な例示的なポリマーには、以下の1つ以上が含まれる:



(これらの式中、各x、y、及びzは関連する繰り返し単位のモル分率であり、各ポリマーのモル分率の合計は1であり、各Rは、独立して、水素、ハロゲン、置換若しくは無置換C1~6アルキル、又は置換若しくは無置換C3~6シクロアルキルである)。
本発明の更に別の非限定的な例示的なポリマーには、以下のうちの1つ以上が含まれる:

(これらの式中、各x、y、及びzは関連する繰り返し単位のモル分率であり、各ポリマーのモル分率の合計は1であり、各Rは、独立して、水素、ハロゲン、置換若しくは無置換C1~6アルキル、又は置換若しくは無置換C3~6シクロアルキルである)。
ポリマーは、典型的には、1,000~50,000ダルトン(Da)、好ましくは2,000~30,000Da、より好ましくは3,000~20,000Da、更により好ましくは4,000~15,000Daの重量平均分子量(M)を有する。Mと数平均分子量(M)の比である第1のポリマーの多分散指数(PDI)は、典型的には、1.1~3、より典型的には1.1~2である。分子量値は、ポリスチレン標準を使用するゲル透過クロマトグラフィー(GPC)によって測定される。
本発明のフォトレジスト組成物において、ポリマーは、典型的には、フォトレジスト組成物の全固形分に基づいて、10~99.9重量%、典型的には25~99重量%、より典型的には50~95重量%の量で、フォトレジスト組成物中に存在する。全固形分には、ポリマー、PAG、及び他の非溶媒成分が含まれることが理解されよう。
ポリマーは、当技術分野における任意の好適な方法を用いて調製され得る。例えば、本明細書で記載される繰り返し単位に対応する1種以上のモノマーが好適な溶媒及び開始剤を使用して組み合わされるか、又は別々に供給され、反応器中で重合され得る。例えば、ポリマーは、有効な温度での加熱、有効な波長の化学線での照射又はそれらの組み合わせなど、任意の好適な条件下でのそれぞれのモノマーの重合によって得ることができる。
フォトレジスト組成物は、光酸発生剤(PAG)を更に含有し得る。PAGはイオン型であっても非イオン型であってもよい。PAGはポリマー形態であっても非ポリマー形態であってもよい。ポリマー形態では、PAGは、重合可能なPAGモノマーから誘導されたポリマーの繰り返し単位中の部位として存在し得る。
適切なPAG化合物は、式Gのものであってもよく、Gは光活性カチオンであり、Aは光酸を生成することができるアニオンである。光活性カチオンは、好ましくは、オニウムカチオンから、好ましくは本発明の光活性化合物(例えば式(7a)及び/又は(7b)のもの)に関して上述したものなどのヨードニウムカチオン又はスルホニウムカチオンから選択される。特に適切なアニオンには、その共役酸が-15~10のpKaを有するものが含まれる。アニオンは、典型的には、スルホネート基又は非スルホネートタイプの基(スルホンアミデート、スルホンイミデート、メチド、又はボレートなど)を有する有機アニオンである。
いくつかの態様では、PAGのアニオンには、-F、-CF、又は-CF-基が含まれず、それらがない。「-F、-CF、又は-CF-基がない」は、PAGのアニオンから-CHCF及び-CHCFCHなどの基が除外されることを意味すると理解されるべきである。更に別の態様では、PAGのアニオンはフッ素を含まない(すなわち、フッ素原子を含まず、フッ素含有基で置換されていない)。いくつかの態様では、光酸発生剤はフッ素を含まない(すなわち、光活性カチオンとアニオンの両方がフッ素を含まない)。
スルホネート基を有する例示的な有機アニオンには、以下の1つ以上が含まれる:
例示的な非スルホネート化アニオンには、以下の1つ以上が含まれる:
一般的に使用されるオニウム塩としては、例えば、トリフェニルスルホニウム トリフルオロメタンスルホネート、(p-tert-ブトキシフェニル)ジフェニルスルホニウム トリフルオロメタンスルホネート、トリス(p-tert-ブトキシフェニル)スルホニウム トリフルオロメタンスルホネート、トリフェニルスルホニウム p-トルエンスルホネート;ジ-t-ブチルフェニルヨードニウム ペルフルオロブタンスルホネート、及びジ-t-ブチルフェニルヨードニウム カンファースルホネートを挙げることができる。他の有用なPAG化合物は、化学増幅型フォトレジストの技術分野で知られており、例えば、非イオン性スルホニル化合物、例えば、2-ニトロベンジル-p-トルエンスルホネート、2,6-ジニトロベンジル-p-トルエンスルホネート、及び2,4-ジニトロベンジル-p-トルエンスルホネート;スルホン酸エステル、例えば、1,2,3-トリス(メタンスルホニルオキシ)ベンゼン、1,2,3-トリス(トリフルオロメタンスルホニルオキシ)ベンゼン、及び1,2,3-トリス(p-トルエンスルホニルオキシ)ベンゼン;ジアゾメタン誘導体、例えば、ビス(ベンゼンスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン;グリオキシム誘導体、例えば、ビス-O-(p-トルエンスルホニル)-α-ジメチルグリオキシム及びビス-O-(n-ブタンスルホニル)-α-ジメチルグリオキシム;N-ヒドロキシイミド化合物のスルホン酸エステル誘導体、例えばN-ヒドロキシスクシンイミドメタンスルホン酸エステル、N-ヒドロキシスクシンイミドトリフルオロメタンスルホン酸エステル;並びにハロゲン含有トリアジン化合物、例えば2-(4-メトキシフェニル)-4,6-ビス(トリクロロメチル)-1,3,5-トリアジン、及び2-(4-メトキシナフチル)-4,6-ビス(トリクロロメチル)-1,3,5-トリアジンが挙げられる。適切な光酸発生剤は、(特許文献1)及び(特許文献2)に詳しく記載されている。
典型的には、フォトレジスト組成物が追加的な非ポリマー系PAGを含む場合、PAGは、フォトレジスト組成物の全固形分を基準として0.1~55重量%、より典型的には1~25重量%の量でフォトレジスト組成物中に存在する。ポリマー形態で使用される場合、追加的なPAGは、典型的には、ポリマー中の繰り返し単位の合計を基準として1~25モル%、典型的には1~8モル%、又は2~6モル%の量でポリマー中に含まれる。
フォトレジスト組成物は、組成物の成分を溶解させ、且つ基板上でのそのコーティングを容易にするための溶媒を更に含む。好ましくは、溶媒は、電子デバイスの製造に従来使用される有機溶媒である。好適な溶媒には、例えば、ヘキサン及びヘプタンなどの脂肪族炭化水素;トルエン及びキシレンなどの芳香族炭化水素;ジクロロメタン、1,2-ジクロロエタン及び1-クロロヘキサンなどのハロゲン化炭化水素;メタノール、エタノール、1-プロパノール、イソ-プロパノール、tert-ブタノール、2-メチル-2-ブタノール、4-メチル-2-ペンタノール、及びジアセトンアルコール(4-ヒドロキシ-4-メチル-2-ペンタノン)(DAA)などのアルコール;プロピレングリコールモノメチルエーテル(PGME);ジエチルエーテル、テトラヒドロフラン、1,4-ジオキサン及びアニソールなどのエーテル;アセトン、メチルエチルケトン、メチルイソ-ブチルケトン、2-ヘプタノン、及びシクロヘキサノン(CHO)などのケトン;酢酸エチル、酢酸n-ブチル、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、乳酸エチル(EL)、ヒドロキシイソブチレートメチルエステル(HBM)、及びアセト酢酸エチルなどのエステル;ガンマ-ブチロラクトン(GBL)及びイプシロン-カプロラクトンなどのラクトン;N-メチルピロリドンなどのラクタム;アセトニトリル及びプロピオニトリルなどのニトリル;炭酸プロピレン、炭酸ジメチル、炭酸エチレン、炭酸プロピレン、炭酸ジフェニル、及び炭酸プロピレンなどの環状又は非環状炭酸エステル;ジメチルスルホキシド及びジメチルホルムアミドなどの極性非プロトン性溶媒;水;並びにそれらの組み合わせが含まれる。これらの中でも、好ましい溶媒としては、PGME、PGMEA、EL、GBL、HBM、CHO、DAAのうちの1つ以上、又はそれらの組み合わせが挙げられる。
フォトレジスト組成物中の総溶媒含有量(すなわち全ての溶媒についての累積溶媒含有量)は、フォトレジスト組成物の全固形分を基準として典型的には40~99重量%、例えば60~99重量%又は85~99重量%である。所望の溶媒含有量は、例えば、コーティングされるフォトレジスト層の所望の厚さ及びコーティング条件に依存するであろう。
いくつかの態様では、フォトレジスト組成物は、1つ以上の塩基不安定基を含む物質(「塩基不安定物質」)を更に含み得る。本明細書で言及されるように、塩基不安定基は、露光ステップ及び露光後ベーキングステップ後、水性アルカリ性現像液の存在下で開裂反応を受けてヒドロキシル、カルボン酸、スルホン酸等などの極性基を提供することができる官能基である。塩基不安定基は、塩基不安定基を含むフォトレジスト組成物の現像ステップの前に有意に反応しない(例えば、結合切断反応を受けない)であろう。したがって、例えば、塩基不安定基は、露光前ソフトベークステップ、露光ステップ、及び露光後ベークステップ中に、実質的に不活性であろう。「実質的に不活性」とは、塩基不安定基(又は部位)の5%以下、典型的には1%以下が露光前のソフトベーク、露光及び露光後のベークステップ中に分解、切断又は反応することを意味する。塩基不安定基は、例えば、0.26規定(N)の水酸化テトラメチルアンモニウム(TMAH)の水溶液などの水性アルカリ性フォトレジスト現像液を使用する典型的なフォトレジスト現像条件下で反応する。例えば、0.26NのTMAH水溶液は、単一パドル現像又は動的現像に使用することができ、例えば、0.26NのTMAH現像液は、画像化されたフォトレジスト層に10~120秒(s)などの適切な時間で分配される。例示的な塩基不安定基は、エステル基、典型的にはフッ素化エステル基である。好ましくは、塩基不安定物質は、第1及び/又は第2のポリマー並びにフォトレジスト組成物の他の固形成分と実質的に混和せず、第1及び/又は第2のポリマー並びにフォトレジスト組成物の他の固形成分よりも表面エネルギーが低い。基板上にコーティングされた場合、塩基不安定物質は、それにより、フォトレジスト組成物の他の固形成分から、形成されたフォトレジスト層の上面に分離し得る。
いくつかの態様では、塩基不安定物質は、ポリマー系材料であり得、本明細書では塩基不安定ポリマーとも呼ばれ、塩基不安定ポリマーは、1つ以上の塩基不安定基を含む1種以上の繰り返し単位を含み得る。例えば、塩基不安定ポリマーは、同じ又は異なる2つ以上の塩基不安定基を含む繰り返し単位を含み得る。好ましい塩基不安定ポリマーは、2つ以上の塩基不安定基を含む少なくとも1種の繰り返し単位、例えば2つ又は3つの塩基不安定基を含む繰り返し単位を含む。
塩基不安定ポリマーは、当技術分野における任意の好適な方法を用いて調製され得る。例えば、塩基不安定ポリマーは、有効な温度での加熱、有効な波長での化学線での照射、又はそれらの組み合わせなどの、任意の適切な条件下でのそれぞれのモノマーの重合によって得られ得る。これに加えて、又はこの代わりに、1つ以上の塩基不安定基は、適切な方法を用いてポリマーの主鎖上へグラフトされ得る。
いくつかの態様では、塩基不安定物質は、1つ以上の塩基不安定エステル基、好ましくは1つ以上のフッ素化エステル基を含む単一の分子である。単一分子である塩基不安定物質は、典型的には、50~1,500Daの範囲のMを有する。
存在する場合、塩基不安定物質は、典型的には、フォトレジスト組成物の全固形分を基準として0.01~10重量%、典型的には1~5重量%の量でフォトレジスト組成物中に存在する。
塩基不安定ポリマーに加えて又はその代わりに、フォトレジスト組成物は、上で記載したフォトレジストポリマーに加えて、これらと異なる1種以上のポリマーを更に含み得る。例えば、フォトレジスト組成物は、上で記載したような、しかし組成が異なる追加のポリマーを含んでいてもよい。加えて又は代わりに、1種以上の追加のポリマーには、フォトレジスト技術において周知のもの、例えば、ポリアクリレート、ポリビニルエーテル、ポリエステル、ポリノルボルネン、ポリアセタール、ポリエチレングリコール、ポリアミド、ポリアクリルアミド、ポリフェノール、ノボラック、スチレン系ポリマー、ポリビニルアルコール又はそれらの組み合わせから選択されるものが含まれ得る。
フォトレジスト組成物は、1種以上の追加の任意選択的な添加剤を更に含み得る。例えば、任意選択的な添加剤には、化学線染料及び造影剤、ストリエーション防止剤、可塑剤、速度促進剤、増感剤、光分解性失活剤(PDQ)(光分解性塩基としても知られる)、塩基性失活剤、熱酸発生剤、界面活性剤等、又はそれらの組み合わせが含まれ得る。存在する場合、任意選択的な添加剤は、典型的には、フォトレジスト組成物の全固形分を基準として0.01~10重量%の量でフォトレジスト組成物中に存在する。
PDQは、照射されると弱酸を生成する。光分解性失活剤から生成する酸は、レジストマトリックス中に存在する酸不安定基と迅速に反応するほど十分に強力ではない。例示的な光分解性失活剤には、例えば、光分解性カチオン、好ましくは、例えば、C1~20カルボン酸又はC1~20スルホン酸のアニオンなどの弱酸(pKa>1)のアニオンと対になった、強酸発生剤化合物を調製するためにも有用なものが含まれる。例示的なカルボン酸には、ギ酸、酢酸、プロピオン酸、酒石酸、コハク酸、シクロヘキサンカルボン酸、安息香酸、サリチル酸等が含まれる。例示的なスルホン酸には、p-トルエンスルホン酸、カンファースルホン酸等が含まれる。好ましい実施形態では、光分解性失活剤は、ジフェニルヨードニウム-2-カルボキシレートなどの光分解性有機双性イオン化合物である。
PDQは、非ポリマー形態であってもポリマー結合形態であってもよい。光分解性失活剤を含有する重合単位は、典型的には、ポリマーの全繰り返し単位を基準として0.1~30モル%、好ましくは1~10モル%、より好ましくは1~2モル%の量で存在する。
例示的な塩基性失活剤としては、例えば、トリブチルアミン、トリオクチルアミン、トリイソプロパノールアミン、テトラキス(2-ヒドロキシプロピル)エチレンジアミン、N-tert-ブチルジエタノールアミン、トリス(2-アセトキシ-エチル)アミン、2,2’,2’’,2’’’-(エタン-1,2-ジイルビス(アザントリイル))テトラエタノール、2-(ジブチルアミノ)エタノール、及び2,2’,2’’-ニトリロトリエタノールなどの直鎖脂肪族アミン;1-(tert-ブトキシカルボニル)-4-ヒドロキシピペリジン、tert-ブチル1-ピロリジンカルボキシレート、tert-ブチル2-エチル-1H-イミダゾール-1-カルボキシレート、ジ-tert-ブチルピペラジン-1,4-ジカルボキシレート、及びN-(2-アセトキシ-エチル)モルホリンなどの環状脂肪族アミン;ピリジン、ジ-tert-ブチルピリジン、及びピリジニウムなどの芳香族アミン;N,N-ビス(2-ヒドロキシエチル)ピバルアミド、N,N-ジエチルアセトアミド、N,N,N,N-テトラブチルマロンアミド、1-メチルアゼパン-2-オン、1-アリルアゼパン-2-オン、及びtert-ブチル1,3-ジヒドロキシ-2-(ヒドロキシメチル)プロパン-2-イルカルバメートなどの直鎖及び環状アミド並びにその誘導体;スルホネート、スルファメート、カルボキシレート、及びホスホネートの四級アンモニウム塩などのアンモニウム塩;一級及び二級アルジミン及びケチミンなどのイミン;任意選択的に置換されたピラジン、ピペラジン、及びフェナジンなどのジアジン;任意選択的に置換されたピラゾール、チアジアゾール、及びイミダゾールなどのジアゾール;並びに2-ピロリドン及びシクロヘキシルピロリジンなどの任意選択的に置換されたピロリドンが含まれる。
塩基性失活剤は、非ポリマー形態であっても又はポリマー結合形態であってもよい。ポリマー形態である場合、失活剤は、ポリマーの繰り返し単位内に存在し得る。失活剤を含有する繰り返し単位は、典型的には、ポリマーの全繰り返し単位を基準として0.1~30モル%、好ましくは1~10モル%、より好ましくは1~2モル%の量で存在する。
例示的な界面活性剤は、フッ素化及び非フッ素化界面活性剤を含み、イオン性又は非イオン性であり得、非イオン界面活性剤が好ましい。例示的なフッ素化非イオン界面活性剤としては、3M Corporationから入手可能な、FC-4430及びFC-4432界面活性剤などのペルフルオロC界面活性剤;並びにOmnova製のPOLYFOX PF-636、PF-6320、PF-656及びPF-6520フルオロ界面活性剤などのフルオロジオールが挙げられる。ある態様では、フォトレジスト組成物は、フッ素含有繰り返し単位を含む界面活性剤ポリマーを更に含む。
本発明のフォトレジスト組成物を使用するパターン形成方法がこれから述べられる。フォトレジスト組成物をその上にコーティングすることができる好適な基板には、電子デバイス基板が含まれる。多種多様の電子デバイス基板、例えば、半導体ウェハー;多結晶シリコン基板;マルチチップモジュールなどのパッケージング基板;フラットパネルディスプレイ基板;有機発光ダイオード(OLED)などの発光ダイオード(LED)のための基板等などが本発明において使用され得、半導体ウェハーが典型的である。そのような基板は、典型的には、シリコン、ポリシリコン、酸化シリコン、窒化シリコン、オキシ窒化シリコン、シリコンゲルマニウム、ヒ化ガリウム、アルミニウム、サファイア、タングステン、チタン、チタン-タングステン、ニッケル、銅及び金の1つ以上から構成される。好適な基板は、集積回路、光センサー、フラットパネルディスプレイ、光集積回路及びLEDの製造において使用されるものなどのウェハーの形態であり得る。そのような基板は、任意の好適なサイズであり得る。典型的なウェハー基板直径は、200~300ミリメートル(mm)であるが、より小さい直径及びより大きい直径を有するウェハーが、本発明に従って適切に用いられ得る。基板は、形成されつつあるデバイスの動作中の部分又は動作可能な部分を任意選択的に含み得る1つ以上の層又は構造体を含み得る。
典型的には、ハードマスク層、例えば、スピンオンカーボン(SOC)、非晶質炭素、若しくは金属ハードマスク層、窒化シリコン(SiN)、酸化シリコン(SiO)、若しくはオキシ窒化シリコン(SiON)層などのCVD層、有機若しくは無機下層、又はそれらの組み合わせなどの1つ以上のリソグラフィー層が、本発明のフォトレジスト組成物をコーティングする前に基板の上表面上に提供される。そのような層は、オーバーコーティングされたフォトレジスト層と一緒に、リソグラフィー材料スタックを形成する。
任意選択的に、接着促進剤の層は、フォトレジスト組成物をコーティングする前に基板表面に塗布され得る。接着促進剤が望ましい場合、シラン、典型的には、トリメトキシビニルシラン、トリエトキシビニルシラン、ヘキサメチルジシラザンなどのオルガノシラン又はガンマ-アミノプロピルトリエトキシシランなどのアミノシランカプラーなど、ポリマーフィルムのための任意の好適な接着促進剤が使用され得る。特に適切な接着促進剤としては、DuPont Electronics&Industrial(Marlborough,Massachusetts)から入手可能である、AP(商標)3000、AP(商標)8000及びAP(商標)9000Sの名称で販売されているものが挙げられる。
フォトレジスト組成物は、スピンコーティング、スプレーコーティング、ディップコーティング、ドクターブレーディング等などの任意の適切な方法によって基板上にコーティングされ得る。例えば、フォトレジストの層の塗布は、コーティングトラックを使用して溶媒中のフォトレジストをスピンコーティングすることによって達成され得、その場合、フォトレジストは、回転するウェハー上に分配される。分配中、ウェハーは、典型的には、最大4,000回転/分(rpm)、例えば200~3,000rpm、例えば1,000~2,500rpmの速度で、15~120秒の時間回転され、基板上にフォトレジスト組成物の層が得られる。コーティングされる層の厚さは、スピン速度及び/又は組成物の全固形分を変えることによって調節され得ることは、当業者によって十分理解されるであろう。本発明の組成物から形成されるフォトレジスト組成物層は、典型的には、乾燥層厚みが3~30マイクロメートル(μm)、好ましくは5~30μm超、より好ましくは6~25μmである。
フォトレジスト組成物は、典型的には、層中の溶媒含有量を最小にするように次にソフトベークされ、それによって不粘着性コーティングが形成され、層の基板への接着性が改善される。ソフトベークは、例えば、ホットプレート上で又はオーブン中で行われ、ホットプレートが典型的である。ソフトベークの温度及び時間は、例えば、フォトレジスト組成物及び厚さに依存するであろう。ソフトベーク温度は、典型的には、80~170℃、より典型的には90~150℃である。ソフトベーク時間は、典型的には、10秒~20分、より典型的には1分~10分、更に典型的には1分~2分である。加熱時間は、組成物の成分を基づいて当業者により容易に決定することができる。
フォトレジスト層は、次に、露光領域と非露光領域との間で溶解性の違いを生み出すために活性化放射にパターン様露光される。組成物を活性化する放射へのフォトレジスト組成物の露光への本明細書での言及は、放射がフォトレジスト組成物に潜像を形成できることを示す。露光は、典型的には、それぞれレジスト層の露光領域及び非露光領域に対応する光学的に透明な領域及び光学的に不透明な領域を有するパターン化フォトマスクを通して行われる。そのような露光は、代わりに、電子ビームリソグラフィーのために典型的に用いられる、直接描画法においてフォトマスクなしで行われ得る。活性化放射は、典型的には、400nm未満、300nm未満若しくは200nm未満の波長を有し、248nm(KrF)、193nm(ArF)、13.5nm(EUV)波長又は電子ビームリソグラフィーが好ましい。好ましくは、活性化放射は、248nmの放射である。この方法は、液浸又は乾式(非液浸)リソグラフィー技術に利用されている。露光エネルギーは、露光ツール及びフォトレジスト組成物の成分に依存して、典型的には1平方センチメートル当たり1~200ミリジュール(mJ/cm)、好ましくは10~100mJ/cm、より好ましくは20~50mJ/cmである。
フォトレジスト層の露光後に、露光されたフォトレジスト層の露光後ベーク(PEB)が行われる。PEBは、例えば、ホットプレート上又はオーブン中で行うことができ、ホットプレートが典型的である。PEBに関する条件は、例えば、フォトレジスト組成物及び層厚さに依存するであろう。PEBは、典型的には、70~150℃、好ましくは75~120℃の温度において及び30~120秒間にわたって行われる。極性切り替え領域(露光領域)と、非切り替え領域(非露光領域)とによって画定される潜像がフォトレジストに形成される。
露光されたフォトレジスト層は、次いで、現像液に可溶性である層の領域を選択的に除去するために好適な現像液で現像され、一方、残った不溶性領域は、結果として生じるフォトレジストパターンレリーフ像を形成する。ポジ型現像(PTD)プロセスの場合、フォトレジスト層の露光領域が現像中に除去され、非露光領域が残る。逆に、ネガ型現像(NTD)プロセスでは、フォトレジスト層の露光領域が残り、非露光領域が現像中に除去される。現像液の塗布は、フォトレジスト組成物の塗布に関して上で記載されたような任意の好適な方法によって達成され得、スピンコーティングが典型的である。現像時間は、フォトレジストの可溶性領域を除去するのに有効な期間であり、5~60秒の時間が典型的である。現像は、典型的には、室温で行われる。
PTDプロセス用の適切な現像液には、水性塩基現像液、例えば、TMAHなどの水酸化第四級アンモニウム溶液、好ましくは0.26NのTMAH、水酸化テトラエチルアンモニウム、水酸化テトラブチルアンモニウム、水酸化ナトリウム、水酸化カリウム、炭酸ナトリウム、炭酸カリウム等が含まれる。NTDプロセスのための好適な現像液は、現像液中の有機溶媒の累積含有量が現像液の総重量を基準として50重量%以上、典型的には95重量%以上、98重量%以上又は100重量%であることを意味する、有機溶媒系である。NTD現像液のための好適な有機溶媒には、例えば、ケトン、エステル、エーテル、炭化水素及びそれらの混合物から選択されるものが含まれる。現像液は、典型的には、2-ヘプタノン又は酢酸n-ブチルである。
コーティングされた基板は、本発明のフォトレジスト組成物から形成され得る。そのようなコーティングされた基板は、(a)その表面上にパターン化される1つ以上の層を有する基板と;(b)パターン化される1つ以上の層一面のフォトレジスト組成物の層とを含む。
フォトレジストパターンは、例えば、エッチマスクとして使用され得、それによって公知のエッチング技術により、典型的には反応性イオンエッチングなどの乾式エッチングにより、パターンが1つ以上の連続した下位層に転写されることを可能にし得る。フォトレジストパターンは、例えば、下位ハードマスク層へのパターン転写のために使用され得、それは、順繰りに、ハードマスク層の下の1つ以上の層へのパターン転写のためのエッチマスクとして使用される。フォトレジストパターンがパターン転写中に消費されない場合、それは、公知の技術、例えば、酸素プラズマ灰化によって基板から除去され得る。フォトレジスト組成物は、1つ以上のそのようなパターン形成プロセスにおいて使用される場合、メモリデバイス、プロセッサチップ(CPU)、グラフィックチップ、オプトエレクトロニックチップ、LED、OLEDなどの半導体デバイス及び他の電子デバイスを製造するために使用され得る。
本発明を以下の非限定的な実施例によって更に例証する。
合成実施例。合成反応は、窒素雰囲気で行われた。全ての化学物質は、商業供給業者から受け取ったまま使用し、更なる精製なしに使用した。全ての化合物のプロトン核磁気共鳴(H-NMR)スペクトルは、500メガヘルツ(MHz)のNMR分光計で得た。化学シフトは、内部標準であるテトラメチルシランに対するδ(パーツパーミリオン、ppm)で報告される。多重度は、シングレット(s)、ダブレット(d)、トリプレット(t)、マルチプレット(m)、ダブレットオブダブレット(dd)、トリプレットオブダブレット(dt)、トリプレットオブトリプレット(tt)、又はブロードシングレット(br)で示される。
Q1の合成

10ミリリットル(mL)のジクロロメタン(DCM)中の化合物A(0.66グラム(g)、1.99ミリモル(mmol))の溶液に、化合物B(0.68g、2mmol)及び10mLの脱イオン(DI)水を添加した。得られた二相反応混合物を室温で1時間撹拌した。次いで、有機層を分離し、5mLのDIで洗浄した。洗浄後に有機層を再度分離し、溶媒を減圧下で除去することで、1.1g(96%)の生成物Q1をベージュ色の固体として得た。H-NMR(d,ジメチルスルホキシド-d6(DMSO-d))7.92-7.75 ppm(17H,m),7.64-7.56 ppm(2H,m),及び1.64 ppm(6H,m).
Q2の合成

10mLのDCM中の化合物A(0.66g、1.99mmol)の溶液に、化合物C(0.9g、2mmol)及び10mLのDI水を添加した。Q1の合成と同様の手順に従って、1.3g(93%)の生成物Q2を白色-オレンジ色の固体として得た。H-NMR(d,DMSO-d)8.14 ppm(d,4H),7.89 ppm(dd,2H),7.64-7.58 ppm(2H,m),7.53 ppm(d,4H),1.64 ppm(6H,s),及び1.26 ppm(18H,s).
Q3の合成

10mLのDCM中の化合物D(0.5g、1.55mmol)の溶液に、化合物B(0.6g、3mmol)及び10mLのDI水を添加した。Q1の合成と同様の手順に従って、0.75g(80%)の生成物Q3を淡黄色のオイルとして得た。H-NMR(d,DMSO-d)8.32 ppm(1H,d),7.89-7.76 ppm(15H,m),7.62 ppm(1H,d),7.48 ppm(2H,m),及び1.43 ppm(18H,s).
Q4の合成

20mLのDCM中の化合物E(2.0g、6.26mmol)の溶液に、化合物B(2.0g、5.82mmol)及び20mLのDI水を添加した。次いで、得られた二相反応混合物を室温で4時間撹拌した。有機層を分離し、それぞれ15mLのDI水で5回洗浄した。有機層を再度分離し、溶媒を減圧下で除去することで、Q4を白色固体として得た。H-NMR(d,DMSO-d)7.69-7.59 ppm(17H,m),7.64-7.42 ppm(2H,m),及び2.6 ppm(6H,s).
Q5の合成

20mLのDCM中の化合物E(1.0g、3.13mmol)の溶液に、化合物C(1.4g、3.9mmol)及び20mLのDI水を添加した。Q4の合成と同様の手順に従って、Q5を白色固体として得た。H-NMR(d,DMSO-d)6.87 ppm(4H,d),7.81 ppm(2H,m),4.55 ppm(2H,M),6.39 ppm(4H,d),及び1.29 ppm(18H,s).
コントラストの評価
表1に示される材料及び割合を使用して固形成分を溶媒中に溶解させて1.55重量%の全固形分にすることによってフォトレジスト組成物を調製した。成分の量は、フォトレジスト組成物の全固形分を基準とする重量%として報告する。溶媒系は、PGMEA(50重量%)とジアセトンアルコール(50重量%)とを含んでいた。得られた混合物をメカニカルシェーカー上で振盪し、次いで0.2ミクロンの細孔径を有するPTFE円盤状フィルターを通して濾過した。BARCスタック(80nm厚さのAR(商標)40A反射防止材上の60nm厚さのAR(商標)3反射防止材(DuPont Electronics&Industrial))でオーバーコートされた200mmのシリコンウェハーを、TEL Clean Track ACT 8ウェハートラック(TEL,Tokyo Electron Co.)上でそれぞれのフォトレジスト組成物でそれぞれスピンコートし、110℃で60秒間ソフトベークして約40nmの目標厚さのフォトレジスト層を得た。レジスト層厚さを、THERMA-WAVE OP7350で測定した。ウェハーを、3~53ミリジュール毎平方センチメートル(mJ/cm)の照射線量でCANON FPA-5000 ES4スキャナーにより248nmの放射で露光した。ウェハーを100℃で60秒間露光後ベークし、MF(商標)CD26 TMAH現像液(DuPont Electronics&Industrial)で60秒間現像し、DI水でリンスし、乾燥させた。フォトレジスト層厚さ測定を、層の露光領域において行った。各ウェハーについてのコントラスト曲線を生成し、Eを上記のようなコントラスト曲線から求めた。露光領域における正規化されたフォトレジスト層厚さを線量の対数に対してプロットすることによって、各ウェハーについて追加のコントラスト曲線を生成した。コントラスト(γ)を、正規化されたコントラスト曲線から80%及び20%フォトレジスト膜厚の点の間の傾きとして求めた。結果を表1に示す。
リソグラフィー評価
4.15重量%の全固形分まで、表2に示される材料及び量を使用して固形成分を溶媒に溶解させることによってフォトレジスト組成物を調製した。成分の量は、フォトレジスト組成物の全固形分を基準とする重量%として報告する。溶媒系は、PGMEA(50重量%)とジアセトンアルコール(50重量%)とを含んでいた。メカニカルシェーカーを使用して各混合物を振盪し、次いで0.2ミクロンの細孔径を有するPTFE円盤状フィルターを通して濾過した。CLEAN TRACK ACT8(TEL,Tokyo Electron Co.)ウェハートラックを使用してリソグラフィーを行った。フォトリソグラフィー試験のための200nmウェハーをAR(商標)3 BARC(DuPont Electronics&Industrial)でコーティングし、205℃で60秒間ソフトベークして60nmの膜を得た。次いで、AR(商標)40A BARC(DuPont Electronics&Industrial)のコーティングをAR(商標)3層上に配置し、215℃で60秒間ソフトベークして80nmの厚さを有する第2のBARC層を形成した。次いで、フォトレジスト組成物をデュアルBARCスタック上へコーティングし、110℃で60秒間ソフトベークして120nmの厚さを有するフォトレジスト膜層を得た。
1:1のCHパターン(200nm線幅)を有するマスクを用いてCANON FPA-5000 ES4スキャナー(NA=0.8、アウターシグマ=0.85、インナーシグマ=0.57)を使用して、得られたウェハーを248nmの放射に露光した。露光したウェハーを100℃で60秒間露光後ベークにかけ、0.26NのTMAH溶液で60秒間現像し、次いでDI水ですすぎ洗いし、スピン乾燥させてフォトレジストパターンを形成した。形成されたパターンの限界寸法(CD)線幅測定は、HITACHI S-9380 CD-SEMを使用して行った。局所限界寸法均一性(LCDU)をCD測定に基づいて決定した。サイジングエネルギー(Esize)及びLCDUデータは表2に示されている。
本開示は、実用的で例示的な実施形態であると現在考えられるものと併せて記載されてきたが、本発明は、開示された実施形態に限定されず、むしろ添付の特許請求の範囲の趣旨及び範囲内に含まれる様々な修正及び等価の構成を包含することを意図することが理解されるべきである。

Claims (10)

  1. 有機カチオンと;
    式(1):

    (式(1)において、
    Xは有機基であり;
    及びYは、それぞれ独立して、水素ではない置換基であり;
    とYは、一緒に任意選択的に環を形成し;
    は、水素、ハロゲン、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~50アリール、置換若しくは無置換C7~50アリールアルキル、置換若しくは無置換C7~50アルキルアリール、置換若しくは無置換C6~50アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシであり;
    は、任意選択的にその構造の一部として1つ以上の二価連結基を更に含み;
    とY又はYのうちの一方とは、一緒に任意選択的に環を形成し;
    XとZは、一緒に任意選択的に環を形成し;
    XとY又はYのうちの一方とは、一緒に任意選択的に環を形成する)
    で表されるアニオンと;
    を含む光活性化合物。
  2. Xが式(1)のNに直接結合している電子吸引性基を含む、請求項1に記載の光活性化合物。
  3. Xが式(2a)~(2c):

    (式中、
    1aは、水素、ハロゲン、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~50アリール、置換若しくは無置換C7~50アリールアルキル、置換若しくは無置換C7~50アルキルアリール、置換若しくは無置換C6~50アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシであり;
    1aは、任意選択的にその構造の一部として1つ以上の二価連結基を更に含み;
    1b及びZ1cは、それぞれ独立して、置換若しくは無置換C1~30アルキル、置換若しくは無置換C3~30シクロアルキル、置換若しくは無置換C3~30ヘテロシクロアルキル、置換若しくは無置換C6~50アリール、置換若しくは無置換C7~50アリールアルキル、置換若しくは無置換C7~50アルキルアリール、置換若しくは無置換C6~50アリールオキシ、置換若しくは無置換C3~30ヘテロアリール、置換若しくは無置換C4~30アルキルヘテロアリール、置換若しくは無置換C4~30ヘテロアリールアルキル、又は置換若しくは無置換C3~30ヘテロアリールオキシであり;
    1b及びZ1cは、それぞれ独立して、任意選択的にその構造の一部として1つ以上の二価連結基を更に含み;
    及びYは、それぞれ独立して、水素ではない置換基であり;
    とYは、一緒に任意選択的に環を形成し;
    1aとY又はYのうちの一方とは、一緒に任意選択的に環を形成し;
    とY又はYのうちの一方とは、一緒に任意選択的に環を形成し;
    1aとZは、一緒に任意選択的に環を形成し;
    1bとZは、一緒に任意選択的に環を形成し;
    1cとZは、一緒に任意選択的に環を形成し;
    *は、式(1)におけるNへの連結点を表す)
    のうちの1つで表される部位である、請求項1又は2に記載の光活性化合物。
  4. 式(1)で表される前記アニオンがフッ素を含まない、請求項1~3のいずれか一項に記載の光活性化合物。
  5. 前記アニオンが1つ以上の酸不安定基を含む、請求項1~4のいずれか一項に記載の光活性化合物。
  6. とYが一緒に連結して環を形成している;
    1aとZが一緒に連結して環を形成している;
    1bとZが一緒に連結して環を形成している;
    1cとZが一緒に連結して環を形成している;又は
    とYが一緒に連結して環を形成しており、Z1aとZが一緒に連結して環を形成しており、Z1bとZが一緒に連結して環を形成しており、且つZ1cとZが一緒に連結して環を形成している、請求項1~5のいずれか一項に記載の光活性化合物。
  7. 前記有機カチオンがヨードニウムカチオン又はスルホニウムカチオンを含む、請求項1~6のいずれか一項に記載の光活性化合物。
  8. 請求項1~7のいずれか一項に記載の光活性化合物と;
    ポリマーと;
    を含むフォトレジスト組成物。
  9. 前記光活性化合物とは異なる光酸発生剤を更に含む請求項8に記載のフォトレジスト組成物であって、前記ポリマーが1つ以上の酸不安定基を含む、フォトレジスト組成物。
  10. 請求項8又は9に記載のフォトレジスト組成物の層を基板に塗布してフォトレジスト組成物層を得ること;
    前記フォトレジスト組成物層を活性化放射にパターン様露光して、露光されたフォトレジスト組成物層を提供すること;及び
    前記露光されたフォトレジスト組成物層を現像すること;
    を含むパターン形成方法。
JP2023111332A 2022-07-14 2023-07-06 光活性化合物、それを含むフォトレジスト組成物及びパターン形成方法 Pending JP2024012132A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263389212P 2022-07-14 2022-07-14
US63/389,212 2022-07-14

Publications (1)

Publication Number Publication Date
JP2024012132A true JP2024012132A (ja) 2024-01-25

Family

ID=89495122

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023111332A Pending JP2024012132A (ja) 2022-07-14 2023-07-06 光活性化合物、それを含むフォトレジスト組成物及びパターン形成方法

Country Status (5)

Country Link
US (1) US20240027904A1 (ja)
JP (1) JP2024012132A (ja)
KR (1) KR20240009881A (ja)
CN (1) CN117402126A (ja)
TW (1) TW202402722A (ja)

Also Published As

Publication number Publication date
TW202402722A (zh) 2024-01-16
CN117402126A (zh) 2024-01-16
KR20240009881A (ko) 2024-01-23
US20240027904A1 (en) 2024-01-25

Similar Documents

Publication Publication Date Title
JP7441930B2 (ja) フォトレジスト組成物及びパターン形成方法
JP2024012132A (ja) 光活性化合物、それを含むフォトレジスト組成物及びパターン形成方法
JP7377931B2 (ja) フォトレジスト組成物及びパターン形成方法
KR102630503B1 (ko) 포토레지스트 조성물 및 패턴 형성 방법
JP7372960B2 (ja) フォトレジスト組成物及びパターン形成方法
JP2023152862A (ja) 光活性化合物、それを含むフォトレジスト組成物及びパターン形成方法
JP2023051836A (ja) フォトレジスト組成物及びパターン形成方法
JP2024070830A (ja) ポリマー、それを含むフォトレジスト組成物及びパターン形成方法
KR20230047029A (ko) 포토레지스트 조성물 및 패턴 형성 방법
JP2023159129A (ja) フォトレジスト組成物及びパターン形成方法
JP2023182701A (ja) フォトレジスト組成物及びパターン形成方法
KR20240069645A (ko) 중합체, 이를 포함하는 포토레지스트 조성물, 및 패턴 형성 방법
JP2024013218A (ja) 光酸発生剤、フォトレジスト組成物及びパターン形成方法
JP2023171299A (ja) 化合物及びそれを含むフォトレジスト組成物
KR20220097253A (ko) 포토레지스트 조성물 및 패턴 형성 방법
JP2023051872A (ja) フォトレジスト組成物及びパターン形成方法
KR20220015335A (ko) 포토레지스트 조성물 및 패턴 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230731

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20230801

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20231113