JP2023553691A - 構造化フィルム、及びそれを用いて基材上にパターンを形成する方法 - Google Patents

構造化フィルム、及びそれを用いて基材上にパターンを形成する方法 Download PDF

Info

Publication number
JP2023553691A
JP2023553691A JP2023536849A JP2023536849A JP2023553691A JP 2023553691 A JP2023553691 A JP 2023553691A JP 2023536849 A JP2023536849 A JP 2023536849A JP 2023536849 A JP2023536849 A JP 2023536849A JP 2023553691 A JP2023553691 A JP 2023553691A
Authority
JP
Japan
Prior art keywords
layer
structured
layers
etch
unstructured
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023536849A
Other languages
English (en)
Inventor
ビー. ウォルク,マーティン
エル. ブロット,ロバート
ダブリュ. ゴトリック,ケビン
エス. ライオンズ,クリストファー
ティー. ネルソン,カレブ
サバティーブ,バディム
エム. ネルソン,ジェイムズ
アール. シャルト,クレイグ
エル. ソロモン,ジェフリー
ケー. ステンスヴァド,カール
ディー. ゼイス,スティーブン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
3M Innovative Properties Co
Original Assignee
3M Innovative Properties Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 3M Innovative Properties Co filed Critical 3M Innovative Properties Co
Publication of JP2023553691A publication Critical patent/JP2023553691A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/14Surface shaping of articles, e.g. embossing; Apparatus therefor by plasma treatment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/002Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of materials engineered to provide properties not available in nature, e.g. metamaterials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1847Manufacturing methods
    • G02B5/1857Manufacturing methods using exposure or etching means, e.g. holography, photolithography, exposure to electron or ion beams
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/02Optical fibres with cladding with or without a coating
    • G02B6/02057Optical fibres with cladding with or without a coating comprising gratings
    • G02B6/02076Refractive index modulation gratings, e.g. Bragg gratings
    • G02B6/0208Refractive index modulation gratings, e.g. Bragg gratings characterised by their structure, wavelength response
    • G02B6/02085Refractive index modulation gratings, e.g. Bragg gratings characterised by their structure, wavelength response characterised by the grating profile, e.g. chirped, apodised, tilted, helical
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/02Optical fibres with cladding with or without a coating
    • G02B6/02057Optical fibres with cladding with or without a coating comprising gratings
    • G02B6/02076Refractive index modulation gratings, e.g. Bragg gratings
    • G02B6/02123Refractive index modulation gratings, e.g. Bragg gratings characterised by the method of manufacture of the grating
    • G02B6/02133Refractive index modulation gratings, e.g. Bragg gratings characterised by the method of manufacture of the grating using beam interference
    • G02B6/02138Refractive index modulation gratings, e.g. Bragg gratings characterised by the method of manufacture of the grating using beam interference based on illuminating a phase mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Laminated Bodies (AREA)

Abstract

基材上にパターンを形成するための構造化フィルムが、ポリマー性支持層と、接着剤層と、ポリマー性支持層と接着剤層との間に配置されたエッチングレジスト層と、ポリマー性支持層とエッチングレジスト層との間に配置された構造化樹脂層と、エッチングレジスト層と接着剤層との間に配置された1つ以上の非構造化層と、を含む。構造化樹脂層は、複数の加工構造を含む構造化主表面を有する。エッチングレジスト層は、隣接する加工構造間の空間を少なくとも部分的に充填して、構造化主表面を実質的に平坦化する。構造化フィルムを使用して、基材上にパターンを形成する方法が記載される。

Description

ナノ構造化物品などの構造化物品は、光学メタサーフェス適用例などの光学適用例を含む様々な適用例に有用である。
本明細書は概して、基材上にパターンを形成するために使用できる構造化フィルム、構造化フィルムを使用して基材上にパターンを形成する方法、及び基材上に配置された構造化フィルムを含む物品に関する。
本明細書のいくつかの態様では、基材上にパターンを形成するための構造化フィルムを提供する。構造化フィルムは、ポリマー性支持層、接着剤層と、ポリマー性支持層と接着剤層との間に配置されたエッチングレジスト層と、ポリマー性支持層とエッチングレジスト層との間に配置された構造化樹脂層と、エッチングレジスト層と接着剤層との間に配置された、全厚さが10マイクロメートル未満である1つ以上の非構造化層と、を含む。構造化樹脂層は、複数の加工構造(engineered structure)を含む構造化主表面を有する。エッチングレジスト層は、隣接する加工構造間の空間を少なくとも部分的に充填して、構造化主表面を実質的に平坦化する。
本明細書のいくつかの態様では、基材上にパターンを形成する方法を提供する。この方法では、ポリマー性支持層と、1つ以上の非構造化層と、ポリマー性支持層と1つ以上の非構造化層との間に配置されたエッチングレジスト層と、ポリマー性支持層とエッチングレジスト層との間に配置された構造化樹脂層とを含む、構造化フィルムを利用することができる。構造化樹脂層は、複数の加工構造を含む構造化主表面を有する。エッチングレジスト層は、隣接する加工構造間の空間を少なくとも部分的に充填して、構造化主表面を実質的に平坦化し、かつエッチングレジストパターンを画定する。この方法は、構造化フィルムを提供することと、ポリマー性支持層が基材から遠ざかる方に向くようにして、構造化フィルムを基材に接合することと、基材上に配置された少なくともエッチングレジスト層及び1つ以上の非構造化層を残して少なくともポリマー性支持層を除去することと、1つ以上の非構造化層にエッチングレジストパターンを転写し、それによって基材上にパターンを形成するように、1つ以上の非構造化層内へとエッチングすることと、を順に含む。
本明細書のいくつかの態様では、光学物品を提供する。光学物品は、基材と、基材上に配置された光学的に透明なエッチング停止層と、エッチング停止層上に配置された構造化フィルムとを含む。構造化フィルムは、1つ以上のパターン化層と、1つ以上のパターン化層をエッチング停止層に接合する接着剤層とを含む。構造化フィルムは、隣接する構造を分離する複数の空隙を画定する複数の構造を含む。空隙のうち少なくともいくつかは、構造化フィルムを貫通してエッチング停止層まで延在する。
本明細書のいくつかの態様は、導波路と、導波路上に配置された構造化フィルムと、を含む光学物品を提供する。構造化フィルムは、構造化フィルムを導波路に接合するオプティカルボンディング層と、オプティカルボンディング層上に配置された1つ以上のパターン化層と、1つ以上のパターン化層とオプティカルボンディング層との間に配置されたエッチング停止層と、を含む。1つ以上のパターン化層は、1つ以上の非構造化層にパターンを形成することによって形成され、1つ以上の非構造化層の実質的に垂直な入射光に対する光透過率は、少なくとも400nm~2500nmの範囲内の第1の波長W1について、少なくとも50%である。エッチング停止層の実質的に垂直な入射な光に対する光透過率は、少なくとも第1の波長W1について少なくとも50%である。オプティカルボンディング層の屈折率は、少なくとも第1の波長W1について0.01未満の虚数部を有し、オプティカルボンディング層の平均厚さは、5nm超かつ1/4W1未満である。
これら及び他の態様は、以下の詳細な説明から明らかになるであろう。しかしながら、いかなる場合も、この簡潔な概要は、特許請求の範囲の主題を限定するものと解釈されるべきではない。
いくつかの実施形態による、構造化フィルムの概略断面図である。 いくつかの実施形態による、構造化フィルムの概略断面図である。 図1Aの構造化フィルムを作製する方法の概略図である。 基材上にパターンを形成する方法を概略的に示す。 基材上にパターンを形成する方法を概略的に示す。 いくつかの実施形態による、構造化フィルムを使用して形成することができる物品の概略断面図である。 いくつかの実施形態による、構造化フィルムを使用して形成することができる物品の概略断面図である。 いくつかの実施形態による、構造化フィルムを使用して形成することができる物品の概略断面図である。 いくつかの実施形態による、例示的な構造化フィルムの概略断面図である。 いくつかの実施形態による、例示的な構造化フィルムの概略断面図である。 いくつかの実施形態による、2つの異なる高さを有する構造を有するエッチングレジスト層を含む物品の概略断面図である。 図5Aの物品のエッチングレジスト層を貫通してエッチングすることによって基材上にパターンを形成する方法の概略図である。 複数の高さを有する加工構造を含むパターンを基材上に形成する方法の概略図である。 いくつかの実施形態による、基材上に配置された構造化フィルムを含む物品の概略断面図である。 いくつかの実施形態による、1つ以上の非構造化層に入射する光を示す概略断面図である。
以下の説明では、本明細書の一部を構成し、様々な実施形態が実例として示される、添付図面が参照される。図面は、必ずしも正確な比率の縮尺ではない。本明細書の範囲又は趣旨から逸脱することなく、他の実施形態が想到され、実施され得ることを理解されたい。したがって、以下の発明を実施するための形態は、限定的な意味では解釈されない。
ナノインプリントリソグラフィ(NIL)は、3段階プロセスでエッチングウェハの表面をナノパターン化する方法である。まず、エッチング基材の表面上にナノインプリントレジストをスピンコーティングする。次に、ナノパターン化されたテンプレートウェハを使用して、コーティングされたレジスト層を成形する。最後に、構造化されたレジスト層と共にエッチングウェハを、レジスト層からエッチングウェハ又はエッチングウェハ表面上のパターン転写層に構造を転写するために使用される反応性イオンエッチング(RIE)プロセスにかける。NILは、例えば、Smithらの「Employing Step and Flash imprint lithography for gate-level patterning of a MOSFET device」、Proc.SPIE 5037、Emerging Lithographic Technologies VII、(2003年6月16日)、doi:10.1117/12.490142、及び、例えば、Microlithography(マイクロリソグラフィ)、(著者:D.Resnick及びH.Schift、第3版、編者:B.Smith、K.Suzuki、CRC Press、Francis and Taylor、2020年5月21日)、ISBN 9781439876756の、594~675頁、第11章「インプリントリソグラフィ」に記載されている。他のナノ構造化物品が、例えば、国際特許出願公開第2020/095258号(Van Lengerichら)及び同第2020/097319号(Wolkら)に記載されている。
本明細書のいくつかの実施形態によれば、フィルムの使用者がレジスト層をスピンコーティング及び成形する必要なく、基材上にパターン化層を形成できるようになる構造化フィルムが提供される。この構造化フィルムを、連続的なロールツーロールプロセス又はロールツープレートプロセスで使用して、従来のNILバッチプロセスよりも実質的により効率的に基材上に構造を形成し得る。この構造化フィルムを使用して、従来のNILバッチプロセスで使用される半導体ウェハフォーマットよりも、又は、大型フォーマットNIL若しくはロールツープレートNILで典型的に使用されるディスプレイガラス基材よりも実質的に大きな基材上に構造を形成し得る。いくつかの実施形態では、この構造化フィルムは、基材のある領域上に構造を形成するために使用され、この領域の最大寸法は、例えば100mm、200mm、300mm、450mm、又は600nmを超える。いくつかの実施形態では、この構造化フィルムの最大寸法は、例えば、4m超、又は5m超、又は10m超、又は50m超、又は100m超である。いくつかの実施形態では、構造化フィルムのロールが提供される。いくつかの実施形態では、ロールはシート形態に変換される。
構造化フィルムは、パターン化されたエッチングレジスト層と、1つ以上の非構造化層とを含むことができる。構造化フィルムを基材に接合することができ、次いで、1つ以上の以前に構造化されていない層へのエッチングによってエッチングレジスト層からパターンを転写して、基材上にパターンを形成することができる。
図1Aは、いくつかの実施形態による、構造化フィルム100の概略断面図である。図1Bは、いくつかの実施形態による、構造化フィルム103の概略断面図である。図1Cは、構造化フィルム100を作製する方法の概略図である。構造化フィルム100は、構造化フィルムを基材に接合するための層140を含む。層140は、例えば光学的に透明な接着剤層などの、接着剤層であってもよい。構造化フィルム100は、エッチングレジスト層125と、1つ以上の非構造化層135とを含み、この非構造化層は、構造化フィルム100が基材に接合された後にエッチングレジスト層125を貫通してエッチングすることによってパターン化され得る(例えば、図2A参照)。いくつかの実施形態では、構造化フィルム100は、ポリマー性支持層130と、接着剤層140と、ポリマー性支持層130と接着剤層140との間に配置されたエッチングレジスト層125と、ポリマー性支持層130とエッチングレジスト層125との間に配置された構造化樹脂層127と、エッチングレジスト層125と接着剤層140との間に配置された、全厚さが10マイクロメートル未満である1つ以上の非構造化層と、を含む。1つ以上の非構造化層は、図1Aでは単一の層として概略的に示されているが、複数の層(例えば、図4Bに示される層135a、135b)を含み得る層(単数又は複数)135を指してもよく、あるいは、層135、131、及び137を含む層135’を指してもよい。いくつかの実施形態では、層131はマスク層(例えば、パターン化されるように適合された層であり、そのパターン化された層を次いで、1つ以上の層135をパターン化するためのエッチングマスクとして使用できるようになっている)である。マスク層は、ハードマスク層とも称され得る。いくつかの実施形態では、層137はエッチング停止層(例えば、エッチングプロセスで共通のエッチング深さを提供するように適合された層)である。
層(単数又は複数)135の全厚さはs1であり、層135’の全厚さはs1’である。いくつかの実施形態では、1つ以上の非構造化層の全厚さ(例えば、s1及び/又はs1’)は、10マイクロメートル未満、又は5マイクロメートル未満、又は2マイクロメートル未満、又は1マイクロメートル未満、又は0.5マイクロメートル未満である。いくつかのそのような実施形態では、又は他の実施形態では、全厚さは少なくとも10nmである。いくつかのそのような実施形態では、又は他の実施形態では、層135(単数又は複数)及び/又は層135’は、層135(単数又は複数)及び/又は135’が自己支持型でないほど十分に薄い。自己支持層(単数又は複数)とは、通常の取り扱い中に、一切の追加の支持層なしで形状を維持する(例えば、層(単数又は複数)が折り重なること又はしわくちゃになることなく、長さ及び幅を維持する)ことができ、完全性(例えば、破れ又は亀裂がない)を維持することができる層である。層135(単数又は複数)及び/又は135’は、それらの層(単数又は複数)が、例えばポリマー性支持層130などの追加の層(単数又は複数)なしでは形状及び/又は完全性を維持することができないほど十分に薄くてもよい。
構造化樹脂層127は、複数の加工構造129を含む構造化主表面128を有する。エッチングレジスト層125は、隣接する加工構造129間の空間を少なくとも部分的に充填して、構造化主表面128を実質的に平坦化する(すなわち、エッチングレジスト層125の主表面121などの実質的に平坦な主表面を画定する)。エッチングレジスト層125は、構造化主表面128によって画定されたエッチングレジストパターン111(例えば、図2A参照)を有し得る。エッチングレジスト層125は、構造化樹脂層127の構造化主表面128に面する主表面228を有する。主表面228は、典型的には、構造化主表面128に実質的に合致する(例えば、合致状態からの偏差が、t2の20%以下又は10%以下である)。
いくつかの実施形態では、加工構造129は、直交方向(例えば、x方向及びy方向)に長さ及び幅を有し、ここで各方向は厚さ方向(z方向)に直交する。いくつかの実施形態では、複数の加工構造129は複数のナノ構造である、又はそれらを含む。ナノ構造は、約1nm~約1000nmの範囲内の、少なくとも2つの直交寸法(例えば、高さ、長さ、及び幅のうち少なくとも2つ)を有する構造である。加工構造(例えば、加工されたナノ構造)は、意図的に所定の幾何学的形状(例えば、所定の長さ、幅、及び高さ)で作製された構造である。加工構造の代表的な形状のうちいくつかには、長方形、三角形及び台形の角柱と、フィンと、円筒形及び切頭円錐形の形状の柱と、他のそのような形状とが含まれるが、これらに限定されない。いくつかの実施形態では、加工構造の平均アスペクト比(高さを、長さ又は幅又は(高さに直交する)最大横方向寸法で除算したもの)は、例えば少なくとも0.5、又は少なくとも1、又は少なくとも2、又は少なくとも5、又は少なくとも10である。いくつかの実施形態では、加工構造は、少なくとも1度、又は少なくとも2度、又は少なくとも3度の、垂直ではない側壁角を有する。他の実施形態では、加工構造は垂直な側壁を有してもよい。加工構造は、適用例の機能性及び製造可能性に応じて、規則的な又は不規則の(例えば、構造がランダムに配列されているように見えるが、基礎となる決定論的プロセスから形成される擬似ランダム分布の)ピッチ、配向、及び/又は形状で配置することができる。
いくつかの実施形態では、エッチングレジスト層125は、エッチングレジスト層125の実質的に構造化されていない主表面121と複数の加工構造129との間に残余層142を含む。残余層は、エッチングレジスト層の、加工構造129の上面の(正のz方向において)上方にある部分として記載され得る。いくつかの実施形態では、複数の加工構造の平均高さt2に対する残余層142の平均(非加重平均)厚さt1の比は、1未満、又は0.5未満、又は0.3未満、又は0.25未満である。いくつかの実施形態では、t1/t2は、例えば0.001~0.5の範囲内である。主表面121は、その表面内に存在し得る任意の構造の高さが平均高さt2よりも実質的に小さい(例えば、平均高さt2の20%未満、又は10%未満、又は5%未満、又は3%未満)場合、実質的に構造化されていないとみなすことができる。主表面121は、横断方向において(例えば、x-y平面内で)長さスケールで平均高さt2よりも実質的に大きい(例えば、t2の100倍又はt2の1000倍)平面性からの任意の偏差が、平均高さt2よりも実質的に小さい(例えば、平均高さt2の20%未満、又は10%未満、又は5%未満、又は3%未満)場合、実質的に平坦であるとみなすことができる。いくつかの実施形態では、実質的に平坦化された表面の平坦化量(P%)は、50%超、より好ましくは75%超、最も好ましくは90%超であり、平坦化量はP=(1-(a1/a2))*100%によって与えられ、式中、a1は表面層(例えば、実質的に平坦化している層)のレリーフ高さであり、a2は表面層によって覆われたフィーチャのフィーチャ高さであり、これについては、P.Chiniwalla、IEEE Trans.Adv.Packaging 24(1)、2001、41に詳細に開示されている。いくつかの実施形態では、主表面121の面Raは、30nm未満、又は20nm未満、又は10nm未満、又は5nm未満、又は2nm未満、又は1nm未満、又は0.5nm未満である。
層140は、所与の適用例に好適な範囲の平均厚さを有することができる。いくつかの実施形態では、層140の平均厚さtaは、250nm未満、又は200nm未満、又は150nm未満、又は100nm未満、又は75nm未満、又は50nm未満、又は40nm未満、又は30nm未満である。いくつかのそのような実施形態では、又は他の実施形態では、平均厚さtaは、少なくとも5nm、又は少なくとも10nm、又は少なくとも15nmである。いくつかの実施形態では、光学適用例については、例えば、平均厚さtaは対象となる波長の1/4未満であるように選択される。例えば、可視光については、平均厚さが100nm未満又は実質的に100nm未満(例えば、50nm未満)であることが好ましいことがあるが、近赤外光については、平均厚さは、例えば最大250nmであってもよく、より長い波長が対象である場合には更に大きくてもよい。対象となる波長の1/4未満の厚さを有することにより、1つ以上の非構造化層内に形成される、結果の構造が、例えば、基材内でエバネッセント波に結合できるようになる。これにより、結果の構造を、例えば、光抽出に使用できるようになる。エバネッセント波との結合が対象ではない適用例では、平均厚さtaには特に制限はなく、例えば、10nm~5マイクロメートルの範囲内であってもよい。
層140は、ポリマー又はモノマーのボンディング層とすることができ、かつ/又は光学的に透明な接着剤層であってもよい。好適な光学的に透明な接着剤として、例えば、Norland Products Inc.(Cranbury、NJ)から入手可能なものが挙げられる。他の好適な接着剤として、例えば、Dow Chemical Company(Midland、MI)からCYCLOTENEの商品名で入手可能なものなどの熱硬化性材料が挙げられる。更に他の好適な接着剤として、例えば、KRATON Polymers(Huston、TX)からKRATONの商品名で入手可能なものなどの熱活性化接着剤が挙げられる。薄い接着剤層(例えば、50nm未満の厚さ)を含めて、好適な接着剤層が、例えば、米国特許第7,521,727号(Khanarianら)、同第7,053,419号(Camrasら)、同第6,709,883号(Yangら)、及び同第6,682,950号(Yangら)に記載されている。
図1Bは構造化フィルム103の概略断面図であり、構造化フィルム103は、構造化樹脂層127とポリマー性支持層130との間に任意選択の追加層133が配置されていること、及び、ポリマー性支持層130と構造化樹脂層の間に別の任意選択の追加層132が配置されていることを除いて、構造化フィルム100に相当し得る。追加層133は、本明細書の他の箇所で更に記載されるように、(例えば、照射による)活性化時にポリマー性支持層130と構造化樹脂層127との分離を容易にするように適合されていてもよい、動的分離層であってもよい。本明細書に記載される他の構造化フィルム(例えば、図4A~図4Bに概略的に示される構造化フィルム)は、任意選択的に追加層133及び/又は追加層132を含んでもよい。いくつかの実施形態では、追加層133が含まれ、追加層132は省略される。いくつかの実施形態では、追加層132が含まれ、追加層133は省略される。
図1Cは、構造化フィルム100を作製する方法の概略図である。図1Cの方法は、例えば、連続的なロールツーロールプロセスで実行されてもよい。ステップA0で、ポリマー性支持層130上に構造化樹脂層127を形成する。ポリマー性支持層130は、例えば、寸法安定化(例えば、ヒートセット)され得るポリエチレンテレフタレート(PET)フィルム又はポリカーボネートフィルムであってもよい。ポリマー性支持層130に好適な他の材料として、例えば、他のポリエステル又はコポリエステル、ポリウレタン、ポリメチルメタクリレート、ポリスチレン、ポリイミド、ポリエチレンナフタレート、ポリプロピレン、及び環状オレフィンコポリマーが挙げられる。
構造化樹脂層127は、異なるパターン印刷技術、転写技術、タイリング技術、複写技術、又は複製技術を使用して形成することもでき、これらの技術には、例えば、フォトリソグラフィ法、電子ビームリソグラフィ法、グレースケールリソグラフィ法、2光子リソグラフィ法、若しくはナノインプリントリソグラフィ法、又はマイクロコンタクト印刷法(μCP)によって製造されたマスターモールドが挙げられ得る。構造化樹脂層127に好適な材料として、アクリレート成分又はメタクリレート成分を含むものなどの重合性組成物、及び、例えばポリメチルメタクリレート、ポリカーボネート、ポリプロピレン、ポリエチレン、ポリスチレン、ポリエステル、又はポリアミドなどの熱可塑性材料が挙げられる。熱可塑性材料は、例えば、米国特許第3,515,778号(Fieldsら)及び同第4,097,634号(Bergh)に全般的に記載されているように、ツールの構造化された表面に熱及び圧力を用いて成形され、冷却によって硬化されてもよい。構造化樹脂層127は、例えば、ポリマー性支持層130よりも低い温度で流動する熱可塑性材料から作製されてもよい。いくつかのそのような実施形態で、又は他の実施形態では、構造化樹脂層127とポリマー性支持層130とを境界面が分離する。あるいは、いくつかの実施形態では、構造化樹脂層127及びポリマー性支持層130は、例えば、構造化樹脂層127及びポリマー性支持層130がモノシリック体を形成するように、同じ熱可塑性材料から作製されてもよい。複製技術(例えば、ナノ複製)は、例えば、ツールの構造化表面に樹脂を注型し、硬化させることを含むことができる。構造化樹脂層127は、例えば、架橋アクリレート層又はメタクリレート層であってもよい。例えば、いくつかの実施形態では、樹脂はアクリル樹脂であり、樹脂を硬化させることは、樹脂を架橋させることを含む。好適な注型及び硬化の方法、並びにこのような方法で使用するのに好適な樹脂が、例えば、米国特許第5,175,030号(Luら)及び同第5,183,597号(Lu)、並びに米国特許出願公開第2012/0064296号(Walker,JR.ら)に記載されている。構造化樹脂層127を形成するための他の有用な方法及び/又は材料が、例えば、米国特許第8,658,248号(Andersonら)、同第5,811,183号(Shawら)、及び同第6,045,864号(Lyonsら)に記載されている。構造化されたツールは、ツーリングマスター、その金属コピー、ツーリングマスター又はその金属コピーのいずれかのポリマーコピー、そのようなポリマーコピーのポリマーコピー、直接書き込まれたツール又はそのコピー、構造化ライナーのコピー又はそのコピーを調製するために、フォトリソグラフィ又は電子ビームリソグラフィなどの、任意の好適な製造方法を使用して製造されてもよい。好適な製造方法が、例えば、国際出願公開第2009/002637号(Zhangら)、並びに米国特許出願公開第2007/0065636号(Merrillら)及び同第2014/0193612号(Yuら)、並びに米国特許第8,460,568号(Davidら)に記載されている。ツールは、例えばダイヤモンド旋削によって作られてもよい。例示的なダイヤモンド旋削のシステム及び方法が、例えば、米国特許第7,350,442号(Ehnesら)、同第7,328,638号(Gardinerら)、及び同第6,322,236号(Campbellら)に記載されている。サブ波長回折格子のパターン化の一例が、Chun-Wei Liu、Jiwang Yan、Shih-Chieh Lin著、「Diamond turning of high-precision roll-to-roll imprinting molds for fabricating subwavelength gratings」、Opt.Eng.55(6)、064105(2016)、DOI:10.1117/1.OE.55.6.064105.)に記載されている。
ステップB0で、構造化樹脂層上にエッチングレジスト層125を(例えばコーティングによって)配置する。ステップC0で、エッチングレジスト層125上に層135’を堆積させる。ステップD0で、層135’上に接着剤層140を(例えばコーティングによって)配置する。マスク層131、エッチング停止層137、及び層(単数又は複数)135は、材料タイプ、層厚さを考慮して、様々な堆積方法を使用して堆積され得る。好適な堆積方法として、例えば、化学気相成長(CVD)法、スパッタコーティング法、物理気相成長(PVD)法、原子層堆積(ALD)法、又はこれらの組み合わせが挙げられ得る。スロットダイコーティング、スライドコーティング、カーテンコーティング、ナイフコーティング、ブレードコーティング、ディップコーティング、及びスピンコーティングなどの、当該技術分野で知られているコーティング方法を使用して、平坦な、又は構造化された表面上に薄層又はフィルムをコーティングすることができる。スロットダイコーティング機器について、例えば、米国特許第5,639,305号(Brownら)及び同第7,591,903号(Maierら)に記載されている。スピンコータについて、例えば、米国特許第6,033,728号(Kikuchiら)に記載されている。
いくつかの実施形態では、構造化フィルム100若しくは100’(例えば図2B参照)又は本明細書に記載の他の構造化フィルムは、ポリマー性支持層130と、1つ以上の非構造化層135若しくは135’と、ポリマー性支持層130と1つ以上の非構造化層との間に配置されたエッチングレジスト層125と、ポリマー性支持層130とエッチングレジスト層125との間に配置された構造化樹脂層127と、を含み、構造化樹脂層127は、複数の加工構造を含む構造化主表面128を有し、エッチングレジスト層125は、隣接する加工構造間の空間を少なくとも部分的に充填して、構造化主表面128を実質的に平坦化し、かつエッチングレジストパターン111を画定する(例えば、図2A参照)。構造化フィルム100若しくは100’、又は本明細書に記載される他の構造化フィルムは、図2A~図2Bに概略的に示すように、基材120上にパターン110を形成するために使用することができる。いくつかの実施形態では、方法は、構造化フィルム100又は100’を提供することと、ポリマー性支持層130が基材から遠ざかる方に向くようにして、構造化フィルムを基材120に接合すること(例えば、接着剤層140を用いて構造化フィルムを基材に接着すること)(図2AのステップA又は図2BのステップA’)と、基材120上に配置された少なくともエッチングレジスト層125及び1つ以上の非構造化層135又は135’を残して少なくともポリマー性支持層130を除去すること(ステップB又はC)と、1つ以上の非構造化層にエッチングレジストパターン111を転写し、それによって基材120上にパターン110を形成するように、1つ以上の非構造化層内へとエッチングすること(ステップE)と、を順に含む。いくつかの実施形態では、例えば、図1A~図1B及び図2Aに概略的に示すように、構造化フィルムは、1つ以上の非構造化層上に配置された接着剤層140を含む。いくつかの実施形態では、図2Bに概略的に示すように、例えば、構造化フィルム100’を基材120に接合することは、基材120上に接着剤層140を配置し、次いで、接着剤層140上に構造化フィルム100’を配置することを含む。
いくつかの実施形態では、エッチングレジストパターン111と基材120上のパターン110とは、実質的に同じ幾何学的形状を有する(例えば、エッチングレジストパターン111の構造の長さ、幅及び厚さの各々が、パターン110の構造のそれぞれの長さ、幅及び厚さの20%以内、又は10%以内であってもよい)。他の実施形態では、エッチングレジストパターン111と基材120上のパターン110とは、平面図では実質的に同じパターンを有する(例えば、エッチングレジストパターン111の構造の長さ及び幅のそれぞれが、パターン110の構造の各々の長さ及び幅の20%以内、又は10%以内であってもよい)が、エッチング条件によって調整し得る、異なる厚さ又は高さを有してもよい。
いくつかの実施形態では、構造化樹脂層127は、ポリマー性支持層130又はエッチングレジスト層125に剥離可能に取り付けられている。いくつかの実施形態では、構造化樹脂層127は、エッチングレジスト層125に恒久的に取り付けられており、ポリマー性支持層130に剥離可能に取り付けられている。このことは図2AのステップBに概略的に表されている。この場合、構造化フィルム100若しくは100’又は他の構造化フィルムを使用して、基材120上にパターン110を形成する方法は、構造化樹脂層127をエッチング(例えば、反応性イオンエッチング)してエッチングレジスト層125のエッチングレジストパターン111を露出させるステップ(ステップD)を含んでもよい。いくつかの実施形態では、構造化樹脂層127は、エッチングレジスト層125に剥離可能に取り付けられており、ポリマー性支持層130に恒久的に取り付けられている。このことは図2AのステップCに概略的に示されている。図2AのステップC又はステップDの結果、物品105が得られ、この物品は、エッチングレジスト層125を含み、かつ、エッチングレジスト層125と基材120との間に配置された1つ以上の非構造化層135を含んでおり、1つ以上の非構造化層135を接着剤層140が基材120に接合している。
いずれの層にもほとんど又は全く損傷を与えることなく(例えば、正常視力(20/20vision)の人が裸眼では容易に損傷を見ることができないほどの少ない損傷)互いに分離することができる場合、2つの層は互いに剥離可能に取り付けられている。第1の層と第2の層が互いに剥離可能に取り付けられていることは、第1の層と第2の層との間に第3の層(及び任意選択的に、追加の層)が配置されており、第3の層が第1の層と第2の層の分離を容易にするように適合されている場合を含む(例えば、第3の層は、第1の層及び第2の層のうち少なくとも1つから分裂又は分離するように適合されていてもよい)。第3の層は、(例えば、照射又は化学活性化又は熱活性化による)第3の層の活性化後にのみ、第1の層と第2の層の分離を容易にするように適合されていてもよい。例えば、第3の層は動的分離層であってもよい。動的分離層は、(例えば、照射によって分離層を活性化することによって)第1の状態から少なくとも第2の状態に変化させることができる層であり、この層により、第1の状態では少なくとも1つの隣接層に対して接着性が高くなり、第2の状態ではこの少なくとも1つの隣接層に対して接着性が低くなる。例えば、動的分離層は、光誘起応力モード剥離層(例えば、架橋することができるポリマー層、又は架橋の増加によって隣接層(単数又は複数)からの剥離を容易にする応力が生成されるように照射時に更に架橋することができるポリマー層)及び/又は光熱変換(LTHC)層であってもよい。動的分離層は、複数の副層を含んでもよい。好適な動的分離層として、カーボンブラックナノ複合材料、薄い光吸収性金属(例えば、アルミニウム、チタン、又はクロム)層、アクリレート層などのポリマー層によって分離された薄い(例えば、10~30nm)金属(例えば、アルミニウム、チタン、又はクロム)層によって画定されるものなどの光吸収性光学キャビティ、が挙げられる。動的分離層は、例えば、3M Light-To-Heat Conversion Release Coating(LTHC)Ink(3M Company、St.Paul、MNから入手可能)から形成することができる。他の好適な動的分離層として、例えば、米国特許第7,977,864号(Bellmannら)及び同第7,670,450号(Lamanskyら)に記載されているLTHC層が挙げられる。動的分離層は、H.W.Sands Corp.(Jupiter,FL)から入手可能なものなどの可溶性近赤外(NIR)染料を含んでもよい。
図1Bに概略的に示した層133は、動的分離層であってもよい。いくつかの実施形態では、層133と構造化樹脂層127との間に任意選択の追加ポリマー層132が配置される。層133は、活性化時に追加の基材層132に剥離可能に取り付けられるように適合された動的分離層であってもよい。この場合、動的分離層133は、動的分離層133と追加のポリマー層132との間の分割境界面における分離を容易にすることによって、活性化時にポリマー性支持層130と構造化樹脂層127との分離を容易にするように適合されていると記載され得る。
構造化フィルムは、構造化樹脂層127とポリマー性支持層130との間に分割層を含むことによって、又は、構造化樹脂層127とポリマー性支持層130との間に動的分離層133(例えば、LTHC層)を含み、動的分離層を活性化する(例えば、LTHC層を照射する)ことによって、構造化樹脂層127がポリマー性支持層130に剥離可能に取り付けられるように構成することができる。放射は、レーザ、ランプ、又は他の放射源からの、可視放射、赤外放射、又は紫外放射を含む1つ以上の波長を含むことができる。有用な放射条件が、例えば、米国特許第7,977,864号(Bellmannら)に記載されている。分割層は、例えば低表面エネルギー層であってもよい、又は、例えば、米国特許第9,415,561号(Lindquistら)に全般的に記載されているように、ポリマー性支持層130と共押出され、ポリマー性支持層130に弱く接着された層であってもよい、静的分離層である。例えば、いくつかの実施形態では、図1Bの層133は省略され、層132はポリマー性支持層130と共押出された分割層である。分割層又は動的分離層なしで、構造化樹脂層127がポリマー性支持層130に恒久的に取り付けられていてもよい。構造化フィルムは、低表面エネルギー材料による構造化主表面128の剥離処理によって、構造化樹脂層127のプラズマ誘起フッ素化によって、又は、構造化樹脂層127への乏しい接着を促す(例えば、シリコーン成分の添加による)エッチングレジスト層125の配合によって、構造化樹脂層127がエッチングレジスト層125に剥離可能に取り付けられるように構成することができる。そのような処理又は添加剤なしで、構造化樹脂層127がエッチングレジスト層125に恒久的に取り付けられていてもよい。
いくつかの実施形態では、構造化フィルム100、100’又は本明細書に記載の他の構造化フィルムは、エッチングレジスト層125と1つ以上の非構造化層135との間に配置されたマスク層131を含む。いくつかのそのような実施形態又は他の実施形態では、構造化フィルム100、100’又は他の構造化フィルムは、1つ以上の非構造化層135上に(例えば、マスク層131の反対側に、かつ/又は、1つ以上の非構造化層135と接着剤層140との間に)配置されたエッチング停止層137を含む。
図3A~図3Cは、それぞれ物品350~352の概略断面図であり、これらの物品は、図2Aに概略的に示した物品105をエッチングすることによって、又は、物品352については、マスク層131がないことを除いて物品105に相当する物品をエッチングすることによって、形成することができる。いくつかの実施形態では、1つ以上の非構造化層135内へとエッチングすることは、パターン化されたマスク層131’を形成するように、第1のエッチングステップでエッチングレジスト層125を貫通してマスク層131の一部分をエッチングすることと、基材120上にパターン110を形成するように、第2のエッチングステップでパターン化されたマスク層131’を貫通して1つ以上の非構造化層135内へとエッチングすることと、を含む。例えば、図2Aの方法ステップEは、第1のエッチングステップでエッチングレジスト層125を貫通してエッチングすることによって図3Aのパターン化されたマスク層131’を形成し(物品350を得る)、次いで第2のエッチングステップでパターン化されたマスク層131’を貫通して1つ以上の非構造化層135内へとエッチングする(それによって層135をパターン化し、パターン化層235及び物品351を得る)ことを含むことができる。いくつかの実施形態では、1つ以上の非構造化層135内へとエッチングすることは、1つ以上の非構造化層135を貫通してエッチング停止層137までエッチングすることを含む(例えば、図3B~図3C参照)。いくつかの実施形態では、マスク層131は省略され、1つ以上の非構造化層135内へとエッチングすることは、エッチングレジスト層125を貫通して1つ以上の非構造化層135内へとエッチングすることを含む(例えば、図3C参照)。例えば、マスク層131は、比較的低いアスペクト比の構造が所望される場合には省略されてもよく、例えば、その場合、エッチングレジスト層125は、1つ以上の非構造化層135内へとエッチングするためのエッチングマスクとして働くことができる。あるいは、パターン化されたマスク層131’を除去する後続のエッチングステップによって、物品351から物品352が形成されてもよい。図3A~図3Cにそれぞれ概略的に示した物品350、351、及び352は、基材120上に配置された構造化フィルム400、402、402をそれぞれ含む。構造化フィルム400は、パターン化層131’、並びに、非パターン化層137及び140を含む。構造化フィルム401は、パターン化層131’及び235を含み、かつ、非パターン化層137及び140を含む。構造化フィルム402は、パターン化層235、並びに、非パターン化層137及び140を含む。
いくつかの実施形態では、第1のエッチングステップは、第1の組成を有する第1のエッチングガス(図2AのエッチングガスG1)による反応性イオンエッチングを含み、第2のエッチングステップは、異なる第2の組成を有する第2のエッチングガス(図2AのエッチングガスG2)による反応性イオンエッチングを含む。いくつかの実施形態では、第1及び第2のエッチングガスの各々は、酸素、三フッ化窒素(NF)、CF、C、C、SF、Cl、及びCHのうち少なくとも1つを含む。いくつかの実施形態では、第1のエッチングガスは、酸素、三フッ化窒素(NF)、CF、C、C、SF、Cl、及びCHのうち少なくとも1つを含み、第2のエッチングガスは、酸素、三フッ化窒素(NF)、CF、C、C、SF、Cl、及びCHのうち、第1のエッチングガスに含まれていない少なくとも1つを含む。例えば、第1のエッチングガスは酸素及びClを含むことができ、第2のエッチングガスは酸素及びCFを含むことができる。
エッチング(例えば、第1及び/又は第2のエッチングステップ)は、プラズマエッチングであってもよい。高アスペクト比の構造が所望される場合、イオンアシストプラズマ処理が好都合に使用される。異方性エッチングを達成するための方法として、反応性イオンエッチング(RIE)、高密度イオン源処理、又は高密度イオン源処理とRIEとの組み合わせが挙げられる。高密度プラズマは、高周波誘導又はマイクロ波結合によって、あるいはヘリコンイオン源によって生成することができる。高アスペクト比のフィーチャを生成するには、線形高密度プラズマ源が特に有利である。高密度プラズマをRIEと組み合わせることにより、(高密度プラズマによる)イオン生成を(RIEバイアス電圧による)イオンエネルギーから切り離すことが可能になる。
RIE法は、基材上に構造(例えば、ナノ構造)を形成するように、主表面の、マスキング層で保護されていない部分をエッチングすることを含む。いくつかの実施形態では、この方法は、「円筒型反応性イオンエッチング」(円筒型RIE)と称される連続的なロールツーロールプロセスを使用して実行できる。円筒型RIEは、回転している円筒型電極を利用して、基材又は物品の表面上に異方性エッチングされたナノ構造を提供する。一般に、円筒型RIEは、以下のように記載することができる。高周波結合により給電される回転可能な円筒電極(「ドラム電極」)、及び接地された対向電極が真空容器の内部に提供される。対向電極は真空容器自体を含むことができる。エッチャントガスが真空容器の中に供給され、ドラム電極と接地された対向電極との間でプラズマが点火され維持される。
パターン化されたマスキング層を備える連続した基材をドラムの周囲に巻き付けることができ、基材の平面に対して垂直な方向に基材をエッチングすることができる。基材の曝露時間を制御して、得られるナノ構造に所定のエッチ深さを得ることができる。このプロセスは、約1~10mTorrの動作圧力で実行することができる。円筒型RIEについて、例えば米国特許第8,460,568(Davidら)に記載されている。
複数の材料が存在する場合に、エッチングの選択性を達成するようにプラズマ環境の化学作用を制御することができる。例えば、ポリマー、ダイヤモンドライクカーボン、ダイヤモンドなどの炭素含有材料をエッチングするために、酸素、及び、酸素とフッ素化ガスとの混合物が使用される。プラズマ中のフッ素の濃度は、エッチング速度及び選択性を最適化するように選択することができる。典型的には、少量のフッ素化ガスを使用して、炭化水素ポリマーのエッチング速度を300%程度にまで劇的に増加させる。
ケイ質材料(二酸化ケイ素、SiO、ダイヤモンドライクガラス、窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、ポリシロキサン、シルセスキオキサン(SSQ)樹脂など)をエッチングするために、例えば、CF、C、Cなどのフルオロカーボンの混合物を酸素と組み合わせて使用する。これらの材料のエッチングプロファイルをプラズマ供給ガス混合物中のF/O原子比の関数として得ることによって、ケイ質材料と炭化水素ポリマーとの間のエッチング選択性を慎重に調整し得る。酸素が豊富な条件では、マスキング層としてケイ質材料を使用しながらも、炭化水素ポリマー及びダイヤモンドライクカーボン(DLC)をエッチングするという優れた選択性が得られる。逆に、フッ素が豊富な条件では、炭化水素ポリマー系のマスキング材料を使用しながらも、ケイ質材料をエッチングするという優れた選択性が得られる。
タングステンなどの、フッ化物が揮発性である他のマスキング材料をエッチングするために、フッ素化プラズマの化学作用を使用し得る。アルミニウム及びチタンなどの、塩化物が揮発性である材料をエッチングするために、塩素含有ガス混合物を使用し得る。これらのエッチング可能な金属の酸化物、窒化物及び炭化物もまた、塩素系の化学作用を使用することによってエッチングすることができる。窒化ケイ素、窒化アルミニウム、及び酸化チタンは、塩素の化学作用でエッチングされ得る、高屈折率の材料である。
マスク層131は、層(単数又は複数)135について好適なエッチング選択性を有する任意の材料から作製できる。いくつかの実施形態では、マスク層131は、金属又はケイ素含有金属酸化物であるか、それを含む。例として、クロム(Cr)、アルミニウム(Al)、銅(Cu)、チタン(Ti)、タングステン(W)、ゲルマニウム(Ge)、イリジウム(Ir)、白金(Pt)、ルテニウム(Ru)、オスミウム(Os)、レニウム(Re)、それらの合金、又はそれらのケイ素含有酸化物が挙げられる。金属窒化物又は金属酸窒化物も使用し得る。いくつかの実施形態では、マスク層131は、SiO、Si(x=1、y=1~2、z=0~1)、又はSiAl(x=1、y=0~1、z=1~2)を含む。ケイ素は半金属なので、酸化ケイ素、窒化ケイ素、及び酸窒化ケイ素は、それぞれ、金属酸化物、金属窒化物、及び金属酸窒化物であると考えられる。有用な酸化物が、例えば、米国特許出願第2015/0214405号(Nachtigalら)に記載されている。有用な材料として、例えば、窒化チタン(TiN)、酸化アルミニウム(Al)、アルミニウムとクロム(Al/Cr)の金属合金、Si(x=1、y=1~4、z=1~4)、又はSi(x=1、y=1~4、z=0~1、n=1~4)、Si(x=1、y=0~1)、SiO(x=1~2)、SiH(x=1~4)が挙げられる。いくつかの実施形態では、酸化インジウムスズ(ITO)がマスク層として使用される。他の好適な材料として、例えば、米国特許第8,034,452号(Padiyathら)に記載されているようなダイヤモンドライクガラスが挙げられる。
エッチング停止層137は、層(単数又は複数)135について好適なエッチング選択性を有する任意の材料から作製できる。いくつかの実施形態では、エッチング停止層137は、金属(例えば、Cr、Al、Ti、Zr、Ta、Hf、Nb、Ce、又はそれらの合金)、金属酸化物(例えば、Al又はSiAl(例えば、x=1、y=0~1、z=1~2)など、これらの金属のいずれかの酸化物)、又は、Si(例えば、x=1、y=1~2、z=0~1))、又は金属窒化物(例えば、これらの金属のいずれかの窒化物、又はSi)である、又はそれらを含む。いくつかの実施形態では、エッチング停止層137は、例えば、酸化インジウムスズ、酸化スズ、又はアルミナ(Al)である、又はそれらを含む。有用な材料として、Si(例えば、x=1、y=1~4、z=1~4)、Si(例えば、x=1、y=1~4、z=0~1、n=1~4)、Si(例えば、x=1、y=0~1)、SiO(例えば、x=1~2)、Si(例えば、x=1、y=1~2、z=0~1)、又はSiH(例えば、x=1~4)が挙げられる。他の好適な材料として、例えばダイヤモンドライクガラスが挙げられる。いくつかの実施形態では、エッチング停止層137は光学的に透明であり、これは、エッチング停止層の実質的に垂直な入射光に対する平均光透過率が、400nm~700nmの波長範囲について、少なくとも60%であることを意味すると理解することができる。
マスク層131及び/又はエッチング停止層137の平均厚さは、例えば、約1nm~約200nm、又は約2nm~約50nm、又は約2.5nm~約10nmの範囲であってもよい。マスク層131の平均厚さは、例えば、50nm未満、又は25nm未満、及び/又は5nm超であることができる。エッチング停止層137の平均厚さは、例えば、25nm未満及び/又は2nm超であることができる。
いくつかの実施形態では、1つ以上の非構造化層135は、金属酸化物、窒化物、又は酸窒化物などの、無機材料を含む。いくつかの実施形態では、1つ以上の非構造化層135は、金属酸化物を含む。金属酸化物は、例えば、Ti、Zr、Hf、Si、Nb、Taの酸化物であってもよい。いくつかの実施形態では、1つ以上の非構造化層135は、チタニア(TiO)層を含む。チタニアは可視光に関与する光学適用例には好ましいが、近赤外光に関与する適用例には他の金属酸化物を使用してもよい。いくつかの実施形態では、1つ以上の非構造化層135は、アクリレート(例えば、架橋アクリレート)層などのポリマー層を含む。
いくつかの実施形態では、エッチングレジスト層125は、シリコーン含有ポリマーを含む。いくつかの実施形態では、エッチングレジスト層125は、シロキサン、シリコーン、又はシルセスキオキサンを含む。いくつかの実施形態では、エッチングレジスト層125は架橋アクリレートを含む。好適なエッチングレジスト層が、例えば、米国特許第5,811,183号(Shawら)に記載されている。エッチングレジスト層125の全厚さは、例えば、50nm~500nmの範囲内(フィーチャ全体の深さt2+残余層の厚さt1)であってもよい。
いくつかの実施形態では、1つ以上の非構造化層135’は、第1及び第2の導電体層(例えば、層131及び137)と、それらの間に配置された誘電体層135とを含み、第1の導電体層(例えば層131)はエッチングレジスト層125に面している。いくつかの実施形態では、1つ以上の非構造化層内へとエッチングすることは、第1の導電体層を貫通してエッチングすることを含む(例えば、図3Aに概略的に示される物品350が得られる)。いくつかの実施形態では、1つ以上の非構造化層内へとエッチングすることは、誘電体層を貫通してエッチングすることを含む(例えば、図3Bに概略的に示される物品351が得られる)。いくつかの実施形態では、基材上のパターンは、受動的冷却を提供するように構成される。例えば、パターンは、「Dual-band infrared metasurface thermal emitter for Cosensing」(Miyazakiら)、Applied Physics Letters105、121107(2014)、及び、「Kirchhoff’s Thermal Radiation from Lithography-Free Black Metals」(Kumagaiら)、Micromachines2020、11,824(2020)に一般的に記載されているような、メタサーフェスサーマルエミッタを画定することができる。いくつかの実施形態では、構造化された物品350、351は、第1の主表面122を有する第1の物品(例えば、基材120が第1の物品であってもよい)と、第1の主表面122上に配置され、受動的冷却を提供するように構成された構造化フィルム400、401とを含む。第1の物品は熱を発生し得る(例えば、第1の物品は、動作時に熱を発生する電子デバイスであり得る)。構造化フィルム400、401は、構造化フィルム400、401を第1の主表面122に接合するボンディング層140(例えば、有機及び/又はポリマー接着剤層などの接着剤層)と、パターン化導電体層131’と、ボンディング層140とパターン化導電体層137’との間に配置された非パターン化導電体層131と、パターン化導電体層131’と非パターン化導電体層137との間に配置された誘電体層135、235と、を含む。誘電体層は、パターン化されていなくてもよく(例えば、誘電体層135)、又はパターン化されていてもよい(例えば、誘電体層235)。
いくつかの実施形態では、マスク層131及びエッチング停止層137の一方又は両方が省略される。図4A及び図4Bは、それぞれ、いくつかの実施形態による、構造化フィルム101及び102の概略断面図である。構造化フィルム101は、マスク層131が省略されていることを除いて、構造化フィルム100に相当し得る。構造化フィルム102は、マスク層131が省略されること、並びに1つ以上の非構造化層135が少なくとも2つの非構造化層135a及び135bを含むことを除いて、構造化フィルム100に相当し得る。構造化フィルム102は任意選択的に、少なくとも2つの非構造化層135a及び135bを有するマスク層131を含んでもよい。1つ以上の非構造化層135a、135b内へとエッチングすることは、1つ以上の構造化層を貫通してエッチング停止層137までエッチングすることを含み得る。エッチング停止層137は、任意選択的に、構造化フィルム101又は102のいずれかから省略されてもよい。
いくつかの実施形態では、1つ以上の非構造化層は、無機層及びポリマー層のうち少なくとも1つを含む。例えば、層135は金属酸化物層などの無機層であってもよく、又は、層135はアクリレート層などのポリマー層であってもよい。別の例として、図4Bに示す非構造化層135a及び135bの一方はポリマー層であってもよく、非構造化層135a及び135bの他方は無機層であってもよい。ポリマー層は、別様の指示がない限り、有機ポリマー層であると理解されることができる。いくつかの実施形態では、1つ以上の非構造化層は、無機層、金属酸化物層、ポリマー層、フルオロポリマー層、誘電体層、導電層、又は金属層のうち1つ以上である少なくとも1つの層を含む。いくつかの実施形態では、1つ以上の非構造化層は、少なくとも1つの導電層及び少なくとも1つの誘電体層を含む。例えば、1つ以上の非構造化層135’の層135は、例えば金属酸化物又はポリマー層であり得る誘電体層であってもよく、1つ以上の非構造化層135’の層131及び137の一方又は両方が金属層などの導電層であってもよい。いくつかの実施形態では、1つ以上の非構造化層135’は、第1及び第2の導電層(例えば、層131及び137)と、それらの間に配置された電気絶縁層(例えば、層135)とを含む。導電層に好適な材料として、例えば、金、銀、銅、アルミニウム、及びそれらの合金などの金属が挙げられる。
いくつかの実施形態では、複数の加工構造129は、少なくとも2つの異なる高さh1及びh2を有する。図5Aは、図2に示される物品105に概ね相当し得る物品205の概略断面図である。エッチングレジスト層225は、2つの異なる高さh1及びh2を有する加工構造229を含む。エッチングレジスト層225は、厚さt1を有する残余層を含む。少なくとも2つの異なる高さを有する構造は、例えば、米国特許第6,861,365号(Taussigら)及び同第7,521,313号(Mea)に全般的に記載されているように、薄膜トランジスタ適用例において有用である。
図5Bは、エッチングレジスト層225を貫通して1つ以上の非構造化層135a、135b内へとエッチングすることによって、基材120上にパターン210を形成する方法の概略図である。ステップA1で、「ブレークスルー」エッチングを使用して、エッチングレジスト層225の残余層を貫通してエッチングする。ステップB1で、層135aをエッチングする。ステップC1で、層135bをエッチングする。ステップA1、ステップB1及びステップC1は、異なるステップごとに異なるエッチング条件を使用して行われてもよい。例えば、異なるステップで異なる組成を有する異なるエッチングガスが使用される各ステップで、反応性イオンエッチングを使用することができる。
いくつかの実施形態では、1つ以上の非構造化層は、複数の非構造化層(例えば、層135a及び135b、又は層135、並びに層131と137とのうち少なくとも1つ)を含む。いくつかの実施形態では、1つ以上の非構造化層内へとエッチングすることは、複数の非構造化層のうち少なくとも1つへとエッチングすることを含むが、複数の非構造化層のうち他の少なくとも1つへとエッチングすることを含まない。例えば、層135内へとエッチングすることなく、図3Aに示す層131’を形成するように層131をエッチング得る。いくつかの実施形態では、1つ以上の非構造化層内へとエッチングすることは、複数の非構造化層の各層内へとエッチングすることを含む。例えば、1つ以上の非構造化層は、層135及び131であってもよく、パターンを形成する方法は、例えば、図3Bに概略的に示すように、これらの層の各々へとエッチングすることを含んでもよい。別の例として、1つ以上の非構造化層は、層135a及び135bであってもよく、方法は、例えば、図5Bに概略的に示すように、これらの層の各々へとエッチングすることを含んでもよい。いくつかの実施形態では、層(単数又は複数)内へとエッチングすることは、例えば、パターン(例えば、パターン110)を形成するように層(単数又は複数)を貫通してエッチング停止層までエッチングすることなど、層(単数又は複数)を貫通してエッチングすることを含む。
いくつかの実施形態では、複数(少なくとも2つ)の高さを有するフィーチャを含むようにモノリシック層が構造化される。異なるフィーチャ高さを有することは、例えば導波路抽出において、例えば光抽出の一様性を改善するために有用である。図5Cは、エッチングレジスト層325を貫通して非構造化層135内へとエッチングすることによって、基材120上にパターン310を形成する方法の概略図である。基材120に接着剤層140を適用し、次にステップA”で、構造化フィルム104を基材120に接合する。構造化フィルム104は、エッチングレジスト層325及び構造化樹脂層327を含む。エッチングレジスト層325と構造化樹脂層327との間の境界面は、少なくとも2つの異なる高さを有する加工構造を含む。ステップB”で、ポリマー性支持層130及び構造化樹脂層327を除去する。ステップC”で、加工構造335を形成するように、エッチングレジスト層325を貫通して層135をエッチングする。
いくつかの実施形態では、エッチング停止層137は、構造化フィルム(100若しくは100’、又は本明細書に記載の他の構造化フィルム)から省略される。いくつかのそのような実施形態では、基材がエッチング停止層を含む。図6は、基材120上に配置された構造化フィルム200を含む物品300の概略断面図である。基材120’は、基材120上に配置されたエッチング停止層137を含む。あるいは、層137を省略できるように、基材120はエッチング停止材として機能する材料で作製されていてもよい。構造化フィルム200は、パターン化層235と、同じくパターン化され、構造化フィルム200を基材120’に接合する接着剤層とを含む。物品300は、フィルム100、100’がエッチング停止層137を含まず、代わりに、構造化フィルム100を適用する前に、エッチング停止層が基材120の主表面上に配置される、又は基材120によって画定されることを除いて、図2A~図2Bに概略的に示あれるプロセスを使用して作製することができる。
本明細書の物品(例えば、150、300、350、351、又は352)のいずれも、光学適用例(例えば、光を搬送又は抽出するため)で使用されるように適合された物品などの光学物品、又は、更なる処理(例えば、エッチング)がされることで光学適用例において使用されるように適合された中間物品などであってもよい。基材120は導波路であっても、又はそれを含んでもよく、あるいは、導波路が基材120であっても、又はそれを含んでもよい。導波路は、例えば、ガラス導波路又はポリマー(例えば、ポリメチルメタクリレート)導波路であってもよい。導波路は、例えば、画像保存型導波路(例えば、画像を含んでいる光(例えば、ディスプレイからの光)が導波路に沿って伝播し、次いで導波路から抽出されるときに、抽出された光が画像を保持するような導波路)であってもよい。いくつかの実施形態では、導波路コンバイナが、導波路と、光学メタサーフェスの入力カプラ及び/又は出力カプラを画定する、基材上に形成された構造とを含む。導波路コンバイナについて、例えば、Kress著、「Optical waveguide combiners for AR headsets:features and limitations」、Proc.SPIE 11062、Digital Optical Technologies 2019、110620J(2019年7月16日);DOI:10.1117/12.2527680に記載されている。
加工構造は、例えば、表面レリーフ格子(SRG)として使用することができる。本明細書で使用される場合、SRGという用語は、異なる屈折率を有する材料に格子が埋め込まれる場合を含む。いくつかの実施形態では、SRGの構造化表面は(例えば、空気に)露出される。この構造は、例えば、光学的インカップリング及び/又はアウトカップリング(例えば、拡張現実の導波路要素)に使用できる。あるいは、又は加えて、この構造は、拡張現実の導波路要素における射出瞳拡張(exit pupil expansion)のために、例えば、配光要素、直交瞳エクスパンダ、又はリダイレクト要素として使用され得る。画像保存型導波管におけるSRGの使用の例示的な例が、例えば、国際特許出願公開第2019/195186号(Perozら)に記載されている。
いくつかの実施形態では、層140は、少なくとも第1の波長W1についての屈折率の虚数部(κ)が0.1未満、又は0.05未満、又は0.03未満、又は0.01未満、又は0.005未満であり、平均厚さtaが5nm超かつ1/4W1未満であるオプティカルボンディング層(例えば、光学的に透明な接着剤層)であってもよい。第1の波長W1は、可視波長(400nm~700nm)又は近赤外波長(700nm~2500nm)などの、対象となる任意の波長でであってもよい。平均厚さtaは、第1の波長W1の0.2倍未満、若しくは0.15倍未満、若しくは0.1倍未満であってもよく、又は、平均厚さtaは、本明細書の他の箇所に記載される任意の範囲内にあってもよい。
いくつかの実施形態では、基材120は導波路であり、導波路上に配置された構造化フィルムを含む光学物品が提供される。いくつかの実施形態では、光学物品150(例えば図2A参照)は、導波路120と、導波路120上に配置された構造化フィルム100とを含み、接着剤層140が構造化フィルム100を導波路120に接合している。
いくつかの実施形態では、光学物品300(例えば図6参照)は、基材120と、基材120上に配置された光学的に透明なエッチング停止層137と、エッチング停止層137上に配置された構造化フィルム200と、を含む。構造化フィルム200は、1つ以上のパターン化層235と、1つ以上のパターン化層235をエッチング停止層に接合する接着剤層240とを含む。構造化フィルム200は、隣接する構造を分離する複数の空隙233を画定する複数の構造229を含み、空隙233の少なくともいくつか(例えば、50%超、又は70%超、又は80%超、又は90%超、又は、全て若しくは実質的に全て)は、構造化フィルム200を貫通してエッチング停止層137まで延在する。1つ以上のパターン化層235は、1つ以上の非構造化層をパターン化することによって形成することができ、それらの非構造化層は、本明細書の他の箇所で更に記載されるとおりの光学特性(例えば、透過率)を有し得る。いくつかの実施形態では、1つ以上のパターン化層235は、パターン化無機層を含む。いくつかの実施形態では、1つ以上のパターン化層235の各層は、パターン化無機層である。
いくつかの実施形態では、光学物品(例えば、図3A~図3Cに概略的に示される物品350、351、352)は、導波路120と、導波路上に配置された構造化フィルム400、401、402と、を含む。いくつかの実施形態では、構造化フィルム400、401、402は、構造化フィルム400、401、402を導波路120に接合するオプティカルボンディング層140と、オプティカルボンディング層140上に配置された1つ以上のパターン化層131’及び/又は235と、を含む。1つ以上のパターン化層131’及び/又は235は、1つ以上の非構造化層131及び/又は135(又は、例えば、図5A~図5Bに概略的に示される層135a及び135b)をパターン化することによって形成され、1つ以上の非構造化層の実質的に垂直な入射光に対する光透過率は、少なくとも400nm~2500nmの範囲内の第1の波長W1について、少なくとも50%である。構造化フィルムは、1つ以上のパターン化層とオプティカルボンディング層140との間に配置されたエッチング停止層137を含むことができる。いくつかの実施形態では、エッチング停止層137の実質的に垂直な入射光に対する光透過率は、少なくとも第1の波長W1について少なくとも50%である。
いくつかの実施形態では、対象となる波長(単数又は複数)は、可視範囲内又は近赤外範囲内である。したがって、第1の波長W1は、400nm~2500nmの範囲内であり得る。いくつかの実施形態では、可視波長が主要な対象である。したがって、第1の波長W1は、400nm~700nmの範囲内であり得る。いくつかの実施形態では、近赤外波長が主要な対象である。したがって、第1の波長W1は、例えば、700nm~2500nm又は800nm~2000nmの範囲内であり得る。適用例に応じて対象となり得る、いくつかの特定の近赤外波長として、例えば、850nm、905nm、940nm、1060nm、1330nm、及び1550nmが挙げられる。
1つ以上のパターン化層は、1つ以上の層135について他の箇所で記載された材料のいずれかを含むことができる。いくつかの実施形態では、1つ以上のパターン化層は1つ以上のパターン化無機層である、又はそれらを含む。いくつかの実施形態では、1つ以上のパターン化層はケイ素を含む(例えば、1つ以上のパターン化層は1つ以上のケイ素層を含むことができる)。いくつかの実施形態では、1つ以上のパターン化層は金属酸化物を含むことができる(例えば、1つ以上のパターン化層は1つ以上の金属酸化物層を含むことができる)。いくつかの実施形態では、1つ以上のパターン化層はチタニアを含む(例えば、1つ以上のパターン化層は1つ以上のチタニア層を含むことができる)。
エッチング停止層137は、好適な厚さについて、対象となる波長において所望の光透過率を有する材料から選択されてもよい。いくつかの実施形態では、エッチング停止層137の実質的に垂直な入射光に対する光透過率は、少なくとも第1の波長W1について少なくとも60%、又は少なくとも70%である。いくつかの実施形態では、1つ以上の非構造化層の実質的に垂直な入射光に対する光透過率は、少なくとも第1の波長W1について少なくとも60%、又は少なくとも70%である。
図7は、1つ以上の非構造化層777、入射光773、及び透過光774を示す概略断面図である。入射光773は、単一の層(例えば、層131、135、又は137のいずれか1つに相当する)又は層のスタック(例えば、層131、135、又は層135a、135bに相当する)であり得る1つ以上の非構造化層777に実質的に垂直(例えば、垂直から20度以内、又は10度以内、又は5度以内)に入射する。入射光773は、λ1~λ2の範囲内の波長λを有するものとして概略的に表されている。λ1~λ2の範囲は、例えば、400nm~2500nm、又は400nm~700nm、又は700nm~2500nmであってもよい。波長λは、単一の波長(例えば、第1の波長W1)であってもよく、又は波長範囲(例えば、400nm~700nm)であってもよい。いくつかの実施形態では、1つ以上の非構造化層777の実質的に垂直な入射光に対する光透過率は、少なくとも第1の波長W1について少なくとも60%、又は少なくとも70%、又は少なくとも80%であり得る。いくつかの実施形態では、1つ以上の非構造化層777の実質的に垂直な入射光に対する平均光透過率(所与の波長範囲にわたる光透過率の非加重平均)は、例えば、400nm~2500nm又は400nm~700nmの波長範囲について、少なくとも50%、又は少なくとも60%、又は少なくとも70%、又は少なくとも80%であり得る。
実施例
構造化フィルム物品は、ナノ複製、溶媒コーティング、及び真空薄膜堆積法を使用することによって調製される。得られる構造は、リソグラフィ機能層(パターン化レジスト層、ハードマスク層、及びエッチング停止層)及び非パターン化光学機能層(二酸化チタン)を、研磨ガラスシートなどのレセプタ基材に送達することができるラミネート転写フィルムである。
これらの実施例は、単に例示を目的とし、限定することを意図するものではない。本明細書の実施例及び他の箇所における全ての部、百分率、比などは、別途指示がない限り、重量に基づくものである。使用する溶媒及び他の試薬は、別途指示がない限り、Sigma-Aldrich Chemical Company(St.Louis、Missouri)から入手される。
Figure 2023553691000002
調製例
調製例1(樹脂D)
PHOTOMER 6210、SR238、SR351、及びTPOを60/20/20/0.5の重量比で組み合わせて混合することによって調製されるアクリレート樹脂混合物
想定実施例1
以下のステップによって構造化フィルム物品を形成する。
ステップ1.テンプレート層のナノ複製
ポリカーボネートフィルム上に樹脂Dをダイコーティングすることによって、ナノフィーチャを有するテンプレートフィルムを調製する。コーティングされたフィルムを、60℃に制御されているスチールローラーに取り付けられたナノ構造化ニッケル表面に、15.2メートル/分の速度でゴム被覆ローラーを使用して押し付ける。ナノ構造化ニッケル表面は、75nm~500nmの範囲のサイズのフィーチャを有する12個の6mm×6mmのパターン化された領域からなる。パターン化された領域は、ピッチが15nm0、200nm、及び250nmで、フィーチャ幅がピッチの半分(75nm、100nm、125nm)である、マルチピッチパターンからなる。
フィーチャは、正方形グリッドの中に、両方の軸においてピッチが変動するように配列され、その結果、上述の幅の全ての組み合わせの長方形を有する9単位の繰り返しセルが得られる。この繰り返しセルにおいて、150nmピッチ区画は27個のフィーチャを有し、200nmピッチ区画は20個のフィーチャを有し、250nmピッチ区画は16個のフィーチャを有する。フィーチャの高さは約200nmであり、側壁角度は約4度である。
フィルム上の樹脂Dのコーティング厚さは、コーティングされたフィルムがナノ構造化ニッケル表面に押し付けられるときに、ニッケル表面を完全に濡らし、回転する樹脂ビーズを形成するのに十分である。フィルムを、ナノ構造化ニッケル表面と接触させながら、どちらも142W/cmで動作する、Dバルブを取り付けた2つのFusion UVランプシステム(Fusion UV Systems(Gaithersburg、MD)から商品名「F600」で入手)からの放射線に曝露する。ナノ構造化ニッケル表面からフィルムを剥離した後、フィルムのナノ構造化側を、Fusion UVランプシステムからの放射線に再び曝露する。
ステップ2.レジスト層の溶媒コーティング
ステップ1で生成されたナノフィーチャを有するテンプレートフィルムの区画に、連続フィルムコーティング装置内のスロット型コーティングダイを使用して、溶媒系樹脂をコーティングする。樹脂は、プラズマエッチングプロセスに適合性があり、プラズマエッチングプロセス用のレジストとして作用するように選択される。コーティング後、コーティングされたウェブを約2.4m(8ft)移動させてから、3つのゾーン全てが65.5℃(150°F)に設定された9.1m(30ft)の従来型エアフローテーション乾燥機に入れる。乾燥した樹脂層は、その下の、ナノフィーチャを有するテンプレート層を充填して平坦化する。
ステップ3.ハードマスク層の真空堆積
レジストコーティングされたウェブ表面上に、厚さ15nmのCr層をロールツーロールプロセスでスパッタ堆積することによってハードマスク層を形成する。1つの直流(DC)電源を使用して、Soleras Advanced Coatings(Spring Green、WI)から市販されているCrターゲットを収容するカソードを制御する。このDC電源は、Arを含有しているガス環境で、1~5ミリトールの範囲内のスパッタ圧力でCrターゲット上へマグネトロンプラズマを駆動する。レジストコーティングされたウェブ表面を、上述したレジスト層の上に厚さ15nmのCr層を堆積させるのに適切な速度でカソードを通過して回転させる。
ステップ4.二酸化チタン層の真空堆積
前のステップで得られたフィルムのハードマスク層上に、空間的原子層堆積(ALD)プロセスを使用して、チタンテトラ(イソプロポキシド)(TTIP)からTiOの薄膜を形成する。平面コーティングは、米国特許出願公開第2019/0112711号(Lyonsら)に記載されているとおりの空間的ロールツーロールALD機械で行われる。TiOは、300sccmのN投入ガスを用いて80℃に加熱されたTTIPと、約0.50mA/cmのプラズマ放電とを使用して、1.1torrのN及び0.3torrのCOの環境で堆積される。ALDチャンバを100℃に加熱する。
フィルムを、複数回にわたってALDチャンバを通過させて30.48メートル/分(100フィート/分)で平行移動させる。1回のALDサイクルごとに1回の前駆体曝露及び1回のプラズマ曝露を伴い、チャンバを1回通すごとに72回のALDサイクルを伴う。約200nmの厚さのTiO層を得るために、最大50回までALDチャンバを通過させてフィルムを移動させる。
ステップ5.エッチング停止層の真空堆積
前のステップで形成されたTiO層の上にAlO層をスパッタ堆積することによってエッチング停止層を形成する。1つの交流(AC)電源を使用して、Soleras Advanced Coatings(Spring Green、WI)から市販されている2つのAlターゲットを収容するカソードを制御する。スパッタ堆積の間、各電源からの電圧信号を、比例-積分-差動制御ループへの入力として使用して、各カソードに対する所定の酸素流を維持する。AC電源は5000~16000ワットの電力を使用し、アルゴンと酸素を含有しているガス混合物を使用して1~5ミリトールの範囲内のスパッタ圧力でAlターゲット上へマグネトロンプラズマを駆動する。TiOコーティングされたウェブ表面を、上述したTiO層の上に厚さ12nmのAlO層を堆積させるのに適切な速度でカソードを通過して回転させる。
ステップ6.接着剤層の溶液コーティング
前述のエッチング停止層の表面上に、接着剤コーティング溶液をロールツーロールプロセスでスロットダイコーティングすることによって接着剤層を形成する。ある量のFG1901 Gを十分なシクロヘキサン中に希釈して0.33重量%の全固形分を含有する溶液を生成することによって、接着剤コーティング溶液を作製する。ステップ5で得られたフィルム上に、この溶液を、約9マイクロメートルの湿潤コーティング厚さを生じる速度及び流量でコーティングする。コーティングされたウェブを約2.4m(8ft)移動させてから、3つのゾーン全てが80℃(176°F)に設定された9.1m(30ft)の従来型のエアフローテーション乾燥機に入れる。乾燥後、接着剤コーティングの厚さは約30ナノメートルである。
想定実施例2
想定実施例1の構造化フィルム物品をガラス基材にラミネートすること(laminating)によって、ラミネート物品(laminated article)を形成する。好適なガラス基材は、厚さ1.1mm、直径76.2mmのEagle XGガラスウェハである。構造化フィルムの接着剤側をガラスウェハの一方の表面上に配置し、80psi、40cm/分、115℃に設定したHL-100 Hot Roll Laminator(ChemInstruments、West Chester Township、OH)に通過させることによって、ガラス基材にフィルムをラミネートする。
想定実施例3
想定実施例2のラミネート物品の更なる処理によって、パターン化された基材を形成する。ポリカーボネート基材を構造化層と共にガラスウェハから剥離することによって、ナノフィーチャを有するテンプレート層をレジスト層から分離し、パターン化レジスト樹脂層からナノフィーチャを有するテンプレート層を分離させる。
誘導結合電源及び容量結合電極を使用して構成されたPlasmatherm790(Plasmatherm、Saint Petersburg、FL)内で、反応性イオンエッチングを行う。通電した電極の上にパターン化されたガラスウェハを配置した後、リアクタチャンバを1.3Pa(1mTorr)より低いベース圧力までポンプダウンする。
第1のエッチングステップで、想定実施例1のステップ2のナノ構造化樹脂から残余陸地領域を除去する。PF-5060ガス及びOガスを、それぞれ30SCCM及び15SCCMの流量でチャンバに流し込む。13.56MHzの無線周波数(RF)電力を500Wで容量結合電極に結合する。プラズマ処理を、ナノ構造化レジストの陸地領域を除去するのに必要な最小処理時間を使用して2~200秒間にわたって適用する。
第1のエッチングステップが完了した後、RF電力をオフにして、ガスをチャンバから排気する。第2のエッチングステップで、Clガス及びOガスを、それぞれ38SCCM及び2SCCMの流量でリアクタに流し込む。圧力を150mTorrに保持し、容量性RF電力を350Wで印加する。クロムハードマスク層にパターンを転写するために、プラズマ処理を15~60秒間にわたって適用する。
第2のエッチングステップが完了すれば、RF電力をオフにして、ガスをリアクタから排出する。第2のエッチングに続いて、チャンバを大気圧に戻すことなく同じリアクタ内で実行される第3の反応性イオンエッチング処理において、TiO層をエッチングする。SFガス及びArを、それぞれ10SCCM及び5SCCMの流量でチャンバに流し込む。2MHzのRF電力を500Wで誘導結合コイルに結合し、13.56MHzのRF電力を250Wで容量結合電極に結合する。エッチング停止層を貫通することなくパターン層をTiO層中に転写するために、80~150秒の曝露時間にわたってプラズマを適用する。この処理の終了時に、RF電力及びガスの供給を停止し、チャンバを大気圧に戻す。
「約(about)」などの用語は、これらが本明細書に使用及び記載されている文脈において、当業者によって理解されよう。機能部のサイズ、量、及び物理的特性を表す量に適用される「約」の使用が、本明細書に使用及び記載されている文脈において、当業者にとって別途明らかではない場合、「約」とは、特定の値の10パーセント以内を意味すると理解されよう。特定の値の約、ほぼとして与えられる量は、正確に特定の値であり得る。例えば、それが本明細書で使用及び記載されている文脈において当業者にとって別途明らかではない場合には、約1の値を有する量とは、その量が0.9~1.1の値を有すること、及び、その値が1である場合もあることを意味する。
上記において参照された参照文献、特許、又は特許出願の全ては、それらの全体が参照により本明細書に一貫して組み込まれている。組み込まれた参照文献の一部と本出願との間に不一致又は矛盾がある場合、前述の記載における情報が優先するものとする。
図面中の要素の説明は、別段の指示がない限り、他の図面中の対応する要素に等しく適用されるものと理解されたい。特定の実施形態が本明細書において図示及び記載されているが、図示及び記載されている特定の実施形態は、本開示の範囲を逸脱することなく、様々な代替的実施態様及び/又は等価の実施態様によって置き換えられ得ることが、当業者には理解されよう。本出願は、本明細書で論じられた特定の実施形態のいずれの適応例、又は変形例、又は組み合わせも包含することが意図されている。したがって、本開示は、特許請求の範囲及びその均等物によってのみ限定されることが意図されている。

Claims (15)

  1. 基材上にパターンを形成するための構造化フィルムであって、
    ポリマー性支持層と、
    接着剤層と、
    前記ポリマー性支持層と前記接着剤層との間に配置されたエッチングレジスト層と、
    前記ポリマー性支持層と前記エッチングレジスト層との間に配置された構造化樹脂層であって、前記構造化樹脂層が、複数の加工構造を備える構造化主表面を備え、前記エッチングレジスト層が、隣接する加工構造間の空間を少なくとも部分的に充填して、前記構造化主表面を実質的に平坦化する、構造化樹脂層と、
    前記エッチングレジスト層と前記接着剤層との間に配置された、全厚さが10マイクロメートル未満である1つ以上の非構造化層と、
    を備える、構造化フィルム。
  2. 前記構造化樹脂層が、前記ポリマー性支持層又は前記エッチングレジスト層に剥離可能に取り付けられている、請求項1に記載の構造化フィルム。
  3. 前記構造化樹脂層と前記ポリマー性支持層との間に配置された動的分離層を更に備える、請求項1に記載の構造化フィルム。
  4. 前記エッチングレジスト層が、前記エッチングレジスト層の実質的に構造化されていない主表面と前記複数の加工構造との間に残余層を備え、複数の加工構造の平均高さに対する前記残余層の平均厚さの比が1未満である、請求項1~3のいずれか一項に記載の構造化フィルム。
  5. 前記複数の加工構造が少なくとも2つの異なる高さを有する、請求項1~4のいずれか一項に記載の構造化フィルム。
  6. 前記複数の加工構造が複数のナノ構造を備える、請求項1~5のいずれか一項に記載の構造化フィルム。
  7. 前記1つ以上の非構造化層が少なくとも2つの非構造化層を備える、請求項1~6のいずれか一項に記載の構造化フィルム。
  8. 基材上にパターンを形成する方法であって、
    ポリマー性支持層と、
    1つ以上の非構造化層と、
    前記ポリマー性支持層と前記1つ以上の非構造化層との間に配置されたエッチングレジスト層と、
    前記ポリマー性支持層と前記エッチングレジスト層との間に配置された構造化樹脂層であって、前記構造化樹脂層が、複数の加工構造を備える構造化主表面を備え、前記エッチングレジスト層が、隣接する加工構造間の空間を少なくとも部分的に充填して、前記構造化主表面を実質的に平坦化し、かつエッチングレジストパターンを画定する、構造化樹脂層と、
    を備える構造化フィルムを提供することと、
    前記ポリマー性支持層が前記基材から遠ざかる方に向くようにして、前記構造化フィルムを前記基材に接合することと、
    前記基材上に配置された少なくとも前記エッチングレジスト層及び前記1つ以上の非構造化層を残して少なくとも前記ポリマー性支持層を除去することと、
    前記1つ以上の非構造化層に前記エッチングレジストパターンを転写し、それによって前記基材上に前記パターンを形成するように、前記1つ以上の非構造化層内へとエッチングすることと、
    を順に含む、方法。
  9. 前記構造化フィルムが前記1つ以上の非構造化層上に配置された接着剤層を備え、前記構造化フィルムを前記基材に接合することが、前記接着剤層を用いて前記構造化フィルムを前記基材に接着することを含む、請求項8に記載の方法。
  10. 前記1つ以上の非構造化層内へとエッチングすることが、前記エッチングレジスト層を貫通して前記1つ以上の非構造化層内へとエッチングすることを含む、請求項8又は9に記載の方法。
  11. 前記構造化フィルムが、前記1つ以上の非構造化層と前記エッチングレジスト層との間に配置されたマスク層を更に備え、前記1つ以上の非構造化層内へとエッチングすることが、
    パターン化されたマスク層を形成するように、第1のエッチングステップで前記エッチングレジスト層を貫通して前記マスク層の一部分をエッチングすることと、
    前記基材上に前記パターンを形成するように、第2のエッチングステップで前記パターン化されたマスク層を貫通して前記1つ以上の非構造化層内へとエッチングすることと、を含む、
    請求項8又は9に記載の方法。
  12. 基材と、
    前記基材上に配置された光学的に透明なエッチング停止層と、
    前記エッチング停止層上に配置された構造化フィルムであって、1つ以上のパターン化層と、前記1つ以上のパターン化層を前記エッチング停止層に接合する接着剤層とを備える、構造化フィルムと、
    を備える、光学物品であって、
    前記構造化フィルムが、隣接する構造を分離する複数の空隙を画定する複数の構造を備え、前記空隙のうち少なくともいくつかが前記構造化フィルムを貫通して前記エッチング停止層まで延在する、
    光学物品。
  13. 前記接着剤層の平均厚さが100nm未満である、請求項12に記載の光学物品。
  14. 前記1つ以上のパターン化層が、パターン化無機層を備える、請求項12又は13に記載の光学物品。
  15. 導波路と、
    前記導波路上に配置された構造化フィルムと、を備える、光学物品であって、前記構造化フィルムが、
    前記構造化フィルムを前記導波路に接合するオプティカルボンディング層と、
    前記オプティカルボンディング層上に配置された1つ以上のパターン化層であって、前記1つ以上のパターン化層が、1つ以上の非構造化層をパターン化することによって形成され、前記1つ以上の非構造化層の実質的に垂直な入射光に対する光透過率が、少なくとも400nm~2500nmの範囲内の第1の波長W1について、少なくとも50%である、1つ以上のパターン化層と、
    前記1つ以上のパターン化層と前記オプティカルボンディング層との間に配置されたエッチング停止層であって、前記エッチング停止層の実質的に垂直な入射光に対する光透過率が、少なくとも前記第1の波長W1について少なくとも50%である、エッチング停止層と、を備え、
    前記オプティカルボンディング層の屈折率が、少なくとも前記第1の波長W1について0.1未満の虚数部を有し、前記オプティカルボンディング層の平均厚さが、5nm超かつ1/4W1未満である、
    光学物品。
JP2023536849A 2020-12-18 2021-12-15 構造化フィルム、及びそれを用いて基材上にパターンを形成する方法 Pending JP2023553691A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063127678P 2020-12-18 2020-12-18
US63/127,678 2020-12-18
PCT/IB2021/061796 WO2022130255A1 (en) 2020-12-18 2021-12-15 Structured film and method of using same to form a pattern on a substrate

Publications (1)

Publication Number Publication Date
JP2023553691A true JP2023553691A (ja) 2023-12-25

Family

ID=82057463

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023536849A Pending JP2023553691A (ja) 2020-12-18 2021-12-15 構造化フィルム、及びそれを用いて基材上にパターンを形成する方法

Country Status (5)

Country Link
US (1) US20240004282A1 (ja)
EP (1) EP4263210A1 (ja)
JP (1) JP2023553691A (ja)
CN (1) CN116710272A (ja)
WO (1) WO2022130255A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240129604A1 (en) * 2022-10-14 2024-04-18 Motional Ad Llc Plenoptic sensor devices, systems, and methods

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004358925A (ja) * 2003-06-09 2004-12-24 Dainippon Printing Co Ltd 表面装飾用転写シート、物品の表面装飾方法および装飾物品
US20050141843A1 (en) * 2003-12-31 2005-06-30 Invitrogen Corporation Waveguide comprising scattered light detectable particles
US20150202834A1 (en) * 2014-01-20 2015-07-23 3M Innovative Properties Company Lamination transfer films for forming antireflective structures
KR20180108721A (ko) * 2016-02-29 2018-10-04 후지필름 가부시키가이샤 패턴 적층체의 제조 방법, 반전 패턴의 제조 방법 및 패턴 적층체
EP3877454A4 (en) * 2018-11-09 2022-11-16 3M Innovative Properties Company NANOSTRUCTURED OPTICAL FILMS AND INTERMEDIATE PRODUCTS

Also Published As

Publication number Publication date
CN116710272A (zh) 2023-09-05
EP4263210A1 (en) 2023-10-25
WO2022130255A1 (en) 2022-06-23
US20240004282A1 (en) 2024-01-04

Similar Documents

Publication Publication Date Title
CN112997273A (zh) 用于在基底上形成纳米结构的材料和方法
TWI577533B (zh) 具有高對比對準標記之模板
TWI279850B (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
CN101795961A (zh) 用于制备微结构化制品的工具
JP2000071290A (ja) 反射防止物品の製造方法
TWI453162B (zh) 三維奈米結構陣列
WO2021111300A1 (en) Optical metasurface films
CN115461651B (zh) 反射光学超表面膜
JP6127535B2 (ja) ナノインプリント用テンプレートの製造方法
JP2023553691A (ja) 構造化フィルム、及びそれを用いて基材上にパターンを形成する方法
TWI504556B (zh) 三維奈米結構陣列的製備方法
JP5852123B2 (ja) 多段インプリントによるハイコントラストな整列マーク
CN110891895B (zh) 通过选择性模板移除来进行微米和纳米制造的方法
US20240004110A1 (en) Structured Film and Optical Article Including Structured Film
Lee et al. Anti-adhesive characteristics of CHF3/O2 and C4F8/O2 plasma-modified silicon molds for nanoimprint lithography
Si et al. A study of imprint and etching behavior on fused silica of a new tailored resist mr-NIL213FC for soft UV-NIL
TWI839408B (zh) 奈米結構化之光學膜及中間物
Alvine et al. Optical response of oriented and highly anisotropic subwavelength metallic nanostructure arrays
Takei Nanoimprinting of TiO2–SiO2 photocurable materials with high titanium concentration for CF4/O2 etch selectivity
TW202220515A (zh) 包括金屬體之圖案化物品
Takei Nanoimprint lithography using TiO2-SiO2 ultraviolet curable materials
TW201014700A (en) Template having alignment marks formed of contrast material