JP2023546636A - スケーリングされた垂直輸送電界効果トランジスタのための埋め込みパワー・レール - Google Patents

スケーリングされた垂直輸送電界効果トランジスタのための埋め込みパワー・レール Download PDF

Info

Publication number
JP2023546636A
JP2023546636A JP2022562886A JP2022562886A JP2023546636A JP 2023546636 A JP2023546636 A JP 2023546636A JP 2022562886 A JP2022562886 A JP 2022562886A JP 2022562886 A JP2022562886 A JP 2022562886A JP 2023546636 A JP2023546636 A JP 2023546636A
Authority
JP
Japan
Prior art keywords
drain
semiconductor layer
power rail
dielectric
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022562886A
Other languages
English (en)
Inventor
シエ、ルイロン
ワン、ジュンリ
リー、チューユン
レズニチェク、アレクサンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023546636A publication Critical patent/JP2023546636A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導体構造体は、縦型トランジスタの下部ソース・ドレインの下の埋め込みパワー・レールと、下部ソース・ドレインの下の誘電体2重層とを含み得る。誘電体2重層は、埋め込みパワー・レールと下部ソース・ドレインとの間にあり得る。半導体構造体は、下部ソース・ドレインの下のシリコン・ゲルマニウム2重層を含み得、シリコン・ゲルマニウム2重層は、埋め込みパワー・レールに隣接し得る。半導体構造体は、埋め込みパワー・レール・コンタクトを含み得る。埋め込みパワー・レール・コンタクトは、下部ソース・ドレインを埋め込みパワー・レールに接続し得る。誘電体2重層は第1の誘電体層と誘電体ライナーとを含み得る。第1の誘電体層は下部ソース・ドレインと直接接触し得る。誘電体ライナーは埋め込みパワー・レールを取り囲み得る。シリコン・ゲルマニウム2重層は、第1の半導体層と、第1の半導体層の下方の第2の半導体層とを含み得る。

Description

本発明は一般に、半導体構造体およびその形成方法に関する。より詳細には、本発明は、積極的にスケーリングされた垂直輸送電界効果トランジスタ(VTFET:vertical transport field effect transistor)のための埋め込みパワー・レール(buried power rail)を含む半導体構造体に関する。
より高い計算能力を有する、より小さくより高密度に実装されたデバイスを作製することは、半導体デバイスの製造における不変の目標である。半導体デバイスを設計する際、デバイスの各セルは、電源入力(Vdd)および接地(Vss)接続を必要とする。電力を様々な構成要素に供給するために、各セルは、入力電力(Vdd)を供給するためにセルの活性層に電気的に接続されたパワー・レールにも結合される。いくつかの事例では、各セルにそれぞれ入力電力(Vdd)と接地(Vss)とを供給するために、複数のパワー・レールが提供され得る。
本発明の一実施形態によれば、半導体構造体が提供される。半導体構造体は、縦型トランジスタの下部ソース・ドレイン(bottom source drain)の下の埋め込みパワー・レールと、下部ソース・ドレインの下の誘電体2重層(dielectric bi-layer)とを含み得る。誘電体2重層は、埋め込みパワー・レールと下部ソース・ドレインとの間にあり得る。半導体構造体は、下部ソース・ドレインの下のシリコン・ゲルマニウム2重層(silicon germanium bi-layer)を含み得、シリコン・ゲルマニウム2重層は、埋め込みパワー・レールに隣接し得る。埋め込みパワー・レールの材質は、タングステンまたはルテニウムであり得る。半導体構造体は、埋め込みパワー・レール・コンタクトを含み得る。埋め込みパワー・レール・コンタクトは、下部ソース・ドレインを埋め込みパワー・レールに接続し得る。誘電体2重層は、第1の誘電体層と誘電体ライナーとを含み得る。第1の誘電体層は、下部ソース・ドレインと直接接触し得る。誘電体ライナーは、埋め込みパワー・レールを取り囲み得る。誘電体ライナーは、埋め込みパワー・レールを誘電体2重層から分離し得る。シリコン・ゲルマニウム2重層は、第1の半導体層と、第1の半導体層の下方の第2の半導体層とを含み得る。第2の半導体層は、第1の半導体層と直接接触し得る。第1の半導体層はゲルマニウムを30%含み得、第2の半導体層はゲルマニウムを60%含み得る。半導体構造体は、第3の半導体層を含み得る。第3の半導体層は、下部ソース・ドレインの直下にあり得る。第3の半導体層の材質は、シリコンであり得る。半導体構造体はまた、上部ソース・ドレイン(top source drain)と、フィンと、金属ゲートとを含み得る。フィンは、上部ソース・ドレインと下部ソース・ドレインとの間にあり得る。金属ゲートはフィンに隣接し、フィンと直接接触し得る。
本発明の別の実施形態によれば、半導体構造体が提供される。半導体構造体は、縦型トランジスタの下部ソース・ドレインの下の埋め込みパワー・レールと、下部ソース・ドレインの下の誘電体2重層と、埋め込みパワー・レール・コンタクトとを含み得る。誘電体2重層は、埋め込みパワー・レールと下部ソース・ドレインとの間にあり得る。埋め込みパワー・レール・コンタクトは、下部ソース・ドレインを埋め込みパワー・レールに接続し得る。埋め込みパワー・レールの材質は、タングステンまたはルテニウムであり得る。誘電体2重層は、第1の誘電体層と誘電体ライナーとを含み得る。第1の誘電体層は下部ソース・ドレインに直接接触し得る。誘電体ライナーは、埋め込みパワー・レールを取り囲み得る。半導体構造体は、上部ソース・ドレインと、フィンと、金属ゲートとを含み得る。フィンは、上部ソース・ドレインと下部ソース・ドレインとの間にあり得る。金属ゲートはフィンに隣接し、直接接触し得る。半導体構造体は半導体層を含み得る。半導体層は、下部ソース・ドレインの直下にあり得る。半導体層の材質はシリコンであり得る。
本発明の別の実施形態によれば、方法が提供される。本方法は、基板上にシリコン・ゲルマニウム2重層をエピタキシャル成長させることと、シリコン・ゲルマニウム2重層上に第3の半導体層をエピタキシャル成長させることと、第3の半導体層内に1つまたは複数の垂直フィンおよび1つまたは複数の下部ソース・ドレインを形成することとを含み得る。1つまたは複数の下部ソース・ドレインは、1つまたは複数の垂直フィンの下方にあり得る。本方法は、シリコン・ゲルマニウム2重層および第3の半導体層の一部を横方向にエッチングして1つまたは複数の第1のくぼみを形成し、1つまたは複数のくぼみ内に第1の誘電体層を形成することを含み得る。第1の誘電体層は、1つまたは複数の下部ソース・ドレインの直下にあり得る。本方法は、第1の半導体層の一部を横方向にエッチングして1つまたは複数の第2のくぼみを形成することと、1つまたは複数の第2のくぼみ内に誘電体ライナーを堆積させることと、1つまたは複数の第2のくぼみ内に1つまたは複数の埋め込みパワー・レールを形成することとを含み得る。1つまたは複数の埋め込みパワー・レールは、1つまたは複数の下部ソース・ドレインの直下にあり得る。誘電体ライナーは、1つまたは複数の埋め込みパワー・レールを第1の誘電体層から分離し得る。シリコン・ゲルマニウム2重層は、第1の半導体層と第2の半導体層とを含み得る。第1の半導体層はゲルマニウムを30%含み得、第2の半導体層はゲルマニウムを60%含み得る。第3の半導体層の材質はシリコンであり得る。本方法は、1つまたは複数の垂直フィン間にシャロー・トレンチ・アイソレーションを形成することと、1つまたは複数の下部ソース・ドレイン・コンタクトを形成することとを含み得る。1つまたは複数の下部ソース・ドレイン・コンタクトは、1つまたは複数の下部ソース・ドレインを1つまたは複数の埋め込みパワー・レールと接続し得る。シャロー・トレンチ・アイソレーションは、第3の半導体層と、シリコン・ゲルマニウム2重層と、基板の一部とを貫通して延び得る。本方法はまた、1つまたは複数のフィンの上部に1つまたは複数の上部ソース・ドレインを形成することと、1つまたは複数のフィン間に金属ゲートを形成することと、1つまたは複数の上部ソース・ドレイン・コンタクトを形成することと、1つまたは複数のゲート・コンタクトを形成することと含み得る。
以下の詳細な説明は、例として与えられ、本発明をそれのみに限定することを意図するものではなく、添付の図面を併用することによって最もよく理解されるであろう。
一実施形態による、基板上に配置された第1の半導体層および第2の半導体層を示す断面図である。 一実施形態による、下部ソース・ドレインをもつ垂直フィンを示す断面図である。 一実施形態による、有機平坦化層および側壁スペーサを示す断面図である。 一実施形態による、第1の半導体層および第2の半導体層をリセスすることによって形成される第1のくぼみを示す断面図である。 一実施形態による、下部ソース・ドレインの下方の第1の誘電体層を示す断面図である。 一実施形態による、リセスされた第1の半導体層を示す断面図である。 一実施形態による、構造体の上面にコンフォーマルに堆積された誘電体ライナーを示す断面図である。 一実施形態による、下部ソース・ドレインの直下にある第2の埋め込みパワー・レールを示す断面図である。 一実施形態による、垂直フィン間のトレンチを示す断面図である。 一実施形態による、垂直フィン間のシャロー・トレンチ・アイソレーションを示す断面図である。 一実施形態による、上部ソース・ドレインおよび金属ゲートを示す断面図である。 一実施形態による、下部ソース・ドレイン・コンタクト、上部ソース・ドレイン・コンタクト、およびゲート・コンタクトを示す断面図である。 一実施形態による、垂直フィン間のトレンチを示す断面図である。 一実施形態による、下部ソース・ドレインの側壁に沿ったライナーを示す断面図である。 一実施形態による、第1の半導体層および第2の半導体層が除去された構造体を示す断面図である。 一実施形態による、埋め込みパワー・レールと、下部ソース・ドレイン・コンタクトと、上部ソース・ドレイン・コンタクトと、ゲート・コンタクトとをもつ構造体を示す断面図である。 一実施形態による、それぞれがそれぞれの埋め込みパワー・レールをもつ垂直輸送電界効果トランジスタを示す上面図である。
図面は、必ずしも縮尺通りではない。図面は概略的な表現に過ぎず、本発明の特定のパラメータを描写することを意図していない。図面は、本発明の典型的な実施形態のみを描写することを意図している。図面において、同様の番号付けは同様の要素を表す。
本明細書では特許請求される構造体および方法の詳細な実施形態が開示されるが、開示される実施形態は、様々な形態で具体化され得る、特許請求される構造体および方法の例示に過ぎないことは理解され得る。しかしながら、本発明は、多くの異なる形態で具体化され得、本明細書に記載された例示的な実施形態に限定されると解釈されるべきでない。むしろ、これらの例示的な実施形態は、この開示が徹底的かつ完全なものとなり、当業者にこの発明の範囲を完全に伝えることになるように提供されるものである。本明細書では、提示された実施形態を不必要に不明瞭にすることを避けるために、よく知られた特徴および技術の詳細は省略されることがある。
以下の説明のために、「上部の(upper)」、「下部の(lower)」、「右の(right)」、「左の(left)」、「垂直の(vertical)」、「水平の(horizontal)」、「上部(top)」、「下部(bottom)」という用語、およびそれらの派生語は、図面において方向付けられるように、開示される構造体および方法に関するものとする。「上に重なる(overlying)」、「頂部に(atop)」、「上部に(on top)」、「上に位置する(positioned on)」または「頂部に位置する(positioned atop)」という用語は、第1の構造体などの第1の要素が、第2の構造体などの第2の要素上に存在することを意味し、インターフェース構造体などの介在要素が第1の要素と第2の要素との間に存在し得る。「直接接触(direct contact)」という用語は、第1の構造体などの第1の要素と第2の構造体などの第2の要素とが、2つの要素の界面で、中間の導電層、絶縁層、または半導体層を介さずに接続されることを意味する。
本発明の実施形態の提示を不明瞭にしないために、以下の詳細な説明において、当技術分野で知られるいくつかの処理ステップまたは操作は、提示のためおよび説明のためにまとめられていることがあり、いくつかの事例では詳細に説明されていないことがある。他の事例では、当技術分野で知られるいくつかの処理ステップまたは操作は、全く説明されないことがある。以下の説明は、むしろ本発明の様々な実施形態の特有の特徴または要素に焦点を当てることを理解されたい。
本発明の実施形態は一般に、半導体構造体およびその形成方法に関する。より具体的には、本発明は、積極的にスケーリングされた垂直輸送電界効果トランジスタ(VTFET)のための埋め込みパワー・レールを含む半導体構造体に関する。
パワー・レールは、デバイスに電流を供給するために回路において使用され得る。従来、パワー・レールはデバイスの上部に積み重ねられるか、デバイス間に埋め込まれ得る。埋め込みパワー・レールは、一般にトランジスタ間のシャロー・トレンチ・アイソレーション(STI)領域中に形成される。現在、積極的なセルのスケーリングにより、同じフットプリントまたはより小さいフットプリントに、より多くのトランジスタが作製される。その結果、STIのためのスペースも縮小する。パワー・レールをSTI領域に埋め込むと、埋め込みパワー・レールが電流を供給するのに十分な大きさを確保できなくなる。そのため、より多くのトランジスタに電流を供給するだけでなく、縮小されたフットプリント内にも収まるパワー・レールの構造体および製造方法が必要とされる。
本発明の実施形態は、トランジスタの活性領域の直下に埋め込まれ、したがってもはやフットプリントのスケーリングを制約しないパワー・レールを作製するための構造体および方法を提案する。さらに、結果得られる埋め込みパワー・レールの位置により、下部ソース・ドレインが活性領域内にその埋め込みパワー・レールとのコンタクトを有し、したがってフットプリント内のスペースを節約することが可能になる。
図1から図17は、トランジスタの活性領域の直下に埋め込みパワー・レールを作製する方法を示す。図13および図16は、埋め込みパワー・レールをもつ縦型トランジスタの結果として得られる構造体を示す。
ここで図1を参照すると、一実施形態による構造体100が示される。構造体100は、基板102と、第1の半導体層104と、第2の半導体層106と、第3の半導体層108と、ハード・マスク層110とを含み得る。適切な基板102の材料の非限定的な例は、Si(シリコン)、歪みSi、Ge(ゲルマニウム)、SiGe(シリコン・ゲルマニウム)、Si合金、Ge合金、III-V族材料(たとえば、GaAs(ガリウム・ヒ素)、InAs(インジウム・ヒ素)、InP(インジウム・リン)、またはアルミニウム・ヒ素(AlAs))、II-VI族材料(たとえば、CdSe(セレン化カドミウム)、CdS(硫化カドミウム)、CdTe(テルル化カドミウム)、ZnO(酸化亜鉛)、ZnSe(セレン化亜鉛)、ZnS(硫化亜鉛)またはZnTe(テルル化亜鉛))あるいはこれらの任意の組合せを含み得る。
第1の半導体層104は、基板102上にエピタキシャル成長させられる。次いで、第1のシリコン層104の上面上に、第2の半導体層106はエピタキシャル成長させられる。第1の半導体層104および第2の半導体層106は、たとえば分子線エピタキシ(MBE:molecular beam epitaxy)などのエピタキシャル成長プロセスを使用してエピタキシャル成長させられる。第1の半導体層104および第2の半導体層106を成長させるために、急速熱化学気相成長法(RTCVD:rapid thermal chemical vapor deposition)、低エネルギープラズマ成長法(LEPD:low-energy plasma deposition)、超高真空化学気相成長法(UHVCVD:ultra-high vacuum chemical vapor deposition)、大気圧化学気相成長法(APCVD:atmospheric pressure chemical vapor deposition)などの他の方法も使用され得る。第1の半導体層104は、30nmから200nmの範囲の厚さに成長させられ得る。第2の半導体層106は、5nmから20nmの範囲の厚さに成長させられ得る。第1の半導体層104および第2の半導体層106の材質は、シリコン・ゲルマニウムであり得る。第1の半導体層104におけるゲルマニウムの割合は、30%程度であり得る。第2の半導体層106におけるゲルマニウムの割合は、60%程度であり得る。第1の半導体層104と第2の半導体層106とは、合わせてシリコン・ゲルマニウム2重層または半導体2重層と呼ばれ得る。
第3の半導体層108は、第2の半導体層106の上面上にエピタキシャル成長させられる。第3の半導体層108は、第1の半導体層104または第2の半導体層106を成長させるために使用されるものと同様の技術を使用して成長させられ得る。第3の半導体層108は、60nmから150nmの範囲の厚さにエピタキシャル成長させられ得る。第3の半導体層108の材質はシリコンであり得る。
ハード・マスク層110は、第3の半導体層108の上面上に堆積される。ハード・マスク層110は、たとえば、シリコン酸化物、シリコン窒化物またはシリコン酸窒化物あるいはその組合せなど、任意の誘電体材料を含み得る。いくつかの実施形態では、ハード・マスク層110は、たとえば、化学気相成長、プラズマ化学気相成長、物理気相成長、または原子層堆積などの従来の堆積プロセスを利用して形成され得る。他の実施形態では、ハード・マスク層110は、たとえば、熱酸化などの熱成長プロセスを利用して形成され得る。さらに他の実施形態では、ハード・マスク層110は、堆積プロセスと熱成長プロセスとの組合せによって形成され得る。ハード・マスク層110は、約10nmから約150nmの範囲の厚さを有し得る。ハード・マスク層110のために他の厚さが可能であり、本願において使用され得る。
ここで図2を参照すると、実施形態による、垂直フィン112と下部ソース・ドレイン116とをもつ構造体100が示される。第3の半導体層108の上面上にハード・マスク層110を形成した後、ハード・マスク層110および第3の半導体層108はパターニングされる(図示せず)。パターニングは、リソグラフィとエッチングとによって実行され得る。ハード・マスク層110および第3の半導体層108のパターニングの結果、ハード・マスク・キャップ114およびフィン112が形成される。フィン112は、互いに平行または実質的に平行である一対の垂直な側壁を各々含む。2つのフィン112が形成されるものとして説明および図示されるが、1つのフィン112または複数のフィン112が形成され得る。各フィン112は、約20nmから約150nmの範囲の垂直高さ、5nmから30nmの範囲の幅、および20nmから300nmの範囲の長さを有し得る。本明細書で言及した範囲よりも小さい、または大きい他の垂直高さまたは幅または長さあるいはその組合せも、本願において使用され得る。
フィン112がパターニングされると、下部ソース・ドレイン116は既知の技術を使用してエピタキシャル成長させられ、下部ソース・ドレイン116がフィン112の直下に形成され得る。下部ソース・ドレイン116は、p型ドーパントまたはn型ドーパントでインサイチュ・ドープされ、VTFET用のp型のまたはn型の下部ソース・ドレインになり得る。たとえば、下部ソース・ドレイン116の材質はシリコン・ゲルマニウムであり、たとえばボロンなどのp型ドーパントでインサイチュ・ドープされて、p型の下部ソース・ドレイン116aになり得る。下部ソース・ドレイン116の材質はシリコンであり、たとえばリンなどのn型ドーパントでインサイチュ・ドープされて、n型の下部ソース・ドレイン116bになり得る。
ここで図3を参照すると、一実施形態による、第1の有機平坦化層(OPL)118と側壁スペーサ120とをもつ構造体100が示される。第1のOPL118は、最初に、第1のOPL118の上面がハード・マスク・キャップ114の上面より上に延びるように、構造体100の上面上に堆積される。第1のOPL118は、たとえばスピン・オン・コーティングなどの既知の堆積技術を使用して堆積され得る。
第1のOPL118が堆積された後、第3の半導体層108の上部が露出するように第1のOPL118をエッチングするために、リソグラフィ・パターニングと、たとえば反応性イオン・エッチ・プロセスのようなドライ・エッチ・プロセスとが使用され得る。第3の半導体層108の上部は、下部ソース・ドレイン116の下面より下にある。次いで、スペーサ層(図示せず)が構造体100の上面上にコンフォーマルに堆積される。スペーサ層は、たとえば、シリコン酸化物、シリコン窒化物、SiOCN、またはSiBCNなどの絶縁材料を含み得る。スペーサ層の材料の他の非限定的な例は、誘電体酸化物(たとえば、シリコン酸化物)、誘電体窒化物(たとえば、シリコン窒化物)、誘電体酸窒化物、またはそれらの任意の組合せを含み得る。スペーサ層は、たとえば、原子層堆積、化学気相成長、または物理気相成長などの堆積プロセスによって堆積され得る。スペーサ層は、約3から約15nm、または約5から約8nmの範囲の厚さを有し得る。
いったんコンフォーマルに堆積されると、次にスペーサ層は側壁スペーサ120を形成するためにエッチバックされる。側壁スペーサ120を形成するために、スペーサ層の一部が下部ソース・ドレイン116の側壁および第1のOPL118の側壁上に残るように、スペーサ層はドライ・エッチ・プロセス、たとえば、反応性イオン・エッチ・プロセスによってエッチングされ得る。側壁スペーサ120は、後続の製造プロセス中に、下部ソース・ドレイン116の側壁および第1のOPL118の側壁を損傷から保護する。
側壁スペーサ120の形成後、第3の半導体層108と、第2の半導体層106と、第1の半導体層104の一部とをさらにリセスするために、側壁スペーサ120または第1のOPL118に対して選択的な(実質的に除去しない)別のエッチ・プロセスが使用され得る。エッチ・プロセスは、たとえば、反応性イオン・エッチ・プロセスであり得る。第3の半導体層108と、第2の半導体層106と、第1の半導体層104の一部とをリセスすることにより、側壁スペーサ120の直下にある3つの層のサイドウォールが露出する。
ここで図4を参照すると、一実施形態による、第1のくぼみ122を有する構造体100が示される。第1のくぼみ122は、第1の半導体層104および第3の半導体層108に対して選択的な(実質的に除去しない)、第2の半導体層106を除去するエッチ・プロセスを最初に利用することによって形成される。第2の半導体層106の一部を横方向に除去するために、たとえば、適切な温度でのHCl気相ドライ・エッチ(HCl vapor dry etch)などのエッチ・プロセスが使用され得る。その結果、下部ソース・ドレイン116の直下にある第2の半導体層106の一部が除去される。さらに、くぼみ122が下部ソース・ドレイン116に非常に近くなるように、たとえば等方性エッチ・プロセスなどの別のエッチ・プロセスを使用して、第1の半導体層104の上部と第3の半導体層108の一部とを横方向にエッチングする。形成された第1のくぼみ122は、下部ソース・ドレイン116の下面から第1の半導体層104の露出した上部まで垂直に延びる。
ここで図5を参照すると、一実施形態による、下部ソース・ドレイン116の下方の第1の誘電体層124をもつ構造体100が示される。誘電体材料は、構造体100の上面上にプラズマ原子層堆積法を使用して堆積され得る。堆積中に、図4に示されるくぼみ122は誘電体材料で充填される。誘電体材料はシリコン窒化物であり得る。次いで、誘電体材料は、等方性エッチ・プロセスを使用してエッチングされ、第1の誘電体層124を形成する。第1の誘電体層124は、下部ソース・ドレイン116の下方で下部ソース・ドレイン116と直接接触する。
ここで図6を参照すると、一実施形態による、第1の半導体層104の一部が除去された構造体100が示される。いったん、第1の誘電体層124が形成される。選択的シリコン・ゲルマニウム30%エッチング・プロセスが実行され、第1の半導体層104の一部を横方向に除去して第2のくぼみ126を形成する。第1の半導体層104の露出した側壁は、第2の半導体層106および第3の半導体層108の側壁と実質的に同一平面上にある。結果として、第1の誘電体層124の底面が露出される。第2のくぼみ126は、第1の誘電体層124と実質的に同じ長さで横方向に延びる。
ここで図7を参照すると、一実施形態による、誘電体ライナー128をもつ構造体100が示される。第2のくぼみ126が形成された後、第1のOPL118は除去され、以てフィン112およびハード・マスク・キャップ114を露出させる。任意の材料除去プロセス、たとえばアッシングなどが、第1のOPL118を構造体100から除去するために使用され得る。第1のOPL118が除去されると、誘電体ライナー128が構造体100の上面上にコンフォーマルに堆積される。誘電体ライナー128は、任意の堆積技術、たとえば原子層堆積などを使用して堆積され得る。誘電体ライナー128の材質は、たとえば、シリコン酸化物などの絶縁材料であり得る。誘電体ライナー128は、第2のくぼみ126をピンチオフしない程度に薄いものであり得る。たとえば、誘電体ライナー128は、約3から約8nmの範囲の厚さを有し得る。誘電体ライナー128と第1の誘電体層124とは、合わせて誘電体2重層と呼ばれ得る。
ここで図8を参照すると、一実施形態による、下部ソース・ドレイン116の直下に埋め込みパワー・レール130をもつ構造体100が示される。誘電体ライナー128が構造体100の上面上にコンフォーマルに堆積されると、金属層(図示せず)が構造体100の上に堆積される。金属層は、第1の誘電体層124の下の垂直フィン112間のすべての空間および第2のくぼみ126(図6~図7に図示)を充填し得る。金属層は、タングステン金属層、ルテニウム金属層、またはコバルト金属層であり得る。
金属層は次に、埋め込みパワー・レール130を形成するために、CMPおよびたとえば反応性イオン・エッチ・プロセスなどのエッチ・プロセスを使用して、平坦化され、リセスされる。埋め込みパワー・レール130は、図7に示される第2のくぼみ126内に形成され、第1の誘電体層124の上面より上方に延びる。埋め込みパワー・レール130は、誘電体ライナー128によって取り囲まれる。誘電体ライナー128は、埋め込みパワー・レール130を、埋め込みパワー・レール130に隣接するシリコン・ゲルマニウム2重層から分離する。
ここで図9を参照すると、一実施形態による、垂直フィン112間にトレンチ134をもつ構造体100が示される。第2の有機平坦化層(OPL)132は、構造体100の上面上に堆積される。第2のOPL132の材質は、第1のOPL118と実質的に同じ材料であり得る。第2のOPL132は、後続の製造プロセス中に構造体100を損傷から保護する。
第2のOPL132が堆積された後、たとえば反応性イオン・エッチ・プロセスなどのエッチ・プロセスが、2つの垂直フィン112間のOPL132の一部を除去するために使用され得る。さらに、エッチ・プロセスは、2つの垂直フィン112間の誘電体ライナー128、下部ソース・ドレイン116、第3の半導体層108、第2の半導体層106、および第1の半導体層104の一部を除去し、以てトレンチ134を形成する。トレンチ134は、誘電体ライナー128の上面から基板102の露出した上面まで垂直に延びる。
ここで図10を参照すると、一実施形態による、垂直フィン112間にシャロー・トレンチ・アイソレーション(STI)136をもつ構造体100が示される。トレンチ134は、誘電体材料で充填され、STI136を形成する。STI136は、たとえば、シリコン酸化物などの酸化物材料で形成され得る。STI136は、一方のフィンに印加される電流が第2のフィンに影響を与えないように、2つのフィン112を分離する誘電体プラグの一形態である。STI136の上面は、下部ソース・ドレイン116の上面と実質的に同一平面であり得る。典型的には、STI136は、第3の半導体層108と、第2の半導体層106と、第1の半導体層104とを貫通して延びる。STI136はまた、2つのフィンが電気的に分離されることが可能になる深さまで基板102の一部を貫通して延びる。
酸化物材料は、埋め込みパワー・レール130の上にあるフィン112の端にも堆積され、第2の誘電体層138を形成する。STI136が形成された後、第2のOPL132が除去され、以てフィン112およびハード・マスク・キャップ114が露出する。構造体100から第2のOPL132を除去するために、任意の材料除去プロセス、たとえばアッシングなどが使用され得る。
ここで図11を参照すると、一実施形態による、金属ゲート142、上部ソース・ドレイン146、および層間誘電体(ILD)148をもつ構造体100が示される。STI136が2つのフィン112間に形成されると、構造体100は、下部スペーサ140、金属ゲート142、上部スペーサ144、上部ソース・ドレイン146、およびILD148を形成するための、当技術分野で知られる追加の製造プロセスを経る。下部スペーサ140および上部スペーサ144は、誘電体酸化物(たとえば、シリコン酸化物)、誘電体窒化物(たとえば、シリコン窒化物)、誘電体酸窒化物、またはそれらの任意の組合せを含み得る。下部スペーサ140および上部スペーサ144は、たとえば、化学気相成長(CVD)または物理気相成長(PVD)の堆積プロセスによって形成される。下部スペーサ140および上部スペーサ144は、約3から約15nmまでの厚さ、または約5から約10nmまでの厚さを有し得る。
金属ゲート142は、ゲート誘電体材料と、仕事関数金属と、金属ゲートとを含み得る。ゲート誘電体材料は、酸化物、窒化物、酸窒化物、ケイ酸塩(たとえば、金属ケイ酸塩)、アルミン酸塩、チタン酸塩、窒化物、ハフニウム酸化物などのhigh-k誘電体、またはそれらの任意の組合せを含み得る。仕事関数金属は、p型仕事関数金属材料とn型仕事関数金属材料とを含む。p型仕事関数材料は、ルテニウム、パラジウム、白金、コバルト、ニッケル、チタン窒化物、チタン炭化物、チタン・アルミニウム炭化物、および導電性金属酸化物などの組成物(composition)、またはこれらの任意の組合せを含む。n型金属材料は、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物(たとえば、ハフニウム炭化物、ジルコニウム炭化物、チタン炭化物、アルミニウム炭化物)、アルミニウム化物、チタン窒化物、チタン炭化物、チタン・アルミニウム炭化物などの組成物、またはこれらの任意の組合せを含む。金属ゲートの材質は、たとえば、アルミニウム(Al)、白金(Pt)、金(Au)、タングステン(W)、チタン(Ti)、またはこれらの任意の組合せなどの導電性金属であり得る。金属ゲート142は、適切な堆積プロセス、たとえば原子層堆積、化学気相成長、プラズマ化学気相成長、物理気相成長、メッキ、熱または電子ビーム蒸着、およびスパッタリングによって堆積され得る。
金属ゲート142の形成後、ILD148が構造体100上に堆積される。ILD148は、たとえば、シリコン酸化物、スピンオングラス、流動性酸化物、高密度プラズマ酸化物、ボロホスホシリケート・ガラス(BPSG:borophosphosilicate glass)、またはそれらの任意の組合せを含むがこれらに限定されないlow-k誘電体材料(k<4.0をもつ)から形成され得る。ILD148は、化学気相成長、物理気相成長、プラズマ化学気相成長、原子層堆積、蒸着、化学溶液堆積、または同様のプロセスを含むが、これらに限定されない堆積プロセスによって堆積される。上部ソース・ドレイン146を形成するために、ハード・マスク・キャップ114が最初に除去される。ハード・マスク・キャップ114は、たとえば、化学機械研磨に続いて選択的SiN除去を行うなど、任意の材料除去プロセスを利用して除去され得る。ハード・マスク・キャップ114が除去され、第3の半導体層108の上面が露出すると、その第3の半導体層108の露出した上面から、上部ソース・ドレイン146がエピタキシャル成長させられる。上部ソース・ドレイン146は、下部ソース・ドレイン116と同じ種類のドーパントでドープされ得る。
ここで図12を参照すると、一実施形態による、下部ソース・ドレイン・コンタクト150と、上部ソース・ドレイン・コンタクト152と、ゲート・コンタクト154とをもつ構造体100が示される。下部ソース・ドレイン・コンタクト150は、ILD148の下部から、下部ソース・ドレイン116、第1の誘電体層、誘電体ライナー128を貫通して、埋め込みパワー・レール130まで延びる。下部ソース・ドレイン・コンタクト150は、コンタクト・トレンチ内に形成される。コンタクト・トレンチを形成するために、フォトレジストなどのレジストが堆積され、パターニングされ得る。反応性イオン・エッチなどのエッチ・プロセスが、そのパターニングされたレジストをILD148、下部ソース・ドレイン116、第1の誘電体層、および誘電体ライナー128の一部を除去するためのエッチ・マスクとして使用して、埋め込みパワー・レール130が露出するまで実行され得る。次に、コンタクト・トレンチは、たとえば、アルミニウム(Al)、白金(Pt)、金(Au)、タングステン(W)、チタン(Ti)、コバルト(Co)、ルテニウム(Ru)、またはそれらの任意の組合せなどの導電性材料で充填される。導電性材料は、適切な堆積プロセス、たとえば、化学気相成長、プラズマ化学気相成長、物理気相成長、メッキ、熱または電子ビーム蒸着、またはスパッタリングによって堆積され得る。次に、導電性材料はエッチ・プロセスを使用してリセスされ、下部ソース・ドレイン・コンタクト150を形成する。次に、コンタクト・トレンチのリセスされた部分は、既知の堆積技術を使用して、ILD148で充填される。
下部ソース・ドレイン・コンタクト150に加えて、構造体100は、上部ソース・ドレイン・コンタクト152およびゲート・コンタクト154を形成するためのさらなる製造プロセスを経る。上部ソース・ドレイン・コンタクト152およびゲート・コンタクト154は、下部ソース・ドレイン・コンタクト150と実質的に同じやり方で形成される。上部ソース・ドレイン・コンタクト152は、ILD148を貫通して上部ソース・ドレイン146まで延びる。ゲート・コンタクト154は、ILD148を貫通して金属ゲート142まで延びる。
図12に示すように、その結果得られる構造体100は、STI136によって分離された2つのVTFETを含む。左側のVTFETはp型FETであり、右側のVTFETはn型FETである。加えて、各VTFETは埋め込みパワー・レール130を含む。埋め込みパワー・レール130は、VTFETの直下にある。さらに、埋め込みパワー・レール130は、下部ソース・ドレイン・コンタクト150を介して下部ソース・ドレイン116と接続される。
直下に埋め込みパワー・レールをもつVTFETを作製するための別の実施形態を、添付の図13から図17を参照しながら以下に詳細に説明する。本実施形態では、第1の半導体層104および第2の半導体層106は完全に除去され、STI136は2つの埋め込みパワー・レール130間の領域にまたがる。
ここで図13を参照すると、本発明の実施形態による、(図9に関して上述したように)第2のOPL132を堆積させた後の作製の中間段階における構造体200が示される。構造体200は、図9に関して上記で詳細に説明した構造体100とすべての点で実質的に同様であり得るが、本実施形態では、構造体200は、基板102まで延びていないトレンチ134を含む。図9の構造体100から始めると、2つのフィン112間にトレンチ134を形成するために、反応性イオン・エッチ・プロセスが実行される。反応性イオン・エッチ・プロセスは、第3の半導体層108の上面が露出された時点で終了する。その結果、トレンチ134は、誘電体ライナー128の上面から第3の半導体層108の上面まで延びる。
ここで図14を参照すると、一実施形態による、トレンチ134の側壁上に第2のライナー156をもつ構造体200が示される。トレンチ134を形成することによって、下部ソース・ドレイン116の側壁が露出される。次いで、第2のライナー156が、下部ソース・ドレイン116の露出した側壁上に堆積される。第2のライナー156は、誘電体ライナー128を形成する材料と実質的に同じ材料で形成され得る。第2のライナー156は、後続の作製ステップ中に損傷から下部ソース・ドレイン116を保護する。第2のライナー156は、トレンチ134の側壁の全長にわたって延びる。
ここで図15を参照すると、一実施形態による、第1の半導体層104および第2の半導体層106が除去された構造体200が示される。第2のライナー156がトレンチ134の側壁上に堆積された後、第1の半導体層104および第2の半導体層106を除去するために、たとえば気相HClドライ・エッチなどの選択的シリコン・ゲルマニウム・エッチ・プロセスが使用され、開口158を作り、基板102の上面を露出させる。このエッチ・プロセスは基板102に対して選択的である(実質的に除去されない)。このエッチ・プロセスは、下部ソース・ドレイン116は第2のライナー156によって保護されるので、それらを除去するかまたは損傷を与えることがない。
第1の半導体層104および第2の半導体層106が除去された後、その結果生じる開口部158は、STI136を生成するために、たとえばシリコン酸化物などのような酸化物材料で充填される。STI136は、1つのフィンに印加される電流が第2のフィンに影響を与えないように、2つのフィン112および2つの下部ソース・ドレイン116を分離する。典型的には、STI136は、2つのフィンが電気的に分離されることが可能になる深さまで基板102の一部を貫通して延びる。
ここで図16を参照すると、一実施形態による、埋め込みパワー・レール130と、下部ソース・ドレイン・コンタクト150と、上部ソース・ドレイン・コンタクト152と、ゲート・コンタクト154とをもつ構造体200が示される。STI136が形成されると、構造体200は、図10から図12に関して本明細書で説明した追加の作製処理を受ける。
図16に示すように、その結果得られる構造体200は、STI136によって分離された2つのVTFETを含む。一実施形態では、2つのVTFETは、p型VTFETまたはn型VTFETなど、同じ型であり得る。代替の実施形態では、VTFETは異なる型である。たとえば、一方のVTFETはp型であり、他方のVTFETはn型である。さらに、STI136は、下部スペーサ140の下面から基板102の上面まで垂直に延びる。STI136は、2つの埋め込みパワー・レール130間を横方向に延びる。構造体100と構造体200は、両方ともVTFETの直下に埋め込みパワー・レール130を含むので、構造体200は実質的に構造体100と同様である。しかしながら、構造体100は、STI136および下部ソース・ドレイン116の直下に、第1の半導体層104と第2の半導体層106との一部を含む。2つのVTFETと2つの埋め込みパワー・レール130とが示されているが、本発明の実施形態は、単一の基板ウェーハ上に複数のVTFETと複数の埋め込みパワー・レール130とを形成することを企図していることを理解されたい。
ここで図17を参照すると、一実施形態による、複数のVTFETおよびそれらのそれぞれの埋め込みパワー・レール130が示される。上部2つのVTFETはp型VTFETであり、下部2つのVTFETはn型VTFETである。さらに、図1から図16は、断面線X-Xに沿って切断されたフィン112の断面図である。破線によって画定されるセル境界は、図1から図16に示される構造体100および構造体200の境界を表す。
図17に示すように、埋め込みパワー・レール130は、VTFETの直下に形成される。VTFETの上部またはVTFET間のSTI136領域中のどちらかにあるのとは対照的に、VTFETの直下に埋め込みパワー・レール130を有することによって、同じフットプリントに、より多くのVTFETが作製されることが可能になる。さらに、埋め込みパワー・レール130が下部ソース・ドレイン116との直接接触を形成するので、追加の下部ソース・ドレイン・コンタクトの形成は必要がない。これは、フットプリントを増加させないので有利である。
本発明の様々な実施形態の説明は、例示の目的で提示されたが、網羅的であることまたは開示された実施形態に限定されることを意図していない。説明した実施形態の範囲から逸脱することなく、多くの改変および変形が当業者には明らかであろう。本明細書で使用する用語は、実施形態の原理、市場で見出される技術に対する実用化または技術的改良を最もよく説明するために、または当業者が本明細書に開示された実施形態を理解することを可能にするために選択されたものである。

Claims (20)

  1. 半導体構造体であって、
    縦型トランジスタの下部ソース・ドレインの下の埋め込みパワー・レールと、
    前記下部ソース・ドレインの下の誘電体2重層であって、前記誘電体2重層が前記埋め込みパワー・レールと前記下部ソース・ドレインとの間にある、前記誘電体2重層と、
    前記下部ソース・ドレインの下のシリコン・ゲルマニウム2重層であって、前記シリコン・ゲルマニウム2重層が前記埋め込みパワー・レールに隣接する、前記シリコン・ゲルマニウム2重層と、
    埋め込みパワー・レール・コンタクトであって、前記埋め込みパワー・レール・コンタクトが前記下部ソース・ドレインを前記埋め込みパワー・レールに接続する、前記埋め込みパワー・レール・コンタクトと
    を備える半導体構造体。
  2. 前記誘電体2重層が、
    第1の誘電体層であって、前記第1の誘電体層が前記下部ソース・ドレインと直接接触する、前記第1の誘電体層と、
    誘電体ライナーであって、前記誘電体ライナーが前記埋め込みパワー・レールを取り囲む、前記誘電体ライナーと
    を備える、請求項1に記載の半導体構造体。
  3. 前記誘電体ライナーが前記埋め込みパワー・レールを前記誘電体2重層から分離する、請求項2に記載の半導体構造体。
  4. 前記シリコン・ゲルマニウム2重層が、
    第1の半導体層と、
    前記第1の半導体層の下方の第2の半導体層であって、前記第2の半導体層が前記第1の半導体層と直接接触する、前記第2の半導体層と
    を備える、請求項1に記載の半導体構造体。
  5. 前記第1の半導体層がゲルマニウムを30%含み、前記第2の半導体層がゲルマニウムを60%含む、請求項4に記載の半導体構造体。
  6. 第3の半導体層をさらに備え、前記第3の半導体層が前記下部ソース・ドレインの直下にあり、前記第3の半導体層の材質がシリコンである、請求項1に記載の半導体構造体。
  7. 上部ソース・ドレインと、
    フィンであって、前記フィンが前記上部ソース・ドレインと前記下部ソース・ドレインとの間にある、前記フィンと、
    金属ゲートであって、前記金属ゲートが前記フィンに隣接し、前記フィンと直接接触する、前記金属ゲートと
    をさらに備える、請求項1に記載の半導体構造体。
  8. 前記埋め込みパワー・レールの材質がタングステンまたはルテニウムである、請求項1に記載の半導体構造体。
  9. 縦型トランジスタの下部ソース・ドレインの下の埋め込みパワー・レールと、
    前記下部ソース・ドレインの下の誘電体2重層であって、前記誘電体2重層が前記埋め込みパワー・レールと前記下部ソース・ドレインとの間にある、前記誘電体2重層と、
    埋め込みパワー・レール・コンタクトであって、前記埋め込みパワー・レール・コンタクトが前記下部ソース・ドレインを前記埋め込みパワー・レールに接続する、前記埋め込みパワー・レール・コンタクトと
    を備える半導体構造体。
  10. 前記誘電体2重層が、
    第1の誘電体層であって、前記第1の誘電体層が前記下部ソース・ドレインと直接接触する、前記第1の誘電体層と、
    誘電体ライナーであって、前記誘電体ライナーが前記埋め込みパワー・レールを取り囲む、前記誘電体ライナーと
    を備える、請求項9に記載の半導体構造体。
  11. 上部ソース・ドレインと、
    フィンであって、前記フィンが前記上部ソース・ドレインと前記下部ソース・ドレインとの間にある、前記フィンと、
    金属ゲートであって、前記金属ゲートが前記フィンに隣接し、前記フィンと直接接触する、前記金属ゲートと
    をさらに備える、請求項9に記載の半導体構造体。
  12. 半導体層をさらに備え、前記半導体層が前記下部ソース・ドレインの直下にあり、前記半導体層の材質がシリコンである、
    請求項9に記載の半導体構造体。
  13. 前記埋め込みパワー・レールの材質がタングステンまたはルテニウムである、請求項9に記載の半導体構造体。
  14. 基板上にシリコン・ゲルマニウム2重層をエピタキシャル成長させることであって、前記シリコン・ゲルマニウム2重層が第1の半導体層と第2の半導体層とを含む、シリコン・ゲルマニウム2重層を前記エピタキシャル成長させることと、
    前記シリコン・ゲルマニウム2重層上に第3の半導体層をエピタキシャル成長させることと、
    前記第3の半導体層内に、1つまたは複数の垂直フィンおよび1つまたは複数の下部ソース・ドレインを形成することであって、前記1つまたは複数の下部ソース・ドレインは前記1つまたは複数の垂直フィンの下方にある、垂直フィンおよび下部ソース・ドレインを前記形成することと、
    前記シリコン・ゲルマニウム2重層および前記第3の半導体層の一部を横方向にエッチングして、1つまたは複数の第1のくぼみを形成することと、
    前記1つまたは複数のくぼみ内に第1の誘電体層を形成することであって、前記第1の誘電体層が前記1つまたは複数の下部ソース・ドレインの直下にある、第1の誘電体層を前記形成することと、
    前記第1の半導体層の一部を横方向にエッチングして、1つまたは複数の第2のくぼみを形成することと、
    前記1つまたは複数の第2のくぼみ内に誘電体ライナーを堆積させることと、
    前記1つまたは複数の第2のくぼみ内に1つまたは複数の埋め込みパワー・レールを形成することであって、前記1つまたは複数の埋め込みパワー・レールが前記1つまたは複数の下部ソース・ドレインの直下にある、埋め込みパワー・レールを前記形成することと
    を含む方法。
  15. 前記誘電体ライナーが、前記1つまたは複数の埋め込みパワー・レールを前記第1の誘電体層から分離する、請求項14に記載の方法。
  16. 前記1つまたは複数の垂直フィン間にシャロー・トレンチ・アイソレーションを形成することと、
    1つまたは複数の下部ソース・ドレイン・コンタクトを形成することであって、前記1つまたは複数の下部ソース・ドレイン・コンタクトが、前記1つまたは複数の下部ソース・ドレインを前記1つまたは複数の埋め込みパワー・レールと接続する、下部ソース・ドレイン・コンタクトを前記形成することと
    をさらに含む、請求項14に記載の方法。
  17. 前記シャロー・トレンチ・アイソレーションが、前記第3の半導体層と、前記シリコン・ゲルマニウム2重層と、前記基板の一部とを貫通して延びる、請求項16に記載の方法。
  18. 前記1つまたは複数のフィンの上部に1つまたは複数の上部ソース・ドレインを形成することと、
    前記1つまたは複数のフィン間に金属ゲートを形成することと、
    1つまたは複数の上部ソース・ドレイン・コンタクトを形成することと、
    1つまたは複数のゲート・コンタクトを形成することと
    をさらに含む、請求項14に記載の方法。
  19. 前記第1の半導体層がゲルマニウムを30%含み、前記第2の半導体層がゲルマニウムを60%含む、請求項14に記載の方法。
  20. 前記第3の半導体層の材質がシリコンである、請求項14に記載の方法。
JP2022562886A 2020-11-10 2021-10-28 スケーリングされた垂直輸送電界効果トランジスタのための埋め込みパワー・レール Pending JP2023546636A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/093,683 2020-11-10
US17/093,683 US11521927B2 (en) 2020-11-10 2020-11-10 Buried power rail for scaled vertical transport field effect transistor
PCT/CN2021/127100 WO2022100448A1 (en) 2020-11-10 2021-10-28 Buried power rail for scaled vertical transport field effect transistor

Publications (1)

Publication Number Publication Date
JP2023546636A true JP2023546636A (ja) 2023-11-07

Family

ID=81454865

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022562886A Pending JP2023546636A (ja) 2020-11-10 2021-10-28 スケーリングされた垂直輸送電界効果トランジスタのための埋め込みパワー・レール

Country Status (7)

Country Link
US (1) US11521927B2 (ja)
JP (1) JP2023546636A (ja)
KR (1) KR20230074756A (ja)
CN (1) CN116529889A (ja)
DE (1) DE112021005954T5 (ja)
GB (1) GB2615949A (ja)
WO (1) WO2022100448A1 (ja)

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6583045B1 (en) 2001-11-16 2003-06-24 Taiwan Semiconductor Manufacturing Company Chip design with power rails under transistors
US6492244B1 (en) 2001-11-21 2002-12-10 International Business Machines Corporation Method and semiconductor structure for implementing buried dual rail power distribution and integrated decoupling capacitance for silicon on insulator (SOI) devices
US7002187B1 (en) 2003-06-09 2006-02-21 Micrel, Inc. Integrated schottky diode using buried power buss structure and method for making same
US8507957B2 (en) 2011-05-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layouts with power rails under bottom metal layer
US9570395B1 (en) 2015-11-17 2017-02-14 Samsung Electronics Co., Ltd. Semiconductor device having buried power rail
US9799655B1 (en) * 2016-04-25 2017-10-24 International Business Machines Corporation Flipped vertical field-effect-transistor
US9721845B1 (en) * 2016-04-26 2017-08-01 International Business Machines Corporation Vertical field effect transistors with bottom contact metal directly beneath fins
EP3324436B1 (en) 2016-11-21 2020-08-05 IMEC vzw An integrated circuit chip with power delivery network on the backside of the chip
CN108231776B (zh) 2016-12-14 2021-08-17 中芯国际集成电路制造(上海)有限公司 一种sram存储单元及其制造方法和电子装置
US10347546B2 (en) 2016-12-23 2019-07-09 Globalfoundries Inc. Integrated circuit structure including power rail and tapping wire with method of forming same
US9960272B1 (en) * 2017-05-16 2018-05-01 International Business Machines Corporation Bottom contact resistance reduction on VFET
US10622458B2 (en) * 2017-05-19 2020-04-14 International Business Machines Corporation Self-aligned contact for vertical field effect transistor
US10586765B2 (en) 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
KR102325870B1 (ko) 2017-08-16 2021-11-11 도쿄엘렉트론가부시키가이샤 단일 확산 단절부를 fet 소자의 나노채널 구조물 내에 통합하기 위한 방법 및 소자
US11094594B2 (en) 2017-09-12 2021-08-17 Mediatek Inc. Semiconductor structure with buried power rail, integrated circuit and method for manufacturing the semiconductor structure
US10121877B1 (en) * 2017-09-13 2018-11-06 International Business Machines Corporation Vertical field effect transistor with metallic bottom region
US10103247B1 (en) * 2017-10-17 2018-10-16 Globalfoundries Inc. Vertical transistor having buried contact, and contacts using work function metals and silicides
US10263122B1 (en) * 2017-11-30 2019-04-16 Globalfoundries Inc. Methods, apparatus, and manufacturing system for self-aligned patterning of contacts in a vertical field effect transistor
US10699942B2 (en) * 2018-04-24 2020-06-30 Globalfoundries Inc. Vertical-transport field-effect transistors having gate contacts located over the active region
US11670588B2 (en) * 2019-01-09 2023-06-06 Intel Corporation Selectable vias for back end of line interconnects
US20200266169A1 (en) 2019-02-19 2020-08-20 Tokyo Electron Limited Replacement buried power rail in backside power delivery

Also Published As

Publication number Publication date
CN116529889A (zh) 2023-08-01
US11521927B2 (en) 2022-12-06
KR20230074756A (ko) 2023-05-31
DE112021005954T5 (de) 2023-09-07
WO2022100448A1 (en) 2022-05-19
GB202307929D0 (en) 2023-07-12
US20220148969A1 (en) 2022-05-12
GB2615949A (en) 2023-08-23

Similar Documents

Publication Publication Date Title
TWI742435B (zh) 半導體裝置及其形成方法
US10516028B2 (en) Transistor with asymmetric spacers
US9741609B1 (en) Middle of line cobalt interconnection
US10361199B2 (en) Vertical transistor transmission gate with adjacent NFET and PFET
US11854900B2 (en) Semiconductor device and method of forming the same
US10679894B2 (en) Airgap spacers formed in conjunction with a late gate cut
US9614042B2 (en) Heterojunction tunnel field effect transistor fabrication using limited lithography steps
WO2022183938A1 (en) Nanosheet metal-oxide semiconductor field effect transistor with asymmetric threshold voltage
US20230253254A1 (en) Semiconductor Device and Method
TW202236675A (zh) 半導體元件
CN113270370A (zh) 双掺杂源极/漏极区域及其形成方法
US20230091229A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors
US11508823B2 (en) Low capacitance low RC wrap-around-contact
US11521927B2 (en) Buried power rail for scaled vertical transport field effect transistor
US11404581B2 (en) Wimpy vertical transport field effect transistor with dipole liners
KR102598768B1 (ko) 자기 정렬된 콘택트를 위한 하이브리드 막 방식
US20220328650A1 (en) Metal gates and methods of forming the same
KR102429741B1 (ko) 요형 프로파일의 컨택 플러그를 가진 핀 전계 효과 트랜지스터 디바이스
US20240178293A1 (en) Semiconductor device
WO2023045550A1 (en) Stacked field effect transistor devices with replacement gate
US20230187508A1 (en) Semiconductor structures with wrap-around contact structure
TW202221772A (zh) 填充結構及其製造方法
TW202301442A (zh) 形成鰭式場效電晶體裝置的方法
CN113113407A (zh) 半导体装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231026

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240307