JP2023542117A - 処理後の基板を洗浄するための方法及び装置 - Google Patents

処理後の基板を洗浄するための方法及び装置 Download PDF

Info

Publication number
JP2023542117A
JP2023542117A JP2023516785A JP2023516785A JP2023542117A JP 2023542117 A JP2023542117 A JP 2023542117A JP 2023516785 A JP2023516785 A JP 2023516785A JP 2023516785 A JP2023516785 A JP 2023516785A JP 2023542117 A JP2023542117 A JP 2023542117A
Authority
JP
Japan
Prior art keywords
chamber
substrate
processing
cleaning
cleaning chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023516785A
Other languages
English (en)
Inventor
マノジ エー. ガジェンドラ,
カイル モラン ハンソン,
マハデフ ジョシ,
アーヴィンド ティヤガラジャン,
ジョン クリスチャン ファー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023542117A publication Critical patent/JP2023542117A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • B08B3/022Cleaning travelling work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

基板の汚染を除去するための方法及び装置が、本明細書において提供される。幾つかの実施形態では、マルチチャンバ処理装置は、基板を処理するための処理チャンバと、その間に配置されたロードロックチャンバを介して処理チャンバに結合されたファクトリインターフェース(FI)と、FIに結合され、基板をリンスし、乾燥させるように構成された洗浄チャンバであって、内部領域を画定するチャンバ本体を含み、内部領域の内外に基板を移送するためにFIとの接合面に第1の開口部を有する、洗浄チャンバとを含む。【選択図】図2

Description

[0001]本開示の実施形態は、概して、基板処理機器に関する。
[0002]半導体デバイス製造の分野では、基板から材料を選択的に追加又は除去するために、基板に堆積又はエッチングプロセスを施す場合がある。エッチングプロセス中の材料の追加又は除去は、基板の表面に不要な汚染又は残留物を生じさせる場合があり、その後の処理で欠陥につながる可能性がある。本発明者らは、従来のプラズマベースの洗浄プロセスは、不要な汚染を除去するのに十分でないことを確認した。
[0003]したがって、本発明者らは、処理後の基板から汚染を除去する改良された方法及び装置を提供した。
[0004]基板の汚染を除去するための方法及び装置が、本明細書において提供される。幾つかの実施形態では、マルチチャンバ処理装置は、基板を処理するための処理チャンバと、その間に配置されたロードロックチャンバを介して処理チャンバに結合されたファクトリインターフェース(FI)と、FIに結合され、基板をリンスし、乾燥させるように構成された洗浄チャンバであって、内部領域を画定するチャンバ本体を含み、内部領域の内外に基板を移送するためにFIとの接合面に第1の開口部を有する、洗浄チャンバとを含む。
[0005]幾つかの実施形態では、基板を処理する方法であって、処理チャンバで基板を処理することと、基板を処理チャンバからファクトリインターフェース(FI)を介してキュー時間内に洗浄チャンバに移送することであって、FIの圧力は、FIから洗浄チャンバへ空気流を供給するために洗浄チャンバの圧力よりも高く維持される、基板を処理チャンバからファクトリインターフェース(FI)を介してキュー時間内に洗浄チャンバに移送することと、基板を洗浄チャンバの基板支持体に固定することであって、洗浄チャンバは、基板を洗浄チャンバの内外に移送するためにFIとの接合面に第1の開口部と、FIから洗浄チャンバへ空気流を供給するためにFIとの接合面に第2の開口部とを有する、基板を洗浄チャンバの基板支持体に固定することとを含む。
[0006]幾つかの実施形態では、基板を処理する方法であって、処理チャンバで基板を処理することと、基板を処理チャンバからファクトリインターフェース(FI)を介してキュー時間内に洗浄チャンバに移送することであって、FIの圧力は、FIから洗浄チャンバへ空気流を供給するために洗浄チャンバの圧力よりも高く維持される、基板を処理チャンバからファクトリインターフェース(FI)を介してキュー時間内に洗浄チャンバに移送することと、基板を洗浄チャンバの基板支持体に固定することであって、洗浄チャンバは、基板を洗浄チャンバの内外に移送するためにFIとの接合面に第1の開口部と、FIから洗浄チャンバへ空気流を供給するためにFIとの接合面に第2の開口部とを有する、基板を洗浄チャンバの基板支持体に固定することと、洗浄チャンバの上部ハウジング及び下部ハウジングの少なくとも一方を、その間に処理領域を画定する閉じた位置に移動させることであって、上部ハウジングは基板支持体を含む、洗浄チャンバの上部ハウジング及び下部ハウジングの少なくとも一方を、その間に処理領域を画定する閉じた位置に移動させることと、基板を第1の毎分回転数(RPM)で第1の期間回転させながら処理領域内に液体を注入することによって、基板をリンスすることと、基板を第2のRPMで第2の期間回転させながら処理領域内に液体を注入することによって、基板を洗浄することと、処理領域で基板を第3のRPMで第3の期間回転させることによって、基板を乾燥させることとを含む。
[0007]本開示の他の及び更なる実施形態を、以下に説明する。
[0008]添付の図面に示す本開示の例示的な実施形態を参照することにより、上記に要約し、以下により詳細に説明する本開示の実施形態を理解することができる。しかし、添付の図面は本開示の典型的な実施形態を単に示すものであり、したがって、範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうる。
本開示の幾つかの実施形態に係る基板を処理するための方法を実行するのに適したマルチチャンバ処理ツール100を示す図である。 本開示の幾つかの実施形態に係る移送位置にある洗浄チャンバを示す図である。 本開示の幾つかの実施形態に係る閉じた位置にある洗浄チャンバを示す図である。 本開示の幾つかの実施形態に係る開いた位置にある洗浄チャンバを示す図である。 本開示の幾つかの実施形態に係る基板を処理する方法を示す図である。
[0014]理解を容易にするために、可能な限り、図面に共通の同一要素を示すのに同一の参照番号を使用している。図面は縮尺どおりに描かれておらず、わかりやすくするために簡略化されている場合がある。ある実施形態の要素及び特徴は、更なる説明なしに、他の実施形態に有益に組み込まれ得る。
[0015]基板を処理した後に基板の汚染を除去するための方法及び装置が、本明細書において提供される。本発明者らは、基板上の汚染、例えば、側壁の汚染は、処理後のキュー時間内に、リンス、洗浄、及びスピン乾燥プロセスを介して除去され得ることを観察した。処理は、堆積プロセス、エッチングプロセス、又は任意の他の適切なプロセスであってよい。幾つかの実施形態では、キュー時間は約30分以下である。幾つかの実施形態では、洗浄チャンバは、単一のチャンバ内でリンス、洗浄、及びスピンドライプロセスを実行するように構成される。幾つかの実施形態では、洗浄チャンバは、キュー時間内に基板を洗浄するために、マルチチャンバ処理ツールに有利に一体的に結合される。
[0016]図1は、本開示の幾つかの実施形態に係る基板を処理するための方法を実行するのに適したマルチチャンバ処理ツール100を示す図である。マルチチャンバ処理ツール100の例としては、カリフォルニア州サンタクララのアプライドマテリアルズ社からすべて市販されているCENTURA(登録商標)ツール及びENDURA(登録商標)ツールが挙げられる。本明細書に記載の方法は、それに結合された適切なプロセスチャンバを有する他のマルチチャンバ処理ツールを使用して、又は他の適切なプロセスチャンバにおいて実施され得る。例えば、幾つかの実施形態では、上述した本発明の方法は、処理ステップ間の真空ブレークが制限された、又はないマルチチャンバ処理ツールにおいて有利に実行され得る。例えば、真空ブレークが減少すると、マルチチャンバ処理ツールにおいて処理されるあらゆる基板の汚染が制限又は防止され得る。他の製造業者から入手可能なものを含む他のプロセスチャンバも、本明細書に提供される教示に関連して好適に使用することができる。
[0017]マルチチャンバ処理ツール100は、真空気密処理プラットフォーム101、ファクトリインターフェース(FI)104、及びシステムコントローラ102を含む。処理プラットフォーム101は、真空下にある移送チャンバ103に動作可能に結合された、114A、114B、114C、及び114D等の複数の処理チャンバを含む。ファクトリインターフェース104は、図1に示す106A及び106B等の1又は複数のロードロックチャンバによって、移送チャンバ103に選択的に動作可能に結合される。
[0018]幾つかの実施形態では、ファクトリインターフェース104は、基板の移送を容易にするために、少なくとも1つのドッキングステーション107と、少なくとも1つのファクトリインターフェースロボット138とを備える。少なくとも1つのドッキングステーション107は、1又は複数の前方開口型統一ポッド(FOUP)を受け入れるように構成される。図1に、105A、105B、105C、及び105Dとして識別される4つのFOUPを示す。少なくとも1つのファクトリインターフェースロボット138は、ファクトリインターフェース104からロードロックチャンバ106A、106Bを通して処理プラットフォーム101に基板を移送するように構成される。ロードロックチャンバ106A、106Bの各々は、ファクトリインターフェース104に結合された第1のポートと、移送チャンバ103に結合された第2のポートとを有する。ロードロックチャンバ106A及び106Bは、ロードロックチャンバ106A及び106Bをポンプダウンして排気し、移送チャンバ103の真空環境とファクトリインターフェース104の実質的に周囲(例えば、大気)環境の間での基板の通過を容易にする圧力制御システム(図示せず)に結合される。
[0019]移送チャンバ103は、その中に配置された真空ロボット142を有する。真空ロボット142は、ロードロックチャンバ106A及び106Bと処理チャンバ114A、114B、114C、及び114Dとの間で基板121を移送することが可能である。幾つかの実施形態では、真空ロボット142は、それぞれの肩軸を中心に回転可能な1又は複数の上部アームを含む。幾つかの実施形態では、1又は複数の上部アームは、真空ロボット142が移送チャンバ103に結合された任意の処理チャンバの中に延び、そこから後退できるように、それぞれの前腕及び手首部材に結合される。
[0020]処理チャンバ114A、114B、114C、及び114Dは、移送チャンバ103に結合される。処理チャンバ114A、114B、114C、及び114Dの各々は、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、物理的気相堆積(PVD)チャンバ、プラズマ原子層堆積(PEALD)チャンバ、及びエッチングチャンバ(すなわち、ドライエッチングチャンバ)、前洗浄/アニールチャンバ等を含み得る。幾つかの実施形態では、処理チャンバ114A、114B、114C、及び114Dのうちの少なくとも1つは、エッチングチャンバである。エッチングチャンバは、プラズマ又はエッチャントガスを用いて基板材料を除去することによりドライエッチングプロセスを実行するように構成された任意の適切な処理チャンバであってよい。例えば、ドライエッチングプロセスは、酸化アルミニウム(Al)エッチング、塩化アルミニウム(AlCl)エッチング等を含み得る。
[0021]洗浄チャンバ144は、ファクトリインターフェース104に結合され、処理チャンバ114A、114B、114C、及び114Dのうちの1又は複数での処理の後に基板121から汚染を洗浄又は除去するように構成される。例えば、真空ロボット142は、基板121を処理チャンバ114A、114B、114C、及び114Dのうちの1つからロードロックチャンバ106A及び106Bのうちの1つに移送し得る。少なくとも1つのファクトリインターフェースロボット138のうちの1つは、基板121をロードロックチャンバ106A及び106Bから洗浄チャンバ114に移送し得る。幾つかの実施形態では、洗浄チャンバ144は、ロードロックチャンバ106A及び106Bとは異なる、及びFOUP(例えば、105A、105B、105C、及び105D)とは異なるファクトリインターフェース104の側面で、ファクトリインターフェース104に結合される。基板121が洗浄チャンバ144に配置されると、洗浄チャンバ144は、基板121から汚染、例えば、ドライエッチングプロセスからの側壁汚染を除去するために、リンス、洗浄、及びスピンドライ機能(以下により詳細に説明する)を実行し得る。
[0022]システムコントローラ102は、洗浄チャンバ144並びにプロセスチャンバ114A、114B、114C、及び114Dの直接制御を用いて、又は代替的に、洗浄チャンバ144並びにプロセスチャンバ114A、114B、114C、及び114Dに関連するコンピュータ(又はコントローラ)を制御することによって、マルチチャンバ処理ツール100の動作を制御する。システムコントローラ102は、概して、中央処理装置(CPU)130、メモリ134、及び支援回路132を含む。CPU130は、産業環境で使用可能な任意の形態の汎用コンピュータプロセッサの1つであってよい。支援回路132は、従来、CPU130に結合され、キャッシュ、クロック回路、入出力サブシステム、電源等を含み得る。上述の処理方法等のソフトウェアルーチンは、メモリ134に記憶されていてよく、CPU130によって実行されると、CPU130をシステムコントローラ102に変換する。ソフトウェアルーチンはまた、マルチチャンバ処理ツール100から遠隔に位置する第2のコントローラ(図示せず)によって記憶及び/又は実行され得る。
[0023]工程では、システムコントローラ102は、マルチチャンバ処理ツール100の性能を最適化するために、それぞれのチャンバ及びシステムからのデータ収集及びフィードバックを可能にし、システム構成要素に命令を提供する。例えば、メモリ134は、CPU130(又はシステムコントローラ102)によって実行されると、本明細書に記載の方法を実行する命令を有する非一過性コンピュータ可読記憶媒体であってよい。
[0024]図2は、本開示の幾つかの実施形態に係る移送位置にある洗浄チャンバ200を示す図である。洗浄チャンバ200は、洗浄チャンバ144であってよい。洗浄チャンバ200は、概して、その中に内部領域202を画定するチャンバ本体210を有する。幾つかの実施形態では、洗浄チャンバ200は、洗浄チャンバ200の上部区画280及び下部区画290を画定するために内部領域に配置された仕切りプレート208を含む。仕切りプレート208は、上部区画280から下部区画290へ空気流270を方向づけするための複数の開口部252を含む。チャンバ本体210は、内部領域202の圧力を制御してガスを排出するために、ポンプ266に結合されたポンプポート264を含む。幾つかの実施形態では、ポンプポート264は、チャンバ本体210の側壁に配置される。幾つかの実施形態では、ポンプポート264は、下部区画290にある。ポンプポート264は、チャンバ本体210を貫通する複数の開口部(図2では1つの開口部のみを示す)を含み得る。
[0025]幾つかの実施形態では、複数の開口部252を除いて、仕切りプレート208を貫通する他の開口部は存在しない。幾つかの実施形態では、複数の開口部252に加えて、仕切りプレート208は、仕切りプレート208とチャンバ本体210の側壁との間に、上部区画280から下部区画290への空気流270を方向づけするための空気流スロット268を画定する。空気流スロット269は、上部区画280と下部区画290との間に追加の空気流を提供して、その間の圧力差の制御を提供し得る。幾つかの実施形態では、仕切りプレート208は、仕切りプレート208に結合されたチューニングプレート262を含み、チューニングプレート262とチャンバ本体210との間に空気流スロット268を画定する。幾つかの実施形態では、チューニングプレート262のサイズを調整して、空気流スロット268の幅を調整することができる。幾つかの実施形態では、空気流スロット268の幅は、約3.0mmから約15.0mmである。幾つかの実施形態では、空気流スロット268は、実質的に上部区画280の全長に延在する長方形のスロットである。
[0026]洗浄チャンバ200は、いずれも内部領域202内に配置された上部ハウジング204及び下部ハウジング206を含む。幾つかの実施形態では、上部ハウジング204及び下部ハウジング206は、上部区画280に配置される。幾つかの実施形態では、下部ハウジング206は、仕切りプレート208に結合される。幾つかの実施形態では、複数の開口部252は、下部ハウジング206に近接して配置される。幾つかの実施形態では、複数の開口部252は、下部ハウジング206を中心として配置された4つの開口部を含む。
[0027]上部ハウジング204は、概して、本体205と、基板121をそれに固定するための基板支持体216とを含む。幾つかの実施形態では、本体205は、ドーム状の形状を有する。基板支持体216は、基板121を基板支持体216に固定、クランプ、又は他の方法で取り外し可能に結合するための複数の受け入れフィンガ220を含む。幾つかの実施形態では、複数の受け入れフィンガ220は、基板121のエッジを受け入れるための溝又はスロットを含む。基板支持体216は、基板121をリンス、洗浄、又はスピンドライするために、上部ハウジング204の中心軸218に対して回転可能である。幾つかの実施形態では、上部モータ246が上部ハウジング204に配置され、支持シャフト236を介して基板支持体216に結合される。幾つかの実施形態では、上部モータ246は、リンス、洗浄、又はスピンドライプロセスの少なくとも1つの間に、支持シャフト236、基板支持体216、及びそれに固定された基板121を、本体205に対して、中心軸218を中心に回転させる。
[0028]幾つかの実施形態では、支持シャフト236は、それを貫通して配置された中央チャネル244を含む。パージガス供給部248は、それを通して基板121の裏面までパージガスを流すために、中央チャネル244に流体的に結合されていてよい。パージガス供給部248は、窒素ガス、ヘリウムガス、アルゴンガス等の不活性ガスで構成されていてよい。
[0029]チャンバ本体210は、基板121の内部領域202の内外への移送を容易にするために、ファクトリインターフェース104との接合面でその側壁に第1の開口部214を含む。例えば、少なくとも1つのファクトリインターフェースロボット138のうちの1つのエンドエフェクタ212が、基板121を内部領域202に移送し、基板121を上部ハウジング204に固定するのを促進し得る。幾つかの実施形態では、図2に示す移送位置において、基板121は、基板支持体216を有する上部ハウジング204に「上向き」の配向で固定される前に、第1の開口部214を通して「上向き」に配向される。幾つかの実施形態では、移送位置において、基板121は、「下向き」の配向で基板支持体216を有する上部ハウジング204に固定される前に、第1の開口部214を通して「下向き」に配向され得る。幾つかの実施形態では、第1の開口部214は、200mm、300mm、450mm等の直径を有する基板121を収容することができる。
[0030]幾つかの実施形態では、チャンバ本体210は、洗浄チャンバ200における空気循環を増加させるために、ファクトリインターフェース104との接合面に第2の開口部260又はスロットを含む。第2の開口部260により、ファクトリインターフェース104に潜在的に入り込む可能性のある、内部領域202の上部に閉じ込められた湿気がなくなるため、有利である。幾つかの実施形態では、第2の開口部260は、第1の開口部214の垂直上方に配置される。幾つかの実施形態では、第2の開口部260は、第1の開口部214と同様の大きさである。幾つかの実施形態では、第1の開口部214及び第2の開口部260は、上部区画280に流体的に結合される。
[0031]下部ハウジング206は、その中に処理領域232を画定する本体222を含む。幾つかの実施形態では、下部ハウジング206は、処理領域232に配置された複数のノズル224を含む。複数のノズル224は、クランプされたときに基板121をリンス又は洗浄するために、液体を上部ハウジング204に向けて方向づけするように構成される(図3に関して以下により詳細に説明する)。
[0032]幾つかの実施形態では、第1のモータ234が、支持アーム240を介して上部ハウジング204に結合される。第1のモータ234は、基板支持体216が下部ハウジング206の反対に向く(すなわち、「上向き」の配向)移送位置から、基板支持体216が下部ハウジング206の方に向く(すなわち、「下向き」の配向)処理位置(図4及び図5参照)まで、支持アーム240の細長軸250を中心に上部ハウジング204を選択的に回転させるように構成される。幾つかの実施形態では、リフト機構242が、下部ハウジング206に対して上部ハウジング204を選択的に上昇又は下降させるために支持アーム240に結合される。リフト機構242は、リニアアクチュエータ等であってよい。幾つかの実施形態では、リフト機構242は、一方の端部において上部ハウジング204に結合され、別の端部において仕切りプレート208に結合される。
[0033]幾つかの実施形態では、揺動アーム272が、基板支持体216が「上向き」に配向されたときに、基板支持体216とそれに固定された基板121の上に延在する。幾つかの実施形態では、揺動アーム272は、図2に示すように、上部ハウジング204に結合される。幾つかの実施形態では、揺動アーム272は、仕切りプレート208に結合される。揺動アーム272は、基板121から汚染物質又は水分を除去するために1又は複数の流体を噴霧するための1又は複数のノズル274を含む。例えば、1又は複数のノズル274は、水、イソプロパノール(IPA)等の液体を噴霧することができる。別の実施例では、1又は複数のノズル274は、圧縮乾燥空気(CDA)、窒素(N)等のガスを吹き付けることができる。幾つかの実施形態では、1又は複数のノズル274は、上述の流体の任意の組み合わせを吹き付けるために、2つの管を備える。揺動アーム272は、基板121を横切って回転又は掃引することができる。
[0034]図3は、本開示の幾つかの実施形態に係る閉じた位置における洗浄チャンバ200を示す図である。閉じた位置では、基板支持体216は下部ハウジング206の方に向き、上部ハウジング204は下部ハウジング206と密閉係合し、その間に処理領域232がある。幾つかの実施形態では、上部ハウジング204は、閉じた処理位置にあるときに上部ハウジング204と下部ハウジング206との間にシールを提供するように構成されたシール部材316を収容するための環状溝304を含む。幾つかの実施形態では、シール部材316は、下部ハウジング206と上部ハウジング204とを密閉係合するように膨張し得る可撓性の管である。
[0035]洗浄チャンバ200は、液体を複数のノズル224に供給するための液体源310、例えば、水源を含む。幾つかの実施形態では、複数のノズル224は、下部ハウジング206の処理領域232内で回転可能であり、基板支持体216に固定された基板121の前面352に向けて液体308を方向づけするように構成された第1のノズル306のアレイを含む。幾つかの実施形態では、液体は、水、脱イオン水等である。幾つかの実施形態では、基板121に方向づけされた液体の温度は、約20から80℃である。
[0036]幾つかの実施形態では、複数のノズル224は、中央シャフト312に結合される。幾つかの実施形態では、中央シャフト312は、液体源310から複数のノズル224への導管を提供するために中空である。幾つかの実施形態では、複数のノズル224は、中央シャフト230から半径方向外向きに広がるノズルの線形アレイである。幾つかの実施形態では、中央シャフト312は、処理領域232内で中央シャフト312の中心軸を中心として複数のノズル224を回転させるために第2のモータ360に結合される。
[0037]幾つかの実施形態では、下部ハウジング206は、下部ハウジング206の底面332から下部ハウジング206の側壁に配置された1又は複数の側面洗浄ノズル318まで延在する1又は複数の側面洗浄チャネル330を含む。1又は複数の側面洗浄ノズル318は、液体を基板121の側壁に向けて半径方向内向きに方向づけするように構成される。1又は複数の側面洗浄チャネル330は、第2の液体源320又は液体源310に流体的に結合され得る。幾つかの実施形態では、第2の液体源320は、液体源310と同じ液体を供給する。
[0038]幾つかの実施形態では、下部ハウジング206は、処理領域232から下部ハウジング206の底面332まで延在するドレイン開口部302を含む。ドレイン開口部302は、処理領域232から液体を排出するためのドレイン350に流体的に結合される。幾つかの実施形態では、第2のポンプ338は、処理領域232の圧力を制御するため、又は処理領域232からガスを排出するために、処理領域232に流体的に結合される。内部領域202の圧力は、ファクトリインターフェース104内の圧力以下に有利に維持され、洗浄チャンバ200からの液体又は湿り空気がファクトリインターフェース104に入るのを最小限に抑える又は防止する。幾つかの実施形態では、下部区画290の圧力は、上部区画280から下部区画290へ空気流を方向づけして、洗浄チャンバ200からの液体又は湿り空気がファクトリインターフェース104に入るのを最小限に抑える又は防止するために、上部区画280の圧力以下に有利に維持される。
[0039]図4は、本開示の幾つかの実施形態に係る開いた位置にある洗浄チャンバ200を示す図である。開いた位置では、基板支持体216は、上部ハウジング204が下部ハウジング206からわずかに間隔を空けてその間に間隙410がある状態で、下部ハウジング206の方に向いている。言い換えれば、開いた位置では、下部ハウジング206は、上部ハウジング204と密閉係合していない。幾つかの実施形態では、リフト機構242は、上部ハウジング204を閉じた位置又は開いた位置に選択的に維持することを容易にする。幾つかの実施形態では、リフト機構242は、下部ハウジング206に結合され、上部ハウジング204及び下部ハウジング206を閉じた位置又は開いた位置に選択的に維持する。幾つかの実施形態では、スピンドライプロセスは、洗浄チャンバ200からの乾燥空気が、リンス及び洗浄プロセス中に生じた処理領域232からの湿り空気と置き換えられるように、開いた位置で有利に行われる。
[0040]図5は、本開示の幾つかの実施形態に係る基板を処理する方法500を示す図である。502において、マルチチャンバ処理ツール(例えば、マルチチャンバ処理ツール100)の処理チャンバ(例えば、処理チャンバ114A、114B、114C、及び114Dの1つ)で、基板(例えば、基板121)が処理される。幾つかの実施形態では、処理チャンバは、エッチングチャンバである。幾つかの実施形態では、処理チャンバは、ドライエッチングプロセスを実行するためのエッチングチャンバである。幾つかの実施形態では、処理チャンバは、物理的気相堆積(PVD)チャンバ、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ等の堆積チャンバである。
[0041]504において、ファクトリインターフェース(FI)(例えば、ファクトリインターフェース104)を介してキュー時間内に、処理チャンバから洗浄チャンバ(例えば、洗浄チャンバ200)へ基板が移送される。FIの圧力は、FIから洗浄チャンバへ空気流を供給するために洗浄チャンバの圧力よりも高く維持される。幾つかの実施形態では、キュー時間は6時間未満である。幾つかの実施形態では、キュー時間は、有利には約2分から約30分である。幾つかの実施形態では、ロードロックチャンバ(例えば、ロードロックチャンバ106A及び106B)は、基板を洗浄チャンバに移送する前に、冷却チャンバとして使用され得る。幾つかの実施形態では、基板は、洗浄チャンバに移送する前に基板を冷却するために、約1分から約5分間、冷却チャンバに保持される。
[0042]506において、洗浄チャンバの基板支持体(例えば、基板支持体216)に基板が固定される。幾つかの実施形態では、洗浄チャンバの上部ハウジング(例えば、上部ハウジング204)は、基板支持体を含む。幾つかの実施形態では、基板は、基板支持体上に「上向き」に固定される。幾つかの実施形態では、基板は、基板支持体に対して「下向き」に固定される。基板が「上向き」に固定される実施形態では、基板を固定した後に、基板が洗浄チャンバの下部ハウジングの方に向くように、上部ハウジングが支持アーム(例えば支持アーム240)の細長軸(例えば細長軸250)を中心として約180度回転される。
[0043]508において、洗浄チャンバの上部ハウジング及び下部ハウジング(例えば、下部ハウジング206)が、その間に処理領域(例えば、処理領域232)を画定する閉じた位置まで互いに向けて移動される。幾つかの実施形態では、閉じた位置において、上部ハウジングは、少なくとも部分的に下部ハウジング内に配置される。
[0044]510において、基板を第1の毎分回転数(RPM)で第1の期間回転させながら処理領域内に液体を注入することによって、基板がリンスされる。幾つかの実施形態では、基板は、複数のノズル224又は複数の側面洗浄ノズル318のうちの少なくとも一方を介してリンスされる。幾つかの実施形態では、第1のRPMは、約20RPMから約800RPMである。
[0045]幾つかの実施形態では、上部ハウジングと下部ハウジングとの間に配置されたシール(すなわち、シール部材316)が、基板をリンスしている間に同時に膨張して、上部ハウジングと下部ハウジングとの間にシールを形成する。幾つかの実施形態では、上部ハウジングと下部ハウジングとの間に配置されたシールは、基板をリンスする前に膨張して、上部ハウジングと下部ハウジングとの間にシールを形成する。
[0046]512において、基板を第2のRPMで第2の期間回転させながら処理領域内に液体を注入することによって、基板が洗浄される。幾つかの実施形態では、第2の期間は、第1の期間よりも長い。幾つかの実施形態では、液体を注入することによって基板を洗浄することは、下部ハウジングから基板の露出した前面及び基板の側壁に向けて液体を方向づけすることを含む。幾つかの実施形態では、基板は、複数のノズル224又は複数の側面洗浄ノズル318のうちの少なくとも一方を介して洗浄される。幾つかの実施形態では、第1の期間及び第2の期間は合わせて、約10秒から約300秒である。幾つかの実施形態では、第2のRPMは、約300RPMから約1000RPMである。複数のノズル224は、基板が洗浄される間、中央シャフト(例えば、中央シャフト312)を中心に回転することができる。注入される液体の流量は、基板がリンスされている間よりも基板が洗浄されている間の方が大きくてよい。
[0047]514において、処理領域で基板を第3のRPMで第3の期間回転させることによって、基板が乾燥される。幾つかの実施形態では、上部ハウジング及び下部ハウジングは、基板を乾燥させる前に、互いから垂直方向に離れた開いた位置に位置決めされる。幾つかの実施形態では、第3のRPMは、約1000RPMから約1800RPMである。幾つかの実施形態では、第2のRPMは第1のRPMより大きく、第3のRPMは第1のRPM及び第2のRPMより大きい。幾つかの実施形態では、第3の期間は、約30秒から約180秒である。
[0048]上記は本開示の実施形態を対象としたものであるが、本開示の他の及び更なる実施形態を、その基本的範囲から逸脱することなく考案することが可能である。

Claims (20)

  1. マルチチャンバ処理装置であって、
    基板を処理するための処理チャンバと、
    間に配置されたロードロックチャンバを介して前記処理チャンバに結合されたファクトリインターフェース(FI)と、
    前記FIに結合され、前記基板をリンスし、乾燥させるように構成された洗浄チャンバであって、内部領域を画定するチャンバ本体を含み、前記内部領域の内外に前記基板を移送するために前記FIとの接合面に第1の開口部を有する、洗浄チャンバと
    を備える、マルチチャンバ処理装置。
  2. 前記洗浄チャンバは、前記洗浄チャンバの空気循環を高めるために前記FIとの接合面に第2の開口部を含む、請求項1に記載のマルチチャンバ処理装置。
  3. 前記洗浄チャンバは、前記洗浄チャンバの上部区画と下部区画とを画定するように前記内部領域に配置された仕切りプレートを含み、前記仕切りプレートは、前記上部区画から前記下部区画へ空気流を方向づけするための複数の開口部を含む、請求項1に記載のマルチチャンバ処理装置。
  4. 前記洗浄チャンバは、前記仕切りプレートに結合されたチューニングプレートを含み、前記チューニングプレートは、前記チューニングプレートとチャンバ本体との間に空気流スロットを画定する、請求項3に記載のマルチチャンバ処理装置。
  5. 処理チャンバは、エッチングチャンバである、請求項4に記載のマルチチャンバ処理装置。
  6. 前記FIに結合され、前記マルチチャンバ処理装置の内外に1又は複数の基板を提供するように構成された第1の前方開口型統一ポッド(FOUP)と、
    前記ロードロックチャンバと選択的に通信する移送チャンバと、
    前記FIに配置され、前記ロードロックチャンバから前記洗浄チャンバに基板を移送するように構成されたファクトリインターフェースロボットと
    を更に備える、請求項1に記載のマルチチャンバ処理装置。
  7. 前記洗浄チャンバは、前記FOUP及び前記ロードロックチャンバとは異なる前記FIの側面に配置される、請求項6に記載のマルチチャンバ処理装置。
  8. 前記洗浄チャンバは、
    前記内部領域に配置され、基板を固定するための基板支持体を有する上部ハウジングであって、前記基板支持体は、前記上部ハウジングの中心軸に対して回転可能である、上部ハウジングと、
    前記内部領域に配置され、クランプされたときに前記基板を洗浄するための液体を前記上部ハウジングに向けて方向づけするための複数のノズルと、ドレインとを有する、下部ハウジングと
    を含む、請求項1から7のいずれか一項に記載のマルチチャンバ処理装置。
  9. 前記洗浄チャンバは、開いた処理位置と閉じた処理位置との間で前記下部ハウジングに対して前記上部ハウジングを選択的に上昇又は下降させるリフト機構を含む、請求項8に記載のマルチチャンバ処理装置。
  10. 前記上部ハウジングに結合された第1のモータを更に備え、前記第1のモータは、前記基板支持体が前記下部ハウジングの反対に向く移送位置から、前記基板支持体が前記下部ハウジングの方に向く処理位置まで前記上部ハウジングを選択的に回転させるように構成される、請求項8に記載のマルチチャンバ処理装置。
  11. 前記複数のノズルは、
    前記下部ハウジング内で回転可能であり、液体を前記基板支持体に向けて方向づけするように構成された第1のノズルのアレイ、又は
    前記下部ハウジングの側壁に配置され、液体を半径方向内向きに方向づけするように構成されたより多くの側面洗浄ノズル
    の少なくとも一方を含む、請求項8に記載のマルチチャンバ処理装置。
  12. 前記上部ハウジングは、閉じた処理位置にあるときに前記上部ハウジングと前記下部ハウジングとの間にシールを提供するように構成されたシール部材を収容するための環状溝を含む、請求項8に記載のマルチチャンバ処理装置。
  13. 基板を処理する方法であって、
    マルチチャンバ処理ツールの処理チャンバで前記基板を処理することと、
    前記基板を前記処理チャンバからファクトリインターフェース(FI)を介してキュー時間内に洗浄チャンバに移送することであって、前記FIの圧力は、前記FIから前記洗浄チャンバへ空気流を供給するために前記洗浄チャンバの圧力よりも高く維持される、前記基板を前記処理チャンバからファクトリインターフェース(FI)を介してキュー時間内に洗浄チャンバに移送することと、
    前記基板を前記洗浄チャンバの基板支持体に固定することであって、前記洗浄チャンバは、前記基板を前記洗浄チャンバの内外に移送するために前記FIとの接合面に第1の開口部と、前記FIから前記洗浄チャンバへ空気流を供給するために前記FIとの接合面に第2の開口部とを有する、前記基板を前記洗浄チャンバの基板支持体に固定することと
    を含む方法。
  14. 前記洗浄チャンバの上部ハウジング及び下部ハウジングの少なくとも一方を、それらの間に処理領域を画定する閉じた位置に移動させることであって、前記上部ハウジングは前記基板支持体を含む、前記洗浄チャンバの上部ハウジング及び下部ハウジングの少なくとも一方を、その間に処理領域を画定する閉じた位置に移動させることと、
    前記基板を第1の毎分回転数(RPM)で第1の期間回転させながら前記処理領域内に液体を注入することによって、前記基板をリンスすることと、
    前記基板を第2のRPMで第2の期間回転させながら前記処理領域内に液体を注入することによって、前記基板を洗浄することと、
    前記処理領域で前記基板を第3のRPMで第3の期間回転させることによって、前記基板を乾燥させることと
    を更に含む、請求項13に記載の方法。
  15. 前記第1の期間及び前記第2の期間は合わせて、約10秒から約300秒である、請求項14に記載の方法。
  16. 基板を処理することは、エッチングプロセスを実行することを含む、請求項14に記載の方法。
  17. 前記基板を固定した後に、前記基板が前記洗浄チャンバの前記下部ハウジングの方に向くように前記上部ハウジングを約180度回転させることを更に含む、請求項14に記載の方法。
  18. 前記上部ハウジングは、前記基板を乾燥させる前に、前記下部ハウジングから垂直方向に離れた開いた位置に位置決めされる、請求項14に記載の方法。
  19. 前記上部ハウジングと前記下部ハウジングとの間に配置されたシール部材は、前記基板をリンスしている間に同時に膨張して、前記上部ハウジングと前記下部ハウジングとの間にシールを形成する、請求項14に記載の方法。
  20. 前記キュー時間は約2分から約30分である、請求項13から19のいずれか一項に記載の方法。
JP2023516785A 2020-09-15 2021-09-07 処理後の基板を洗浄するための方法及び装置 Pending JP2023542117A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/022,072 2020-09-15
US17/022,072 US11551942B2 (en) 2020-09-15 2020-09-15 Methods and apparatus for cleaning a substrate after processing
PCT/US2021/049205 WO2022060590A1 (en) 2020-09-15 2021-09-07 Methods and apparatus for cleaning a substrate after processing

Publications (1)

Publication Number Publication Date
JP2023542117A true JP2023542117A (ja) 2023-10-05

Family

ID=80627068

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023516785A Pending JP2023542117A (ja) 2020-09-15 2021-09-07 処理後の基板を洗浄するための方法及び装置

Country Status (7)

Country Link
US (1) US11551942B2 (ja)
EP (1) EP4214745A1 (ja)
JP (1) JP2023542117A (ja)
KR (1) KR20230066455A (ja)
CN (1) CN116157910A (ja)
TW (1) TW202212015A (ja)
WO (1) WO2022060590A1 (ja)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
JP2002009035A (ja) 2000-06-26 2002-01-11 Toshiba Corp 基板洗浄方法及び基板洗浄装置
US6616512B2 (en) 2000-07-28 2003-09-09 Ebara Corporation Substrate cleaning apparatus and substrate polishing apparatus with substrate cleaning apparatus
US6748961B2 (en) 2001-03-30 2004-06-15 Lam Research Corporation Angular spin, rinse, and dry module and methods for making and implementing the same
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2004020704A1 (en) * 2001-08-31 2004-03-11 Semitool, Inc. Apparatus and method for deposition of an electrophoretic emulsion
US7314808B2 (en) 2004-12-23 2008-01-01 Applied Materials, Inc. Method for sequencing substrates
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
KR101040746B1 (ko) 2008-11-14 2011-06-10 세메스 주식회사 습식 기판 세정 장치 및 그 세정 방법
KR101116646B1 (ko) 2010-01-11 2012-03-07 세메스 주식회사 기판 세정 장치
KR20110106178A (ko) * 2010-03-22 2011-09-28 삼성전자주식회사 기판 처리 장치 및 방법
TWI480937B (zh) * 2011-01-06 2015-04-11 Screen Holdings Co Ltd 基板處理方法及基板處理裝置
JP5776397B2 (ja) * 2011-07-19 2015-09-09 東京エレクトロン株式会社 洗浄方法、処理装置及び記憶媒体
CN102280372B (zh) 2011-09-05 2016-04-06 上海集成电路研发中心有限公司 一种半导体硅片的清洗方法
JP2014194965A (ja) 2013-03-28 2014-10-09 Dainippon Screen Mfg Co Ltd 基板処理装置
KR101910801B1 (ko) 2016-10-26 2019-01-07 세메스 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
KR20230066455A (ko) 2023-05-15
US20220084843A1 (en) 2022-03-17
TW202212015A (zh) 2022-04-01
WO2022060590A1 (en) 2022-03-24
EP4214745A1 (en) 2023-07-26
US11551942B2 (en) 2023-01-10
CN116157910A (zh) 2023-05-23

Similar Documents

Publication Publication Date Title
KR102463977B1 (ko) 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법
US5709757A (en) Film forming and dry cleaning apparatus and method
US6267123B1 (en) Pod and method of cleaning it
US20160240410A1 (en) Substrate lift assemblies
KR101050275B1 (ko) 반도체 프로세싱 도구 내 챔버 간의 상호 오염 감소 방법
JP4397646B2 (ja) 基板処理装置および基板処理方法
JP7254924B2 (ja) ワークピースを処理するためのシステムおよび方法
TW201735135A (zh) 基板處理方法及基板處理系統
KR19990077351A (ko) 진공 겸용 수증기 및 린스 공정모듈
KR100870119B1 (ko) 기판 처리 장치 및 방법
KR101106803B1 (ko) 반도체 웨이퍼 처리용 반도체 제조 시스템, 대기중 로봇핸들링 장비 및 반도체 웨이퍼의 반송 방법
US20220051918A1 (en) Transfer chamber with integrated substrate pre-process chamber
JP2023542117A (ja) 処理後の基板を洗浄するための方法及び装置
JP3200460B2 (ja) 成膜処理装置
JP6376960B2 (ja) 基板処理装置および基板処理方法
US11965241B2 (en) Cluster tools, systems, and methods having one or more pressure stabilization chambers
JP3681998B2 (ja) 処理装置及びドライクリーニング方法
US20240035195A1 (en) Methods, systems, and apparatus for forming layers having single crystalline structures
JP7175151B2 (ja) 搬送方法
KR101550526B1 (ko) 클러스터형 반도체 제조장치 및 이를 이용한 반도체 소자 제조방법
KR20030061515A (ko) 반도체 건식식각장치 및 이를 이용한 건식식각방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230508

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240528