JP2023531213A - 三次元メモリデバイスのコンタクトパッドおよびその製造方法 - Google Patents

三次元メモリデバイスのコンタクトパッドおよびその製造方法 Download PDF

Info

Publication number
JP2023531213A
JP2023531213A JP2022578905A JP2022578905A JP2023531213A JP 2023531213 A JP2023531213 A JP 2023531213A JP 2022578905 A JP2022578905 A JP 2022578905A JP 2022578905 A JP2022578905 A JP 2022578905A JP 2023531213 A JP2023531213 A JP 2023531213A
Authority
JP
Japan
Prior art keywords
layer
stack
dielectric
substrate
memory device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022578905A
Other languages
English (en)
Inventor
ヨンチン・ワン
シピン・フ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2023531213A publication Critical patent/JP2023531213A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

三次元(3D)NANDメモリデバイスおよび方法が提供される。一態様では、製造方法は、基板を準備するステップと、基板上にメモリセルを形成するステップと、メモリセルをカバーするために第1の誘電体層を堆積させるステップと、基板上に少なくとも1つのコンタクトパッドを形成するステップと、少なくとも1つのコンタクトパッドの上に第2の誘電体層を堆積させるステップと、第2の誘電体層上に第1の接続パッドを形成するステップと、第1の接続パッドを周辺構造の第2の接続パッドと結合するステップと、基板の裏面から少なくとも1つのコンタクトパッドを露出させるステップと、を含む。

Description

本出願は、半導体技術の分野に関し、具体的には、三次元(3D:three-dimensional)メモリデバイスおよびその製造方法に関する。
Not-AND(NAND)メモリは、保存されたデータを保持するために電力を必要としない不揮発性タイプのメモリである。家庭用電化製品、クラウドコンピューティング、およびビッグデータの需要の高まりにより、大容量で優れたパフォーマンスのNANDメモリが常に必要とされている。従来の2次元(2D)NANDメモリが物理的限界に近づくにつれて、3次元(3D)NANDメモリが重要な役割を果たしている。3D NANDメモリは、1つのダイに複数のスタック層を使用して、高密度、大容量、高速パフォーマンス、低消費電力、および優れたコスト効率を実現する。
3D NAND構造のコンタクトパッドが製造される場合、金属層が堆積され、工程中にプラズマ処理がよく使用される。プラズマ処理は、相補型金属酸化膜半導体(CMOS: complementary-metal-oxide-semiconductor)回路にプラズマ誘起損傷(PID: plasma-induced damage)を発生させる可能性がある。例えば、意図しない高電界によってストレスが発生し、プラズマ処理中に金属酸化物シリコン(MOS)トランジスタのゲート酸化物が劣化する可能性がある。さらに、金属-絶縁体-金属(MIM)コンデンサの絶縁体も劣化または損傷する可能性がある。開示されたデバイスおよび方法は、上記の1つまたは複数の問題および他の問題を解決することを目的としている。
本開示の一態様では、3Dメモリデバイスの製造方法は、3Dメモリデバイス用の基板を準備するステップと、基板の表面の第1の部分の上に3Dメモリデバイスのメモリセルを形成するステップと、メモリセルと基板とをカバーする第1の誘電体層を堆積させるステップと、基板の表面の第2の部分の上に少なくとも1つのコンタクトパッドを形成するステップと、少なくとも1つのコンタクトパッドと第1の誘電体層との上に第2の誘電体層を堆積させるステップと、第2の誘電体層の上にあり、少なくとも1つのコンタクトパッドおよびメモリセルに接続される第1の接続パッドを形成するステップと、第1の接続パッドを周辺構造の第2の接続パッドと結合するステップと、基板の裏面から少なくとも1つのコンタクトパッドを露出させるステップと、を含む。
本開示の別の態様では、3Dメモリデバイスは、アレイデバイス、周辺デバイス、および開口部を含む。アレイデバイスと周辺デバイスとは向かい合わせで結合される。アレイデバイスは、絶縁層と、1つまたは複数のコンタクトパッドと、絶縁層の第1の部分と周辺デバイスとの間のメモリセルと、を含む。開口部は、絶縁層の第2の部分を通って形成され、開口部の底部に配置された1つまたは複数のコンタクトパッドをアレイデバイスの裏面から露出させる。開口部の底部は、絶縁層と周辺デバイスとの間のレベルに配置される。
本開示の他の態様は、本開示の説明、特許請求の範囲、および図面に照らして、当業者によって理解され得る。
本開示の様々な実施形態による製造工程中の特定の段階における例示的な三次元(3D)アレイデバイスの断面図である。 本開示の様々な実施形態による製造工程中の特定の段階における例示的な三次元(3D)アレイデバイスの断面図である。 本開示の様々な実施形態に従ってチャネルホールが形成された後の、図2に示される3Dアレイデバイスの上面図および断面図である。 本開示の様々な実施形態に従ってチャネルホールが形成された後の、図2に示される3Dアレイデバイスの上面図および断面図である。 本開示の様々な実施形態に従ってゲート線スリットが形成された後の、図3および図4に示される3Dアレイデバイスの上面図および断面図である。 本開示の様々な実施形態に従ってゲート線スリットが形成された後の、図3および図4に示される3Dアレイデバイスの上面図および断面図である。 本開示の様々な実施形態による製造工程のある段階における、図5および図6に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図5および図6に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図5および図6に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図9に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図9に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図9に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図9に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による例示的な周辺デバイスの断面図である。 本開示の様々な実施形態による、図13に示される3Dアレイデバイスが図14に示される周辺デバイスと結合された後の例示的な3Dメモリデバイスの断面図である。 本開示の様々な実施形態による、ある段階における、図15に示される3Dメモリデバイスの断面図である。 本開示の様々な実施形態による、ある段階における、図15に示される3Dメモリデバイスの断面図である。 本開示の様々な実施形態による3Dメモリデバイスの製造の概略フローチャートである。 本開示の様々な実施形態による製造工程中のある段階における例示的な3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程中のある段階における例示的な3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図20に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図20に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による製造工程のある段階における、図20に示される3Dアレイデバイスの断面図である。 本開示の様々な実施形態による例示的な周辺デバイスの断面図である。 本開示の様々な実施形態による、図23に示される3Dアレイデバイスが図24に示される周辺デバイスと結合された後の例示的な3Dメモリデバイスの断面図である。 本開示の様々な実施形態による、ある段階における図25に示される3Dメモリデバイスの断面図である。
以下では、添付の図面を参照して、本開示の実施形態における技術的解決法について説明する。可能な限り、図面全体で同じ参照番号を使用して、同じ部品または同様の部品を参照する。明らかに、記載された実施形態は、本開示の実施形態の一部にすぎず、すべてではない。様々な実施形態の機能を交換および/または組み合わせることができる。当業者が本開示の実施形態に基づいて創造的な努力なしに得た他の実施形態は、本開示の範囲内にあるものとする。
図1~図13は、本開示の実施形態による例示的な3Dアレイデバイス100の製造工程を概略的に示す。3Dアレイデバイス100は、メモリデバイスの一部であり、3Dメモリ構造と呼ばれることもある図中、上面図はX-Y平面内にあり、断面図はY-Z平面内にある。
図1の断面図に示すように、3Dアレイデバイス100は基板110を含み得る。いくつかの実施形態では、基板110は単結晶シリコン層を含むことができる。基板110はまた、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)、シリコンカーバイド(SiC)、シリコンオンインシュレータ(SOI: silicon-on-insulator)、ゲルマニウムオンインシュレータ(GOI: germanium-on-insulator)、多結晶シリコン(ポリシリコン)などの半導体材料、またはヒ化ガリウム(GaAs)やリン化インジウム(InP)などのIII~V族化合物を含んでもよい。基板110は、ガラス、プラスチック材料、またはセラミック材料などの非導電性材料を含んでもよい。基板110がガラス、プラスチック、またはセラミック材料を含む場合、基板110は、ガラス、プラスチック、またはセラミック材料上に堆積されたポリシリコンの薄層をさらに含んでもよい。この場合、基板110は、ポリシリコン基板のように処理することができる。一例として、基板110は、以下の説明において、ドープされていないかまたは軽くドープされた単結晶シリコン層を含む。
いくつかの実施形態では、基板110の上部は、イオン注入および/または拡散によってn型ドーパントによってドープされ、ドープ領域111になり得る。ドープ領域111のドーパントは、例えば、リン(P)、砒素(As)、および/またはアンチモン(Sb)を含み得る。図1に示すように、ドープ領域111上にカバー層120が堆積され得る。カバー層120は犠牲層であり、単層または多層を含み得る。例えば、カバー層120は、シリコン酸化物層およびシリコン窒化物層のうちの1つまたは複数を含み得る。カバー層120は、化学気相堆積(CVD: chemical vapor deposition)、物理気相堆積(PVD: physical vapor deposition)、原子層堆積(ALD: atomic layer deposition)、またはそれらの組み合わせによって堆積されてもよい。いくつかの他の実施形態では、カバー層120は、酸化アルミニウムなどの別の材料を含んでもよい。
さらに、カバー層120の上に、犠牲層130が堆積され得る。犠牲層130は、誘電体材料、半導体材料、または導電性材料を含むことができる。犠牲層130の例示的な材料はポリシリコンである。
ポリシリコン犠牲層130が堆積された後、層スタック140が形成され得る。層スタック140は、例えば、互いの上に交互に積み重ねられた第1の誘電体層141および第2の誘電体層142を含む、スタック層の複数の対を含む。層スタックは、64対、128対、または128対を超える第1および第2の誘電体層141および142を含むことができる。
いくつかの実施形態において、第1の誘電体層141と第2の誘電体層142は異なる材料で作られてもよい。例えば、異なる材料は、シリコン酸化物およびシリコン窒化物を含み得る。以下の説明では、第1の誘電体層141は、分離スタック層として使用され得る酸化シリコン層を例示的に含み得、第2の誘電体層142は、犠牲スタック層として使用され得る窒化シリコン層を例示的に含み得る。犠牲スタック層は、その後エッチングされ、導体層に置き換えられ得る。第1の誘電体層141および第2の誘電体層142は、CVD、PVD、ALD、またはそれらの組み合わせによって堆積されてもよい。
図2は、本開示の実施形態による3Dアレイデバイス100の概略断面図を示す。図2に示すように、層スタック140が形成された後、層スタック140の一部を階段構造にトリミングするために階段形成工程が実行されてもよい。階段形成工程では、乾式エッチングおよび/または湿式エッチング工程を含む任意の適切なエッチング工程を使用することができる。例えば、階段構造の高さは、Y方向に沿って段階的に増加し得る。誘電体層121が堆積されて、階段構造をカバーし得る。図2に示すように、層スタック140、犠牲層130、およびカバー層120は、階段構造の側面、例えば階段構造の左側の領域で除去され得る。この領域は、コンタクトパッドが構成され得るコンタクト領域とみなすことができる。コンタクト領域は、階段形成工程中に誘電体層121によってカバーされる。いくつかの実施形態では、カバー層120は、階段形成工程でエッチング除去されなくてもよく、カバー層120の一部は、コンタクト領域内の誘電体121によって埋め込まれてもよい。
図3および図4は、チャネルホール150が形成され、その後、本開示の実施形態による層構造が充填された後の3Dアレイデバイス100の概略上面図および概略断面図を示す。図4に示される断面図は、図3の線A-A’に沿って取られたものである。図3および図4、ならびに本開示の他の図に示されるチャネルホール150の量、寸法、および配置は、例示的なものであり、説明を目的としているが、本開示の様々な実施形態による開示された3Dアレイデバイス100には、任意の適切な量、寸法、および配置を使用することができる。
図3および図4に示すように、チャネルホール150は、Z方向または基板110にほぼ垂直な方向に延在し、X-Y平面内に所定のパターン(図示せず)のアレイを形成するように配置される。チャネルホール150は、例えば、乾式エッチング工程または乾式エッチング工程と湿式エッチング工程の組み合わせによって形成することができる。リソグラフィ、洗浄、および/または化学機械研磨(CMP: chemical mechanical polishing)を含むパターニング工程など、他の製造工程を実行することもできる。チャネルホール150は、層スタック140、犠牲層130、カバー層120を貫通し、ドープ領域111を部分的に貫通するシリンダ形状またはピラー形状を有していてもよい。チャネルホール150が形成された後、チャネルホールの側壁および底部に機能層151が堆積され得る。機能層151は、チャネルホールの側壁と底部に電荷の流出を遮断するブロッキング層152と、ブロッキング層152の表面に3Dアレイ素子100の動作時に電荷を保存する電荷トラップ層153と、電荷トラップ層153の表面のトンネル絶縁層154とを含み得る。ブロッキング層152は、1つまたは複数の材料を含むことができる1つまたは複数の層を含むことができる。ブロッキング層152の材料は、酸化シリコン、窒化シリコン、酸窒化シリコン、酸化アルミニウムまたは酸化ハフニウムなどの高k誘電体材料、または別のワイドバンドギャップ材料を含むことができる。電荷トラップ層153は、1つまたは複数の材料を含むことができる1つまたは複数の層を含むことができる。電荷トラップ層153の材料は、ポリシリコン、窒化シリコン、酸窒化シリコン、ナノ結晶シリコン、または別のワイドバンドギャップ材料を含むことができる。トンネル絶縁層154は、1つまたは複数の材料を含むことができる1つまたは複数の層を含むことができる。トンネル絶縁層154の材料は、酸化シリコン、窒化シリコン、酸窒化シリコン、酸化アルミニウムまたは酸化ハフニウムなどの高k誘電体材料、または別のワイドバンドギャップ材料を含んでもよい。
いくつかの実施形態では、機能層151は、酸化物-窒化物-酸化物(ONO: oxide-nitride-oxide)構造を含み得る。必要に応じて、機能層151は、ONO構成とは異なる構造を有してもよい。以下の説明でONO構造を例示する場合、機能層151は、シリコン酸化層、シリコン窒化層、および他のシリコン酸化層を含み得る。すなわち、ブロッキング層152は、チャネルホール150の側壁に蒸着されたシリコン酸化層であってもよく、電荷トラップ層153は、ブロッキング層152上に堆積されたシリコン窒化物層であってもよく、トンネル絶縁層154は、電荷トラップ層153上に堆積された別の酸化シリコン層であってもよい。
さらに、トンネル絶縁層154上にチャネル層155が堆積され得る。チャネル層155は「半導体チャネル」とも呼ばれ、いくつかの実施形態ではポリシリコンを含み得る。代替的に、チャネル層155はアモルファスシリコンを含んでもよい。チャネルホールと同様に、チャネル層155も層スタック140を通ってドープ領域111内に延在する。ブロッキング層152、電荷トラップ層153、トンネル絶縁層154、およびチャネル層155は、例えば、CVD、PVD、ALD、またはこれらの工程の2つ以上の組み合わせによって堆積され得る。チャネル層155が形成された後、チャネルホール150は酸化物材料156によって充填されてもよい。チャネルホール150内に形成された機能層151およびチャネル層155は、チャネルホール構造とみなすことができる。
上述の工程では、チャネルホール150は、階段構造が形成された後にエッチングされる。チャネルホール150は、階段形成工程の前に形成されてもよい。例えば、層スタック140が図1に示されるように製造された後、チャネルホール150が形成され、次いで機能層151およびチャネル層155が堆積され得る。チャネルホール150が酸化物材料156で充填された後、階段構造を形成するために階段形成工程が実行されてもよい。
図5および図6は、本開示の実施形態に従ってゲート線スリット160が形成された後の3Dアレイデバイス100の概略上面図および概略断面図を示す。図6に示される断面図は、図5の線B-B’に沿って取られたものである。ゲート線スリットは、ゲート線スリット構造とも呼ばれる。3Dアレイデバイス100は、メモリプレーン(図示せず)に配置された多数のチャネルホール150を有し得る。各メモリプレーンは、ゲート線スリットによってメモリブロック(図示せず)とメモリフィンガとに分割されてもよい。例えば、図5に示されるようなチャネルホール150の構成は、ゲート線スリット160の間のメモリフィンガを反映し得る。
ゲート線スリット160は、乾式エッチング工程または乾式エッチング工程と湿式エッチング工程との組み合わせによって形成することができる。図5および図6に示すように、ゲート線スリット160は、例えばX方向に水平に延在し、層スタック140を通って延在し、Z方向または基板110にほぼ垂直な方向に犠牲層130に達するか、または部分的に貫通し得る。このように、ゲート線スリット160の底部には犠牲層130が露出される。次に、CVD、PVD、ALD、またはこれらの工程の2つ以上の組み合わせによって、スペーサ層(図示せず)をゲート線スリット160の側壁および底部に堆積させてもよい。スペーサ層は、第1および第2の誘電体層141および142を保護するように構成され、例えば、酸化シリコンおよび窒化シリコンを含んでもよい。
スペーサ層が堆積された後、ゲート線スリット160の底部のスペーサ層の一部が乾式エッチングまたは乾式エッチングと湿式エッチングの組み合わせによって除去されるように、選択的エッチングが実行されてもよい。犠牲層130が再び露出される。続いて、犠牲層130を除去するために、選択的エッチング工程、例えば、選択的湿式エッチング工程が実行され得る。犠牲層130を除去すると、キャビティが形成され、チャネルホール150内に形成されたカバー層120およびブロッキング層152の底部が露出する。さらに、複数の選択的エッチング工程、例えば、複数の選択的湿式エッチング工程を実行して、ブロッキング層152、電荷トラップ層153、およびトンネル絶縁層154の露出部分を連続的に除去してもよく、チャネル層155の底面部分を露出させる。
カバー層120がシリコン酸化物および/またはシリコン窒化物である場合、カバー層120は、機能層151の底部がエッチング除去されるときに除去され得る。特定の実施形態では、カバー層120は、シリコン酸化物またはシリコン窒化物以外の材料を含み得、カバー層120は、1つまたは複数の追加の選択的エッチング工程によって除去され得る。カバー層120を除去すると、ドープ領域111の上面が露出する。
エッチング工程後、チャネルホール150の底部に近いチャネル層155のドープ領域111および側部は、犠牲層130およびカバー層120をエッチング除去することによって残されたキャビティ内に露出され得る。キャビティは、例えばCVDおよび/またはPVD堆積工程によって半導体層131を形成するために、例えばポリシリコンなどの半導体材料によって充填され得る。半導体層131は、nドープされ、ドープ領域111の露出面上およびチャネル層155の側壁または側部上に形成され、ドープ領域111およびチャネル層155に電気的に接続されてもよい。
必要に応じて、単結晶シリコンの層がドープ領域111の露出面上に成長し、ポリシリコン層がチャネル層155の露出面上に成長するように、選択的エピタキシャル成長が実行され得る。したがって、半導体層131は、単結晶シリコンおよびポリシリコンの隣接層を含むことができる。
機能層151とカバー層120の底部がエッチングされると、一部のスペーサ層がエッチング除去されてもよく、残りのスペーサ層はゲート線スリット160の側壁に残り、第1および第2の誘電体層141、142を保護し得る。半導体層131が形成された後、残りのスペーサ層は、選択的エッチング工程、例えば、選択的湿式エッチング工程で除去され得、ゲート線スリット160の周りの第2の誘電体層142の側面を露出させる。いくつかの実施形態では、側壁と接触する最も内側のスペーサ層は窒化シリコンである。第2の誘電体層142も窒化シリコン層であるため、最内スペーサ層および第2の誘電体層142は、エッチング工程中に一緒に除去され、図7に示されるように、第1の誘電体層141間にキャビティ143が残る。したがって、層スタック140は層スタック144に変更される。
さらに、タングステン(W)などの導電性材料を成長させて、第2の誘電体層142の除去によって残されたキャビティ143を充填し、第1の誘電体層141の間に導電体層145を形成してもよい。導体層145が製造された後、層スタック144は、図8に示されるように、層タック146に変換される。層スタック146は、互いの上に交互に積み重ねられた第1の誘電体層141および導体層145を含む。チャネルホール150内の機能層151およびチャネル層155は、チャネル構造とみなすことができる。各チャネル構造は、図8に示されるように、層スタック146および導体層145を通ってドープ領域111内に延在する。
いくつかの実施形態では、キャビティ143内に金属Wが堆積される前に、酸化アルミニウムなどの高k誘電体材料の誘電体層(図示せず)が堆積され、続いて窒化チタン(TiN)(図示せず)などの導電性材料の層が堆積されてもよい。さらに、金属Wを堆積させて導体層145を形成してもよい。CVD、PVD、ALD、またはこれらの工程の2つ以上の組み合わせを堆積工程で使用することができる。代替的に、コバルト(Co)、銅(Cu)、アルミニウム(Al)、チタン(Ti)、タンタル(Ta)、窒化タンタル(TaN)、ドープシリコン、またはそれらの任意の組み合わせなどの別の導電性材料を使用して導体層145を形成することができる。
図8を参照すると、チャネルホール150内の各機能層151の部分は、導体層145のうちの1つの部分とチャネルホール150内のチャネル層155の部分との間にある。各導体層145は、X-Y平面内でNANDメモリセルの行を電気的に接続するように構成され、3Dアレイデバイス100のワード線として構成される。チャネルホール150内に形成されたチャネル層155は、Z方向に沿ってNANDメモリセルの列またはストリングを電気的に接続するように構成され、3Dアレイデバイス100のビット線として構成される。したがって、NANDメモリセルの一部として、X-Y平面内のチャネルホール150内の機能層151の一部は、導体層145とチャネル層155との間、すなわち、ワード線とビット線との間に配置される。機能層151は、チャネル層155と層スタック146との間に配置されると考えることもできる。チャネルホール150の一部の周囲にある導体層145の一部は、NANDメモリセルの制御ゲートまたはゲート電極として機能する。3Dアレイデバイス100は、NANDセルのストリングの2Dアレイを含むと考えることができる(そのようなストリングは、「NANDストリング」とも呼ばれる)。各NANDストリングは、複数のNANDメモリセルを含み、基板110に向かって垂直に延在する。NANDストリングは、NANDメモリセルの3Dアレイを形成する。
基板110の場合、底面は裏面とも呼ばれ、上面、すなわちドープ領域111を有する面は、前面または表面と呼ばれる場合がある。図8に示されるように、NANDメモリセルは、基板110の表面の一部の上に形成される。
キャビティ143内に導体層145を成長させた後、CVD、PVD、ALD、またはこれらの組み合わせによって、ゲート線スリット160の側壁および底面に誘電体層(例えば、酸化シリコン層)を堆積させることができる。乾式エッチング工程、または乾式エッチング工程と湿式エッチング工程との組み合わせを実行して、ゲート線スリットの底部の誘電体層を除去し、半導体層131の一部を露出させることができる。ゲート線スリットは、導電材料161(例えば、ドープされたポリシリコン)および導電プラグ162(例えば、金属W)で充填され得る。図9に示すように、ゲート線スリット内の導電性材料161は、層スタック146を通って延在し、半導体層131と電気的に接触し得る。充填されたゲート線スリットは、3Dアレイデバイス100のアレイ共通ソースになり得る。いくつかの実施形態では、ゲート線スリット内にアレイ共通ソースを形成するステップは、絶縁層、導電層(TiN、W、Co、Cu、またはAlなど)、次いでドープされたポリシリコンなどの導電材料を堆積させることを含み得る。必要に応じて、一部のゲート線スリットに誘電体を充填してもよい。これらの場合、いくつかの他のゲート線スリットは、アレイの共通ソースとして機能する導電性材料で充填され得る。
図10~図13は、本開示の実施形態に従ってコンタクトおよびビアが形成された後のある段階における3Dアレイデバイス100の概略断面図を示す。ゲート線スリット160が充填され、アレイ共通ソースが形成された後、ワード線コンタクト171用の開口部は、例えば乾式エッチング工程、または乾式エッチング工程と湿式エッチング工程との組み合わせによって形成され、3Dアレイデバイス100用の相互接続を形成することができる。コンタクト171の開口部は、次に、CVD、PVD、ALD、電気メッキ、無電解メッキ、またはそれらの任意の組み合わせによって導電性材料で充填される。コンタクト171の導電性材料は、W、Co、Cu、Al、またはそれらの組み合わせを含むことができる。必要に応じて、コンタクト171が製造されるとき、別の導電性材料が堆積される前に、導電性材料(例えば、TiN)の層がコンタクト層として堆積されてもよい。
さらに、基板110、コンタクト171、およびNANDメモリセルをカバーする誘電体層を形成するべく、誘電体材料(例えば、シリコン酸化物またはシリコン窒化物)を堆積させるために、CVDまたはPVD工程が実行され得る。新たに堆積された誘電体層が誘電体層121に追加され、したがって、誘電体層121はより厚くなる。ビア172のための開口部は、乾式エッチング工程、または乾式エッチング工程と湿式エッチング工程の組み合わせによって形成され得る。続いて、図10に示すように、開口部をW、Co、Cu、Al、またはそれらの組み合わせなどの導電性材料で充填して、ビア172を形成することができる。CVD、PVD、ALD、電気メッキ、無電解メッキ、またはそれらの組み合わせを実行してもよい。ビア172は、ワード線コンタクト171、対応するNANDストリングの上端、およびアレイ共通ソースのプラグ162に電気的に接続され得る。必要に応じて、開口部を充填してビア172を形成する前に、導電性材料(例えば、TiN)の層を最初に堆積させてもよい。
さらに、相互接続のための金属層173および174は、CVD、PVD、ALD、電気メッキ、無電解メッキ、またはそれらの組み合わせによって成長させることができる。金属層173は、ビア172上に堆積され、ビア172と電気的に接触する。金属層174は、コンタクトパッドのための相互接続であり、階段構造の側のコンタクト領域に位置する。金属層173および174は、W、Co、Cu、Al、またはそれらの組み合わせなどの導電性材料を含んでいてもよい。
ビア172の形成と同様に、金属層173および174の上にビア175および176を形成することができる。例えば、図11に示すように、金属層173および174をカバーし、誘電体層121をより厚くするために、誘電体材料が堆積されてもよく、ビア175および176用の開口部を形成することができ、続いて開口部を導電性材料で充填して、ビア175および176を形成してもよい。
ここで図12を参照すると、CVD、PVD、ALD、電気メッキ、無電解メッキ、またはそれらの組み合わせを実行して、ビア175および176上にそれぞれ金属層177および178を成長させることができる。必要に応じて、複数の金属層178の代わりに単一の金属層178(図示せず)をビア176上に形成してもよい。以下の説明では、複数の金属層178が例示的に使用される。図12に示すように、NANDメモリセルが基板110の表面の一部の上に形成される一方で、金属層178は基板110の表面の別の部分の上に形成される。金属層177、178は、同じ物質で同時に形成され、ドーピング領域111と基板110に対してほぼ同じレベルに形成される。本明細書で使用するレベルは、Z軸に沿ったX-Y平面を示す。金属層178のレベルは、金属層178を通過するX-Y平面を示す。レベルの高さ、つまりレベルのX-Y平面の高さは、Z軸に関して測定される。金属層177および178は、W、Co、Cu、Al、またはそれらの任意の組み合わせなどの導電性材料を含み得る。金属層177は、金属層173およびビア175を介して層スタック146またはNANDメモリセルに電気的に接続される。金属層178はコンタクトパッドとして構成され、ビア176を介して金属層174に接続される。
さらに、CVDまたはPVD工程を再度行って、誘電体材料(例えば、シリコン酸化物またはシリコン窒化物)を堆積させて、金属層177および178をカバーし、誘電体層121をさらに厚くする誘電体層を形成してもよい。ビア172および175の形成と同様に、開口部を形成し、次に導電性材料で充填してビア179を形成することができる。ビア179は、金属層177上に堆積され、電気的に接続される。さらに、ビア179を埋め込み、誘電体層121をさらに厚くするために、誘電体材料が堆積されてもよい。周辺デバイスとの相互接続として機能する接続コンタクト170を形成するために、開口部を形成し、次いで充填してもよい。図13に示されるように、接続パッド170は、ビア179を介して金属層177にそれぞれ電気的に接続される。接続パッド170は、W、Co、Cu、Al、またはこれらの材料の2つ以上の組み合わせを含み得る。必要に応じて、開口部を充填して接続パッド170を形成する前に、導電性材料(例えば、TiN)のコンタクト層を最初に堆積させてもよい。
図14は、本開示の実施形態による周辺デバイス180の概略断面図を示す。周辺デバイス180は、メモリデバイスの一部であり、周辺構造と呼ばれることもある。周辺デバイス180は、単結晶シリコン、Ge、SiGe、SiC、SOI、GOI、ポリシリコン、またはGaAsまたはInPなどのIII~V族化合物を含むことができる基板181を含み得る。周辺CMOS回路(例えば、制御回路)(図示せず)が基板181上に製造され、メモリデバイスの動作を容易にするために使用されてもよい。例えば、周辺CMOS回路は、金属酸化物半導体電界効果トランジスタ(MOSFET: metal-oxide-semiconductor field-effect transistors)を含み、ページバッファ、センスアンプ、列デコーダ、および行デコーダなどの機能デバイスを提供することができる。基板181およびCMOS回路上に誘電体層182が堆積されてもよい。接続パッド183など接続パッドおよびビアが誘電体層182内に形成されてもよい。誘電体層182は、酸化シリコンおよび窒化シリコンなどの1つまたは複数の誘電体材料を含むことができる。接続パッド183は、3Dアレイデバイス100との相互接続として構成され、W、Co、Cu、Al、またはそれらの組み合わせなどの導電性材料を含むことができる。
図15~図17は、本開示の実施形態による例示的な3Dメモリデバイス190の製造工程を概略的に示す。図15~図17の断面図は、Y-Z平面内にある。3Dメモリデバイス190は、図13に示される3Dアレイデバイス100と、図14に示される周辺デバイス180とを含み得る。周辺デバイス180は、アレイデバイス100を制御するように構成されている。
図15に示されるように、3Dアレイデバイス100および周辺デバイス180は、フリップチップ接合法によって接合され、3Dメモリデバイス190を形成する。いくつかの実施形態では、3Dアレイデバイス100は垂直にひっくり返され、上下逆になり、接続パッド170の上面がZ方向に下向きになり得る。2つのデバイスは、3Dアレイデバイス100が周辺デバイス180の上にあるように一緒に配置されてもよい。例えば、接続パッド170がそれぞれ接続パッド183と位置合わせされ得るなどの位置合わせが行われた後、3Dアレイデバイス100および周辺デバイス180は、接合され、一緒に結合され得る。層スタック146および周辺CMOS回路は、基板110と181との間、またはドープ領域111と基板181の間に挟まれるようになる。いくつかの実施形態では、はんだまたは導電性接着剤を使用して、接続パッド170を接続パッド183にそれぞれ結合してもよい。したがって、接続パッド170は、接続パッド183にそれぞれ電気的に接続される。3Dアレイデバイス100と周辺デバイス180は、フリップチップボンディング工程が完了した後、電気通信状態にある。例えば、コンタクトパッド178は、接続パッド170および183を介して周辺デバイス180に電気的に接続され得る。
3Dアレイデバイス100および周辺デバイス180の場合、基板110または181の底面を裏面と呼ぶことができ、接続パッド170または183を有する側を前面または表面と呼ぶことができる。図15に示されるように、フリップチップ結合工程の後、3Dアレイデバイス100および周辺デバイス180は向かい合って結合される。
その後、裏面から(フリップチップボンディング後)、3Dアレイデバイス100の基板110は、ウェーハ研削、乾式エッチング、湿式エッチング、CMP、またはそれらの組み合わせなどの薄化工程によって薄化され得る。いくつかの実施形態では、基板110は、ドープ領域111を露出させる薄化工程によって除去されてもよい。誘電体層112は、堆積工程(例えば、CVDまたはPVD工程)によってドープ領域111の上に成長され得る。開口部113は、乾式エッチング工程または乾式エッチング工程と湿式エッチング工程との組み合わせによって形成することができる。図16に示すように、開口部113は、誘電体層112、ドープ領域111、および誘電体層121を貫通し、金属層178を露出させることができる。周辺デバイス180に垂直に隣接し、階段構造および層スタック146の横にある露出金属層178は、3Dメモリデバイス190のコンタクトパッドとして使用することができる。例えば、3Dメモリデバイス190を他のデバイスと接続することができるボンディングワイヤを金属層178上に結合してもよい。図16に示されるように、階段構造は、例示的に、金属層178と層スタック146との間にあってもよい。前述したように、金属層177、178は、同一レベルの同一導電性物質で同時に形成されてもよい。したがって、金属層177および178は、結合工程の後、ドープ領域111、接続パッド183、または周辺デバイス180に対してほぼ同じレベルにある。垂直方向では、金属層177は層スタック146と接続パッド183、周辺CMOS回路、または周辺デバイス180との間にある。
さらに、誘電体材料を堆積させて、誘電体層112の上および開口部113の側壁および底部に誘電体層114を形成してもよい。誘電体層114は、酸化シリコン、窒化シリコン、酸窒化シリコン、オルトケイ酸テトラエチル(TEOS: tetra ethyl ortho silicate)、またはそれらの組み合わせなどの材料を含むことができるパッシベーション層として機能し得る。誘電体層114は、CVDまたはPVDなどの堆積工程によって形成されてもよい。次に、図17に示すように、乾式エッチング工程または乾式および湿式エッチング工程を実行して、開口部113の底部の誘電体層114の一部を除去することができる。金属層178は再び露出して、3Dメモリデバイス190のコンタクトパッドまたはコンタクトパッドの一部になる。
誘電体層112および114は一緒に上部絶縁層とみなすことができる。図17に示されるように、層スタック146およびNANDメモリセルは、上部絶縁層の一部(例えば、第1の部分)と周辺デバイス180との間に配置されてもよく、金属層178は、上部絶縁層の別の部分(例えば、第2の部分)と周辺デバイス180との間に配置されてもよい。開口部113は、上部絶縁層の第2の部分を貫通して形成され、開口部113の底部に配置された金属層178をアレイデバイス100の裏面から露出させる。金属層178および開口部113の底部は、上部絶縁層と周辺デバイス180との間のレベルに配置することができる。さらに、金属層178は、NANDメモリセルと周辺デバイス180との間のレベルに配置することができる。
その後、他の製造ステップまたは工程が実行されて、3Dメモリデバイス190の製造が完了され得る。簡単にするために、他の製造ステップまたは工程の詳細は省略されている。
3Dメモリデバイス190のコンタクトパッドが、3Dアレイデバイス100と周辺デバイス180とを結合した後に形成される場合、コンタクトパッドの形成中にプラズマ処理が数回使用されるため、周辺デバイス180の周辺CMOS回路にPIDが発生し、歩留まりおよび信頼性の問題を引き起こす可能性がある。図15~図17に示されるように、金属層178は、フリップチップ結合工程の後、3Dメモリデバイス190のコンタクトパッドになる。すなわち、3Dアレイデバイス100と周辺デバイス180とが結合された後ではなく、3Dアレイデバイス100の製造中にいくつかのプラズマ処理ステップが実行される。フリップチップ結合工程の前にコンタクトパッドの形成が実施されるので、周辺デバイス180は、3Dアレイデバイス100と結合された後に受けるプラズマ処理ステップが少なくて済み、したがって、周辺CMOS回路に発生するPIDが少なくなり得る。したがって、金属層178の製造は、PIDの影響を低減し、3Dメモリデバイス190の歩留まりおよび信頼性を改善することができる。
図18は、本開示の実施形態による3Dメモリデバイスを製造するための概略フローチャート200を示す。211で、3Dアレイデバイス用の基板の上面上に犠牲層が堆積され得る。基板は、単結晶シリコン基板などの半導体基板を含み得る。いくつかの実施形態では、犠牲層を堆積する前に基板上にカバー層を成長させてもよい。カバー層は、基板上に順次成長する単層または多層を含み得る。例えば、カバー層には、酸化シリコン、窒化シリコン、および/または酸化アルミニウムが含まれる場合がある。いくつかの他の実施形態では、最初に基板上にカバー層を堆積させることなく、犠牲層を堆積させることができる。犠牲層は、単結晶シリコン、ポリシリコン、酸化シリコン、または窒化シリコンを含むことができる。
犠牲層の上に、3Dアレイデバイスの層スタックを形成することができる。層スタックは、交互に積層された第1のスタック層および第2のスタック層を含み得る。第1のスタック層は第1の誘電体層を含み、第2のスタック層は第1の誘電体層とは異なる第2の誘電体層を含み得る。いくつかの実施形態では、第1および第2の誘電体層のうちの1つが犠牲スタック層として使用される。
212において、層スタックの一部を階段構造に変換するために階段形成工程が実行され得る。階段形成工程には、層スタックの一部を階段構造にトリミングするために使用される複数のエッチングが含まれ得る。階段構造をカバーする誘電体層を堆積する堆積工程が実行され得る。階段構造の側面にある誘電体層の一部は、コンタクトパッドが構成され得るコンタクト領域として使用されてもよい。
213において、層スタックと犠牲層とを通って延在するチャネルホールが形成され、基板の部分を露出させてもよい。各チャネルホールの側壁と底面とに機能層とチャネル層とが堆積され得る。機能層を形成するステップは、チャネルホールの側壁上にブロッキング層を堆積するステップと、ブロッキング層上に電荷トラップ層を堆積するステップと、電荷トラップ層上にトンネル絶縁層を堆積するステップとを含み得る。トンネル絶縁層上に堆積されたチャネル層は、半導体チャネルとして機能し、ポリシリコン層を含んでいてもよい。
214において、3Dアレイデバイスのゲート線スリットが形成されてもよい。垂直方向に沿って、ゲート線スリットは層スタックを貫通してもよい。ゲート線のスリットがエッチングされた後、犠牲層の一部が露出する。
215において、犠牲層がエッチング除去され、キャビティが基板上に作成されてもよい。キャビティは、キャビティ内の機能層のブロッキング層の底部を露出させる。カバー層が基板上に堆積されている場合、カバー層もキャビティ内に露出している。ブロッキング層、電荷トラップ層、およびトンネル絶縁層を含む、キャビティ内で順次露出される機能層の層は、例えば、1つまたは複数の選択的エッチング工程によってそれぞれエッチング除去される。その結果、基板に近い機能層の一部がキャビティ内で除去され得る。堆積した場合、カバー層も工程中にエッチング除去され、機能層の部分をエッチングまたは別の選択的エッチング工程でエッチングし得る。したがって、基板の一部およびチャネル層の一部がキャビティ内に露出する。
その後、キャビティ内にポリシリコン層などの半導体層を成長させる堆積工程が実行され得る。半導体層は、チャネル層と基板に電気的に接触する。
いくつかの実施形態では、層スタックは2つの誘電体スタック層を含み得、スタック層の1つは犠牲的である。犠牲スタック層は、216でエッチング除去されてキャビティを残すことができ、その後、キャビティを導電材料で充填して導体層を形成することができる。導電性材料は、W、Co、Cu、Al、Ti、またはTaなどの金属を含み得る。
217において、ゲート線スリットの側壁および底面に酸化物層などの誘電体層を堆積させてもよい。底面の誘電体層の一部を選択的にエッチングして、半導体層を露出させ得る。TiN、W、Cu、Al、および/またはドープされたポリシリコンなどの導電性材料をゲート線スリットに堆積させて、半導体層と電気的に接触するアレイ共通ソースを形成してもよい。さらに、ワード線コンタクト、相互接続用の第1の金属層、およびビアを形成するために、エッチングおよび堆積工程が実行されてもよい。
218において、W、Co、Cu、Al、またはそれらの組み合わせなどの導電性材料を堆積させて、第2の金属層が形成され得る。一部の第2金属層はコンタクトパッドとして使用でき、一部の他の第2金属層は相互接続に使用され得る。第2の金属層は、誘電体層によってカバーされ得る。さらに、ビアおよび接続パッドを形成するために、エッチングおよび堆積工程が実行されてもよい。接続パッドは、3Dアレイデバイスと周辺デバイスとの間の接続用に構成されている。
219で、フリップチップボンディング工程が実行されて、3Dアレイデバイスと周辺デバイスとを結合するか、または3Dアレイデバイスを周辺デバイスに固定して、3Dメモリデバイスを作成してもよい。いくつかの実施形態では、3Dアレイデバイスを上下逆にして周辺デバイスの上に配置してもよい。3Dアレイデバイスと周辺デバイスの接続パッドが整列してから結合され得る。3Dアレイデバイスの基板が薄くされてもよい。コンタクト領域内のコンタクトパッドとして構成された第2の金属層を露出させるために、エッチング工程が実行されてもよい。コンタクトパッドは、3Dメモリデバイスを別のデバイスに接続するために使用され得る。
図19~図23は、本開示の実施形態による例示的な3Dアレイデバイス300の製造工程を概略的に示す。図19~23において、断面図はY-Z平面にある。
図19に示すように、3Dアレイデバイス300は基板310を含み得る。基板310は、単結晶シリコン層を含んでいてもよく、またはGe、SiGe、SiC、SOI、GOI、ポリシリコン、GaAs、またはInPなどの別の半導体材料を含んでいてもよい。以下の説明では、一例として、基板310は、ドープされていないかまたは軽くドープされた単結晶シリコン層を含む。
いくつかの実施形態では、基板310の上部は、n型ドーパントによってドープされ、ドープ領域311を形成してもよい。図19に示すように、ドープ領域311の上にカバー層320が堆積されてもよい。カバー層320は犠牲層であり、単一層または複数層を含んでもよい。例えば、カバー層320は、シリコン酸化物層およびシリコン窒化物層のうちの1つまたは複数を含むことができる。カバー層320は、CVD、PVD、ALD、またはそれらの組み合わせによって堆積されてもよい。代替的に、カバー層320は、酸化アルミニウムなどの別の材料を含んでもよい。
カバー層320の上に、犠牲層330が堆積されてもよい。犠牲層330は、半導体材料または誘電体材料を含み得る。以下の説明では、一例として、犠牲層330はポリシリコン層である。犠牲層330が作られた後、層スタック340が形成されてもよい。層スタック340には、複数のペアのスタック層341および342が含まれている。つまり、スタック層341と342が交互に積層されている。
いくつかの実施形態では、スタック層341および342は、第1の誘電体層と、第1の誘電体層とは異なる第2の誘電体層とを含み得る。交互スタック層341および342は、CVD、PVD、ALD、またはそれらの任意の組み合わせによって堆積されてもよい。記述では、スタック層341および342の材料(つまり、第1および第2の誘電体層)の材料は、それぞれ酸化シリコンと窒化シリコンである。酸化シリコン層は分離スタック層として使用され、窒化シリコン層は犠牲スタック層として使用されてもよい。
さらに、層スタック340の一部をチャネルホール領域332内の階段構造にトリムするために、階段形成工程が実行されてもよい。階段構造は、誘電体層321を形成する酸化シリコンなどの誘電体材料によって覆われてもよい。階段形成工程の間、コンタクト領域333のスタック層341および342、カバー層320、および犠牲層330は変化しないままであってよい。コンタクト領域333は、コンタクトパッド用に構成されてもよい。図20に示すように、コンタクト領域333のスタック層341および342は、残りの犠牲層330および残りのカバー層320の上に層スタック347を形成してもよい。層スタック347には、スタック層341および342が含まれている。つまり、第1と第2の誘電体層を交互にしている。水平方向では、層スタック347は階段構造の側面、例えば階段構造の左側にあり得、階段構造は層スタック340と347の間にあってもよい。階段構造および層スタック347は、ドープ領域311上に堆積される誘電体層321の一部によって分離されてもよい。
図21、図22および図23は、現在の開示の実施形態に従って、ある段階で3Dアレイデバイス300の概略上の断面図を示している。層スタック340が形成された後、チャネルホール350が形成され得る。図21~図23に示されるチャネルホール350の量、寸法、および配置は、構造および製造方法の説明のための例示である。
チャネルホール350は、層スタック340、犠牲層330、およびカバー層320を介して延在するシリンダの形状または柱の形状を持ち、ドープ領域311に部分的に浸透する場合がある。チャネルホール350が形成された後、チャネルホールの側壁および底部に機能層351が堆積されてもよい。機能層351には、チャネルホールの側壁と底部のブロッキング層、ブロッキング層の表面に電荷トラップ層、および電荷トラップ層の表面にトンネル絶縁層が含まれていてもよい。
いくつかの実施形態では、機能層351は、以下の説明で使用されるONO構造を含み得る。例えば、チャネルホール350の側壁にブロッキング層として酸化シリコン層を堆積させてもよい。ブロッキング層上に電荷トラップ層として窒化シリコン層を堆積させてもよい。電荷トラップ層上にトンネル絶縁層として別の酸化シリコン層を堆積させてもよい。トンネル絶縁層上に、チャネル層355としてポリシリコン層が堆積されてもよい。チャネルホールと同様に、チャネル層355も層スタック340を通ってドープ領域311内に延在してもよい。チャネル層355が形成された後、チャネルホール350は酸化物材料によって充填され得る。チャネルホール350は、導電性材料(例えば、金属W)を含み、チャネル層355と電気的に接触し得るプラグによって封止され得る。
さらに、ゲート線スリット360は、乾式エッチング工程または乾式および湿式エッチング工程の組み合わせによって形成されてもよい。ゲート線スリット360は、層スタック340を通って延在し、犠牲層330に到達するか、またはZ方向に部分的に貫通してもよい。このように、ゲート線スリット360の底部では、犠牲層330の一部が露出される。スペーサ層(図示せず)がゲート線スリット360の側壁および底部に堆積されてもよく、犠牲層330を再び露出させるためにスリット360の底部にあるスペーサ層の一部がエッチングによって除去されてもよい。犠牲層330がエッチングされてもよい。犠牲層330を除去すると、キャビティが形成され、カバー層320と、チャネルホール350内に形成されたブロッキング層の底部が露出する。ブロッキング層、電荷トラップ層、トンネル絶縁層の一部がエッチング除去され得、チャネル層355の底部が露出している。カバー層320は、機能層351の底部がエッチング除去されるか、追加の選択的エッチング工程で削除され、ドープ領域311の上面を露出すると削除されてもよい。
キャビティは、半導体層331を形成するために、例えばポリシリコンなどの半導体材料によって充填されてもよい。半導体層331は、ドープ領域311およびチャネル層355の露出部分の表面上に堆積されてもよい。さらに、犠牲スタック層342は、エッチングによって除去され、Wなどの導電性材料を含む導体層345によって置き換えられてもよい。図21に示すように、導体層345が形成された後、層スタック340は層スタック346になる。
各導体層345は、Y方向またはX-Y平面に沿ってNANDメモリセルの1つまたは複数の行を電気的に接続するように構成され、3Dアレイデバイス300のワード線として構成されている。チャネルホール350内に形成されたチャネル層355は、Z方向に沿ってNANDストリングを電気的に接続するように構成され、3Dアレイデバイス300のビット線として構成される。
図22に示されるように、ゲート線スリット360は、導電性材料361(例えば、ドープされたポリシリコン)および導電性プラグ362(例えば、導電性材料W)で充填され得る。いくつかの実施形態では、充填されたゲート線スリットが3Dアレイデバイス300のアレイ共通のソースになってもよい。
その後、ワード線コンタクト371の開口部が形成されてもよい。開口部には、コンタクト371を形成するための導電性材料(W、Co、Cu、Al、またはその組み合わせ)が充填されている。さらに、CVDまたはPVD工程を実行して、3Dアレイデバイス300上に誘電体材料(例えば、酸化シリコンまたは窒化シリコン)を堆積させてもよい。誘電体層321が厚くなる。さらに、ビア372用の開口部を形成し、続いてW、Co、Cu、またはAlなどの導電性材料で充填してもよい。一部のビア372は、ワード線コンタクト371に電気的に接続される。一部のビア372は、プラグ362および対応するNANDストリングの上端に電気的に接続される。
さらに、相互接続のための金属層373および374が堆積されてもよい。金属層373は、ビア372とそれぞれ電気的に接触する。金属層374はコンタクト領域333にあり、コンタクトパッドと相互接続するように構成される。金属層373および374は、W、Co、Cu、Al、またはそれらの組み合わせなどの導電性材料を含んでいてもよい。
さらに、金属層373および374は、誘電体層321をより厚くする誘電体材料によってカバーされてもよい。ビア372の形成と同様に、ビア375および376は、図22に示されるように、それぞれ金属層373および374の上に形成され、金属層373および374と接触し得る。
さらに、CVD、PVD、ALD、電気メッキ、無電解メッキ、またはそれらの組み合わせを実行して、ビア375および376の上にそれぞれ金属層377および378を成長させてもよい。金属層377および378は、同じ材料で同時に形成することができ、層スタック346および347、ドープ領域311、または基板310に対してほぼ同じレベルに配置することができる。金属層377および378は、W、Co、Cu、Al、またはそれらの任意の組み合わせなどの導電性材料を含んでいてもよい。金属層377は、層スタック346または金属層373ならびにビア372および375を介してNANDメモリセルに電気的に接続され得る。金属層378はコンタクトパッドとして構成され、ビア376を介して金属層374に接続され得る。金属層378は、誘電体層321の一部と層スタック347とを含む誘電体領域上に配置することができる。
さらに、金属層377および378をカバーし、誘電体層321を厚くするべく、誘電体材料を堆積させるために、CVDまたはPVD工程が再度実行されてもよい。ビア372および375の形成と同様に、開口部を形成し、次に導電性材料で充填して、金属層377の上に接触するビア379を形成することができる。さらに、ビア379をカバーし、誘電体層321をさらに厚くするために、誘電体材料が堆積されてもよい。周辺デバイスとの接続に役立つ接続パッド370を形成するために、開口部が作られ、充填される。接続パッド370は、図23に示されるように、ビア379とそれぞれ電気的に接触する。接続パッド370は、W、Co、Cu、Al、またはそれらの組み合わせを含んでいてもよい。
図24は、本開示の実施形態による周辺デバイス380を断面図で概略的に示す。周辺デバイス380は、半導体基板381(例えば、単結晶シリコンの基板)を含み得る。周辺CMOS回路(例えば、制御回路)(図示せず)は、基板381上に製造され、3Dアレイデバイス300の動作を容易にするために使用され得る。1つまたは複数の誘電体材料を含む誘電体層382が基板381上に堆積される。接続パッド383などの接続パッドおよびビアが、誘電体層382に形成されてもよい。接続パッド383は、3Dアレイデバイス300と接続するように構成され、導電性材料(例えば、W、Co、Cu、Al、またはそれらの任意の組み合わせ)を含み得る。
図25および図26は、本開示の実施形態による例示的な3Dメモリデバイス390の概略製造工程を示す。図25~図26の断面図はY-Z面内にある。3Dメモリデバイス390は、3Dアレイデバイス300と周辺デバイス380とをフリップチップ接合工程で接合することによって形成される。いくつかの実施形態では、3Dアレイデバイス300は、垂直にひっくり返され、接続パッド370の上面がZ方向に下向きになるように逆さまになり得る。3Dアレイデバイス300は、周辺デバイス380の上に配置され、整列されてもよい。例えば、接続パッド370は、接続パッド383とそれぞれ整列することができる。次に、図25に示されるように、3Dアレイデバイス300および周辺デバイス380を接合し、面と面とを合わせて結合することができる。接続パッド370は、接続パッド383にそれぞれ電気的に接続される。したがって、金属層378は、接続パッド370および383を介して周辺デバイス380に電気的に接続することができる。
その後、3Dアレイデバイス300の基板310を薄くすることができ、堆積工程によって誘電体層312をドープ領域311上に成長させることができる。開口部313は、乾式エッチング工程、または乾式エッチングと湿式エッチング工程の組み合わせによって形成することができる。開口部313は、誘電体層312、ドープ領域311、残りのカバー層320、残りの犠牲層330、層スタック347、および誘電体層321を貫通して、金属層378を露出させる。開口部313が形成された後、層スタック347の一部がエッチングによって除去される。層スタック347の残りの部分は、交互の第1および第2の誘電体層(すなわち、スタック層341および342)を含む層スタックとみなすことができる。いくつかの実施形態では、開口部313は、X-Y平面内で残りの層スタック347によって完全に取り囲まれてよい。必要に応じて、開口部313は、X-Y平面内で残りの層スタック347によって部分的に囲まれていてもよい。例えば、後者のシナリオでは、開口部313の一部が層スタック347を通過し、開口部313の別の部分がX-Y平面内の誘電体領域321を通過することがある。垂直方向(例えば、Z方向)では、金属層378は、残りの層スタック347の下にあるか、または残りの層スタック347と周辺デバイス380との間にある。さらに、誘電体層312および開口部313の側壁上にパッシベーション層として誘電体層314を形成することができる。
階段構造および層スタック346の横にある露出金属層378は、3Dメモリデバイス390のコンタクトパッドとして使用することができる。例えば、別のデバイスとの接続のために、金属層378上にボンディングワイヤを結合することができる。上述のように、金属層377および金属層378(すなわち、コンタクトパッド)は、同じ材料で同時に形成される。フリップチップ結合工程の後、金属層377は、層スタック346と周辺デバイス380との間、または層スタック346と接続パッド383との間にある。金属層377および378は、層スタック346および347、ドープ領域311、接続パッド383、または周辺デバイス380に関してほぼ同じレベルに配置される。
その後、他の製造ステップまたは工程が実行されて、3Dメモリデバイス390の製造が完了し得る。他の製造手順または工程の詳細は、簡単にするために省略されている。
コンタクトパッド(すなわち、金属層378)はフリップチップ結合工程の前に形成されるので、周辺デバイス380は、3Dアレイデバイス300と結合された後、より少ないプラズマ処理ステップを経験することができる。したがって、周辺のCMOS回路に発生するPIDが少なくなり得る。PIDの影響を低減することができ、3Dメモリデバイス390の歩留まりおよび信頼性を向上させることができる。
本開示の原理および実装は、本明細書において特定の実施形態を使用することによって説明されているが、実施形態の前述の説明は、本開示の理解を助けることのみを意図している。さらに、前述の異なる実施形態の特徴を組み合わせて、追加の実施形態を形成することができる。当業者は、本開示の思想に従って、特定の実装および適用範囲に変更を加えることができる。したがって、明細書の内容は、本開示に対する限定として解釈されるべきではない。
100、300 三次元(3D)アレイデバイス
110、181、310 基板
111、311 ドープ領域
112、114、121、182、312、321、382 誘電体層
170、183、188、193、194、195 接続パッド
120、320 カバー層
113、171、313 開口部
130、330 犠牲層
131、331 半導体層
140、144、146、340、346、347 層スタック
141 第1の誘電体層
142 第2の誘電体層
143 キャビティ
145 導体層
150、350 チャネルホール
151、351 機能層
152 ブロッキング層
153 電荷トラップ層
154 トンネル絶縁層
155、355 チャネル層
156 酸化物材料
160、360 ゲート線スリット
161、361 導電性材料
162、362 導電プラグ
171、371 ワード線コンタクト
172、175、176、179、372、375、376、379 ビア
173、174、177、178、373、374、377、378 金属層
180、380 周辺デバイス
190、390 3Dメモリデバイス
333 コンタクト領域
341、342 交互スタック層

Claims (24)

  1. 三次元(3D)メモリデバイスを製造するための方法であって、
    前記3Dメモリデバイス用の基板を準備するステップと、
    前記基板の表面の第1の部分の上に前記3Dメモリデバイスの複数のメモリセルを形成するステップと、
    前記複数のメモリセルと前記基板とをカバーする第1の誘電体層を堆積させるステップと、
    前記基板の前記表面の第2の部分の上に少なくとも1つのコンタクトパッドコンタクトパッドを形成するステップと、
    前記少なくとも1つのコンタクトパッドと前記第1の誘電体層との上に第2の誘電体層を堆積させるステップと、
    前記第2の誘電体層の上にあり、前記少なくとも1つのコンタクトパッドおよび前記複数のメモリセルに接続される複数の第1の接続パッドを形成するステップと、
    前記複数の第1の接続パッドを周辺構造の複数の第2の接続パッドと結合するステップと、
    前記基板の裏面から前記少なくとも1つのコンタクトパッドを露出させるステップと、
    を含む、方法。
  2. 前記3Dメモリデバイスの前記複数のメモリセルを形成するステップが、
    互いの上に交互に積み重ねられた複数の第1の誘電体スタック層および複数の導電性スタック層を含む第1の層スタックを形成するステップと、
    前記第1の層スタックを介して前記複数のメモリセルを形成するステップと、
    を含む、請求項1に記載の方法。
  3. 前記第1の層スタックを介して前記複数のメモリセルを形成するステップが、
    前記第1の層スタックを通って延在する複数のチャネル構造を形成するステップであって、前記チャネル構造のそれぞれが、機能層およびチャネル層を含み、前記機能層が前記チャネル層と前記第1の層スタックとの間にある、ステップをさらに含む、請求項2に記載の方法。
  4. 前記第1の層スタックを介して前記複数のメモリセルを形成するステップが、
    前記第1の層スタックと前記基板との間に配置された半導体層を形成するステップであって、前記複数のチャネル構造がそれぞれ前記半導体層内に延在する、ステップをさらに含む、請求項3に記載の方法。
  5. 前記半導体層が、1つまたは複数のドープ層を含む、請求項4に記載の方法。
  6. 前記第1の誘電体層の上に相互接続のための複数の導電層を形成するステップをさらに含む、請求項1に記載の方法。
  7. 前記少なくとも1つのコンタクトパッドおよび前記複数の導電層が、同じ材料を含み、前記基板に対して同じレベルに配置される、請求項6に記載の方法。
  8. 前記3Dメモリデバイスの複数のメモリセルを形成するステップが、
    階段構造を形成するために、前記第1の層スタックの一部をトリミングするステップをさらに含む、請求項2に記載の方法。
  9. 前記少なくとも1つのコンタクトパッドを露出させるステップが、
    前記少なくとも1つのコンタクトパッドを露出させるために、前記基板および前記第1の誘電体層を貫通する開口部を形成するステップをさらに含む、請求項1に記載の方法。
  10. 前記少なくとも1つのコンタクトパッドを露出させるステップが、
    前記開口部を形成して前記少なくとも1つのコンタクトパッドを露出させる前に、前記基板を薄くするか、または除去するステップをさらに含む、請求項9に記載の方法。
  11. 第2の層スタックを形成するステップであって、前記第2の層スタックが、前記基板の前記表面の前記第2の部分と前記少なくとも1つのコンタクトパッドとの間に配置され、互いの上に交互に積み重ねられた複数の第2の誘電体スタック層および複数の第3の誘電体スタック層を含む、ステップをさらに含む、請求項2に記載の方法。
  12. 向かい合って結合されたアレイデバイスおよび周辺デバイスであって、
    前記アレイデバイスが、絶縁層と、1つまたは複数のコンタクトパッドと、前記絶縁層の第1の部分と前記周辺デバイスとの間の複数のメモリセルとを含む、アレイデバイスおよび周辺デバイスと、
    前記絶縁層の第2の部分を通って形成された開口部であって、前記開口部の底部に配置された前記1つまたは複数のコンタクトパッドを前記アレイデバイスの裏面から露出させ、前記開口部の前記底部が、前記絶縁層と前記周辺デバイスとの間のレベルに配置されている、開口部と、
    を備える、三次元(3D)メモリデバイス。
  13. 互いの上に交互に積み重ねられた複数の第1の誘電体スタック層および複数の導電性スタック層を含む第1の層スタックであって、前記複数のメモリセルが複数のチャネル構造と前記複数の導電性スタック層とを含み、各々の前記チャネル構造が複数の導電性スタック層を通って延在する、第1の層スタックをさらに備える、請求項12に記載の3Dメモリデバイス。
  14. 前記絶縁層と前記複数のメモリセルとの間にあり、前記複数のメモリセルに接続された複数の導電層をさらに備える、請求項12に記載の3Dメモリデバイス。
  15. 前記1つまたは複数のコンタクトパッドおよび前記複数の導電層が、同じ材料を含み、前記周辺デバイスに対して同じレベルに配置される、請求項14に記載の3Dメモリデバイス。
  16. 前記絶縁層の前記第2の部分と前記1つまたは複数のコンタクトパッドとの間に配置された第2の層スタックをさらに備える、請求項13に記載の3Dメモリデバイス。
  17. 前記第2の層スタックが、互いの上に交互に積層された複数の第2の誘電体スタック層および複数の第3の誘電体スタック層を含む、請求項16に記載の3Dメモリデバイス。
  18. 各々の前記チャネル構造が機能層とチャネル層を含み、前記機能層が前記チャネル層と前記第1層スタックとの間にある、請求項13に記載の3Dメモリデバイス。
  19. 前記絶縁層と前記第1層スタックとの間に配置された半導体層であって、前記複数のチャネル構造がそれぞれ前記半導体層内に延在する、半導体層をさらに備える、請求項13に記載の3Dメモリデバイス。
  20. 前記半導体層が、1つまたは複数のドープ層を含む、請求項19に記載の3Dメモリデバイス。
  21. 前記1つまたは複数のコンタクトパッドが、前記絶縁層と前記周辺デバイスとの間のレベルに配置される、請求項12に記載の3Dメモリデバイス。
  22. 前記1つまたは複数のコンタクトパッドが、前記複数のメモリセルと前記周辺デバイスとの間のレベルに配置される、請求項21に記載の3Dメモリデバイス。
  23. 前記複数の導電層と前記複数のメモリセルとの間に配置された第1の誘電体層をさらに備える、請求項14に記載の3Dメモリデバイス。
  24. 前記1つまたは複数のコンタクトパッドと前記周辺デバイスとの間に配置された第2の誘電体層をさらに備える、請求項14に記載の3Dメモリデバイス。
JP2022578905A 2020-12-09 2020-12-09 三次元メモリデバイスのコンタクトパッドおよびその製造方法 Pending JP2023531213A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2020/134864 WO2022120631A1 (en) 2020-12-09 2020-12-09 Contact pads of three-dimensional memory device and fabrication method thereof

Publications (1)

Publication Number Publication Date
JP2023531213A true JP2023531213A (ja) 2023-07-21

Family

ID=75457671

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022578905A Pending JP2023531213A (ja) 2020-12-09 2020-12-09 三次元メモリデバイスのコンタクトパッドおよびその製造方法

Country Status (7)

Country Link
US (1) US20220181351A1 (ja)
EP (1) EP4150672A4 (ja)
JP (1) JP2023531213A (ja)
KR (1) KR20230014727A (ja)
CN (1) CN112689897B (ja)
TW (1) TWI753772B (ja)
WO (1) WO2022120631A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023124107A (ja) * 2022-02-25 2023-09-06 キオクシア株式会社 半導体記憶装置及びその製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6203152B2 (ja) * 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
CN106920797B (zh) * 2017-03-08 2018-10-12 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
US10290645B2 (en) * 2017-06-30 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion barrier layer for CMOS under array architecture and method of making thereof
CN109314116B (zh) * 2018-07-20 2019-10-01 长江存储科技有限责任公司 用于形成三维存储器件的方法
CN109155320B (zh) * 2018-08-16 2019-09-10 长江存储科技有限责任公司 三维存储器件的嵌入式焊盘结构及其制造方法
JP7297923B2 (ja) * 2020-05-27 2023-06-26 長江存儲科技有限責任公司 3次元メモリデバイス及び方法
WO2022006715A1 (en) * 2020-07-06 2022-01-13 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device and fabrication method thereof

Also Published As

Publication number Publication date
TWI753772B (zh) 2022-01-21
EP4150672A4 (en) 2024-02-14
CN112689897A (zh) 2021-04-20
CN112689897B (zh) 2024-04-05
WO2022120631A1 (en) 2022-06-16
TW202224104A (zh) 2022-06-16
EP4150672A1 (en) 2023-03-22
KR20230014727A (ko) 2023-01-30
US20220181351A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
CN111566815B (zh) 具有背面源极触点的三维存储器件
CN111566816B (zh) 用于形成具有背面源极触点的三维存储器件的方法
CN112041986A (zh) 用于形成具有用于阶梯区域的支持结构的三维存储器件的方法
CN112740403B (zh) 三维存储器器件的接触焊盘及其制造方法
CN111971795A (zh) 三维存储器器件及其制造方法
CN112272868B (zh) 具有用于阶梯区域的支持结构的三维存储器件
CN112424933A (zh) 用于形成三维存储器件的方法
TWI753772B (zh) 三維記憶裝置以及用於製造三維記憶裝置的方法
TWI826772B (zh) 三維記憶體元件的接觸焊墊及其製造方法
US20230133691A1 (en) Three dimensional (3d) memory device and fabrication method using self-aligned multiple patterning and airgaps
CN112585754A (zh) 用于形成三维存储器件的方法
TWI773086B (zh) 用於形成立體(3d)記憶體元件的方法
US20230402425A1 (en) Three-dimensional memory device and fabrication method
US20230139782A1 (en) Three-dimensional memory device and fabrication method for enhanced reliability
TW202203380A (zh) 立體記憶體元件
TW202145530A (zh) 三維記憶體元件及其製作方法
CN114175253A (zh) 三维存储装置及其制造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240424