JP2023510550A - ウエハエッジガスを排気するための流路を有する排除リング - Google Patents

ウエハエッジガスを排気するための流路を有する排除リング Download PDF

Info

Publication number
JP2023510550A
JP2023510550A JP2022542659A JP2022542659A JP2023510550A JP 2023510550 A JP2023510550 A JP 2023510550A JP 2022542659 A JP2022542659 A JP 2022542659A JP 2022542659 A JP2022542659 A JP 2022542659A JP 2023510550 A JP2023510550 A JP 2023510550A
Authority
JP
Japan
Prior art keywords
ring
wafer
exclusion
ears
circumferential segment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022542659A
Other languages
English (en)
Inventor
グラバル・ヴィナヤカラディー
レンズ・エリック・エイチ.
ヴェランキ・ラヴィ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023510550A publication Critical patent/JP2023510550A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

Figure 2023510550000001
【解決手段】半導体ウエハ処理用の排除リングは、第1の厚さを有する外側円周セグメントと、第2の厚さを有する内側円周セグメントとを含み、第1の厚さは、第2の厚さよりも大きい。内側円周セグメントの上面および外側円周セグメントの上面は、排除リングに対する共通の上面を画定する。複数の流路が外側円周セグメント内に形成され、流路の各々はその底面で外側円周セグメントを通って半径方向に延びる。複数の流路の各々は、ウエハが内側円周部の一部の下に配置されているそのエッジを有するポケットからのウエハエッジガスの排気を可能にする。ポケットからウエハエッジガスを排気することで、曲がったウエハが処理される際の排除リングの上下運動を防止する。
【選択図】 図5B

Description

関連出願
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
半導体製作において、誘電体(絶縁)材料および金属(導電)材料の層が、堆積プロセスを使用して形成される。例えば、化学気相堆積(CVD)および原子層堆積(ALD)を使用して、金属、例えば、タングステンを堆積し、チップ上にコンタクト、ビア、およびプラグなどの導電性フィーチャを形成する。
いくつかの半導体製作プロセスでは、半導体ウエハの外部エッジに重なる排除リング(exclusion ring)を使用して、そのような処理中に発生する可能性のあるエッジの不均一性を低減または最小化することができる。
本明細書で説明される主題の1つまたは複数の実施態様の詳細が、添付の図面および以下の説明に記載されている。他の特徴、態様、および利点は、説明、図面、および特許請求の範囲から明らかになるであろう。
いくつかの実施態様では、半導体ウエハの処理に使用するための排除リングが提供され、排除リングは、上面および底面を有する外側円周セグメントを含み、外側円周セグメントの上面と外側円周セグメントの底面との間の距離は、排除リングの第1の厚さを画定する外側円周セグメントを含む。排除リングはまた、上面および底面を有する内側円周セグメント、ならびに外側円周セグメントの底面と内側円周セグメントの底面との間にまたがる1つまたは複数の移行面を含むことができる。内側円周セグメントの上面と内側円周セグメントの底面との間の距離は、排除リングの第2の厚さを画定することができ、排除リングの第1の厚さは、排除リングの第2の厚さよりも大きくすることができ、複数の流路が、外側円周セグメント内に形成され得る。複数の流路の各流路は、1つまたは複数の移行面から、排除リングの外側円周セグメントを通って、排除リングの外部周囲に延びることができ、流路は、排除リングの外側円周セグメントの周縁に沿って互いに間隔を置いて配置することができる。
いくつかの実施態様では、排除リングは、複数の耳部をさらに含むことができる。耳部の各々は、排除リングの外側円周セグメントから延びてもよく、上面および底面を有してもよい。排除リングはまた、複数のフィンガを有してもよく、フィンガの各々は、複数の耳部のそれぞれに取り付けられてもよい。
いくつかの実施態様では、複数の耳部は、排除リングの外側円周セグメントの周りに実質的に等間隔に配置されている3つの耳部を含んでもよい。複数の流路は、3つの耳部の各々の間に多数の流路、例えば、3~16個の流路を含んでもよい。
いくつかのそのような実施態様では、同じ数の流路が、3つの耳部の各々の間の外側円周セグメントを通して形成されてもよい。
いくつかのさらなるそのような実施態様では、3つの耳部の各々の間の外側円周セグメントを通して形成された7~14個の流路があってもよい。
いくつかの実施態様では、3つの耳部の各々に近接する流路は、3つの耳部のいずれにも近接しない流路よりも大きいサイズであってもよい。
いくつかの実施態様では、内側円周セグメントは、中心軸に関して軸対称である最内エッジであってもよく、中心軸に垂直であり、内側円周セグメントの底面と外側円周セグメントの底面との間に介在する第1の基準面における流路の総断面積は、排除リングの外側周囲と1つまたは複数の移行面に外接する基準円との間に画定される総リング底面面積の約16%~約20%の範囲であってもよい。
いくつかの実施態様では、第1の基準面における流路の総断面積は、総リング底面面積の約23%~約28%の範囲であってもよい。
いくつかの実施態様では、第1の基準面における流路の総断面積は、総リング底面面積の約35%~約43%の範囲であってもよい。
いくつかの実施態様では、流路の各々は、外側円周セグメントの底面におけるチャネル、または外側円周セグメントを通る密閉通路(enclosed passage)のいずれかであってもよい。
いくつかの実施態様では、排除リングが提供され、排除リングは、内側円周部と、内側円周部と一体の外側円周部とを含むことができ、外側円周部は、内側円周部の第2の厚さよりも大きい第1の厚さを有することができ、外側円周部の底面は、プラズマ処理ツールに設置されたときに台座の上に載置されるように構成することができる。内側円周部は、外側円周部の底面がプラズマ処理ツールの台座上に載っているときにプラズマ処理ツールの台座から間隔を置いて配置されているように構成することができ、それによって台座と排除リングとの間にポケットを画定し、存在する場合、ウエハのエッジが内側円周部の一部と台座との間に配置されることを可能にする。外側円周部は、複数の流路を含むことができ、各流路は、外側円周部の底面と内側円周部の底面との間にまたがる1つまたは複数の移行面から、外側円周部を通って、排除リングの外側周囲に延びてポケットからのウエハエッジガスの排気を可能にする。
いくつかの実施態様では、排除リングは、複数の耳部であって、耳部の各々は、排除リングの外側円周部から延びる複数の耳部と、複数のフィンガであって、フィンガの各々は、複数の耳部のそれぞれに取り付けられる複数のフィンガとをさらに含んでもよい。
いくつかのそのような実施態様では、複数の耳部は、3つの耳部を含んでもよく、3つの耳部は、排除リングの外側円周部の周りに実質的に等間隔に配置されてもよく、複数の流路は、3つの耳部の各々の間に多数の流路を含んでもよい。
いくつかの実施態様では、3つの耳部の各々に近接する流路は、3つの耳部のいずれにも近接しない流路よりも大きいサイズであってもよい。
いくつかの実施態様では、複数の流路は、ウエハエッジガスの約10%~約30%をポケットからプラズマ処理ツールのチャンバ壁に向かって排気するように構成されてもよく、それによりウエハエッジガスの残りは、ウエハがポケット内に存在し、ウエハエッジガスが流れているときにウエハのエッジに向かって誘導される。
いくつかの実施態様では、複数の流路は、ウエハエッジガスの約40%~約60%をポケットからプラズマ処理ツールのチャンバ壁に向かって排気するように構成されてもよく、それによりウエハエッジガスの残りは、ウエハがポケット内に存在し、ウエハエッジガスが流れているときにウエハのエッジに向かって誘導される。
いくつかの実施態様では、複数の流路は、ウエハエッジガスの約70%~約90%をポケットからプラズマ処理ツールのチャンバ壁に向かって排気するように構成されてもよく、それによりウエハエッジガスの残りは、ウエハがポケット内に存在し、ウエハエッジガスが流れているときにウエハのエッジに向かって誘導される。
いくつかの実施態様では、流路の各々は、外側円周部の底面におけるチャネル、または外側円周部を通る密閉通路のいずれかであってもよい。
いくつかの実施態様では、プラズマ処理ツール内でウエハを処理する方法が提供され得る。方法は、排除リングの外側円周部がチャンバの台座上に着座し、排除リングの内側円周部が台座から間隔を置いて配置され、ウエハが内側円周部の一部の下に配置されているそのエッジを有するポケットを画定するように、排除リングを位置決めし、ウエハエッジガスの一部がウエハに向かって誘導されるように、ウエハのプラズマ処理中にウエハエッジガスをポケットに供給し、排除リングの外側円周部を通って延びる複数の流路を通してウエハエッジガスの一部をポケットからチャンバに向かって排気することを含むことができる。
いくつかの実施態様では、複数の流路は、ある量のウエハエッジガスをポケットからチャンバに向かって排気するように構成されてもよく、ウエハエッジガスの残りの部分は、ウエハに向かって誘導される。ウエハエッジガスの量は、ウエハエッジガスの約10%~約30%、ウエハエッジガスの約40%~60%、またはウエハエッジガスの約70%~約90%であってもよい。
例示的な実施形態では、排除リングは、上面および底面を有する外側円周セグメントを含むことができ、外側円周セグメントの上面と底面との間の距離は、排除リングの第1の厚さを画定する。排除リングはまた、上面および底面を有する内側円周セグメントを含むことができ、内側円周セグメントの上面および外側円周セグメントの上面は、排除リングに対する共通の上面を画定する。内側円周セグメントの上面と底面との間の距離は、排除リングの第2の厚さを画定することができ、排除リングの第1の厚さは、排除リングの第2の厚さよりも大きい。排除リングは、外側円周セグメント内に形成された複数のスロットをさらに含むことができ、複数のスロットの各々は、外側円周セグメントの底面で排除リングの外側円周セグメントを通って半径方向に延びる。複数のスロットは、排除リングの外側円周セグメントの周縁に沿って間隔を置いて配置することができる。
一実施形態では、排除リングは、複数の耳部と、複数のフィンガとをさらに含んでもよい。耳部の各々は、排除リングの外側円周セグメントから延びてもよく、上面および底面を有してもよい。フィンガの各々は、複数の耳部のそれぞれに取り付けられてもよい。一実施形態では、複数の耳部は、3つの耳部を含んでもよく、3つの耳部は、排除リングの外側円周セグメントの周りに実質的に等間隔に配置されてもよい。一実施形態では、複数のスロットは、3つの耳部の各々の間に多数のスロットを含んでもよく、スロットの数は、3~16個の範囲である。
一実施形態では、同じ数のスロットが、3つの耳部の各々の間の外側円周セグメントの底面に沿って形成されてもよい。一実施形態では、7~14個のスロットが、3つの耳部の各々の間の外側円周セグメントの底面に沿って形成されてもよい。一実施形態では、3つの耳部のうちの1つに隣接するスロットは、非隣接スロットのサイズよりも大きいサイズを有してもよい。
一実施形態では、総リング底面面積は、3つの耳部の各々の底面によって画定された面積と、複数のスロットの形成後に残る外側円周セグメントの底面によって画定された面積と、複数のスロットを形成するために除去された外側円周セグメントの底面の面積とを含んでもよい。一実施形態では、複数のスロットを形成するために除去された外側円周セグメントの底面の面積は、総リング底面面積の約16%~約20%の範囲であってもよい。別の実施形態では、複数のスロットを形成するために除去された外側円周セグメントの底面の面積は、総リング底面面積の約23%~約28%の範囲であってもよい。さらに別の実施形態では、複数のスロットを形成するために除去された外側円周セグメントの底面の面積は、総リング底面面積の約35%~約43%の範囲であってもよい。
別の例示的な実施形態では、排除リングは、内側円周部と、内側円周部と一体の外側円周部とを含むことができる。外側円周部は、内側円周部の第2の厚さよりも大きい第1の厚さを有することができる。外側円周部の底面は、プラズマ処理ツールに設置されたときに台座の上に着座するように構成することができ、内側円周部は、台座から間隔を置いて配置され、存在する場合、ウエハが内側円周部の一部の下に配置されているそのエッジを有するポケットを画定するように構成することができる。外側円周部の底面は、外側円周部を通って半径方向に延びる複数のスロットを有するように構成することができ、それにより複数のスロットの各々は、ポケットからのウエハエッジガスの排気を可能にするガス流路を形成する。
一実施形態では、排除リングは、複数の耳部と、複数のフィンガとをさらに含んでもよい。耳部の各々は、排除リングの外側円周部から延びてもよく、上面および底面を有してもよい。フィンガの各々は、複数の耳部のそれぞれに取り付けられてもよい。一実施形態では、複数の耳部は、3つの耳部を含んでもよく、3つの耳部は、排除リングの外側円周部の周りに実質的に等間隔に配置されてもよい。一実施形態では、複数のスロットは、3つの耳部の各々の間に多数のスロットを含んでもよい。一実施形態では、3つの耳部のうちの1つに隣接するスロットは、非隣接スロットのサイズよりも大きいサイズを有してもよい。
一実施形態では、複数のスロットは、ウエハエッジガスの約10%~約30%をポケットからプラズマ処理ツールのチャンバの壁に向かって排気するように構成されてもよく、それによりウエハエッジガスの残りは、プラズマ処理ツール内に存在する場合にウエハに向かって誘導される。一実施形態では、複数のスロットは、ウエハエッジガスの約40%~約60%をポケットからプラズマ処理ツールのチャンバの壁に向かって排気するように構成されてもよく、それによりウエハエッジガスの残りは、プラズマ処理ツール内に存在する場合にウエハに向かって誘導される。一実施形態では、複数のスロットは、ウエハエッジガスの約70%~約90%をポケットからプラズマ処理ツールのチャンバの壁に向かって排気するように構成されてもよく、それによりウエハエッジガスの残りは、プラズマ処理ツール内に存在する場合にウエハに向かって誘導される。
さらに別の例示的な実施形態では、プラズマ処理ツール内でウエハを処理する方法を提供することができ、方法は、チャンバの台座の上に排除リングを位置決めすることを含む。一実施形態では、排除リングは、排除リングの外側円周部がチャンバの台座の上に着座し、排除リングの内側円周部が台座から間隔を置いて配置され、ウエハが内側円周部の一部の下に配置されているそのエッジを有するポケットを画定するように位置決めすることができる。方法はまた、ウエハエッジガスの一部がウエハに向かって誘導されるように、ウエハのプラズマ処理中にウエハエッジガスをポケットに供給することを含むことができる。一実施形態では、ウエハエッジガスは、台座に形成されたエッジガス溝を通してポケットに供給することができる。方法は、排除リングの外側円周部を通って延びる複数のスロットを通してウエハエッジガスの一部をポケットからチャンバに向かって排気することをさらに含むことができる。
一実施形態では、複数のスロットは、ウエハエッジガスの約10%~約30%をポケットからチャンバの壁に向かって排気するように構成されてもよく、ウエハエッジガスの残りの部分は、ウエハに向かって誘導される。一実施形態では、複数のスロットは、ウエハエッジガスの約40%~約60%をポケットからチャンバの壁に向かって排気するように構成されてもよく、ウエハエッジガスの残りの部分は、ウエハに向かって誘導される。一実施形態では、複数のスロットは、ウエハエッジガスの約70%~約90%をポケットからチャンバの壁に向かって排気するように構成されてもよく、ウエハエッジガスの残りの部分は、ウエハに向かって誘導される。
本明細書における本開示の他の態様および利点は、本開示の原理を例として示す添付の図面と併せて、以下の詳細な説明から明らかになるであろう。
図1は、ウエハを処理するために使用することができる例示的な基板処理システムを示す簡略概略図である。
図2Aは、曲がったウエハの処理で観察された問題を示す簡略概略図である。 図2Bは、曲がったウエハの処理で観察された問題を示す簡略概略図である。 図2Cは、曲がったウエハの処理で観察された問題を示す簡略概略図である。
図3は、一実施形態による、排除リングの外側部分にスロットが形成された例示的な排除リングを示す簡略概略図である。
図4は、一実施形態による、排除リングの外側部分にスロットが形成された例示的な排除リングの簡略断面図である。
図5Aは、一実施形態による、その外側円周部内に形成された複数のスロットを有する例示的な排除リングの上面図である。
図5Bは、一実施形態による、その外側円周部内に形成された複数のスロットを有する例示的な排除リングの底面図である。
図6は、例示的な実施形態による、総リング底面面積がどのように決定されるかを示す例示的な排除リングの底面図である。
図7aは、一実施形態による、例示的な排除リングの外側円周部内に形成されたスロットの簡略部分正面図である。
図7bは、別の例示的な排除リングの外側円周部内に形成された密閉通路の簡略部分正面図である。
図8Aは、一実施形態による、マルチステーションプラズマ処理ツールにおける例示的な排除リングの使用を示す図である。 図8Bは、一実施形態による、マルチステーションプラズマ処理ツールにおける例示的な排除リングの使用を示す図である。 図8Cは、一実施形態による、マルチステーションプラズマ処理ツールにおける例示的な排除リングの使用を示す図である。 図8Dは、一実施形態による、マルチステーションプラズマ処理ツールにおける例示的な排除リングの使用を示す図である。
図8Eは、例示的な排除リングの下側の斜視図である。
図9は、一実施形態による、排除リングの外側部分にスロットが形成された例示的な排除リングの追加の詳細を示す簡略断面図である。
以下の説明では、例示的な実施形態の完全な理解を提供するために、多数の具体的な詳細が記載されている。しかし、当業者には、例示的な実施形態がこれらの具体的な詳細の一部がなくても実践され得ることが明らかであろう。他の例では、プロセス動作および実施態様の詳細は、既によく知られている場合、詳細には説明されていない。
曲がったウエハの処理において、ウエハエッジが排除リングに接触し、ウエハエッジガスが流れ始めると排除リングが上下に振動する可能性がある。排除リングとウエハとの間のウエハエッジガスのガス流は、ウエハエッジと排除リングとの間の接触によって妨げられる。これにより、ウエハエッジガスが、排除リングを支持する台座、排除リング、および曲がったウエハの間に画定されるウエハの周りのポケット内に蓄積する。蓄積されたウエハエッジガスは最終的に十分な圧力に達し、ウエハエッジガスの一部は圧力を緩和するために台座が排除リングに接触する面積を通って半径方向外側に周期的に流れることができる。これは、排除リング(および場合によってはウエハ)を上下に振動させる効果を有する。処理中の排除リングのそのような上下運動は、望ましくないベベルおよび裏面堆積、ならびに潜在的に望ましくない粒子生成をもたらすため、問題である。本発明の実施形態は、例えば、複数のスロットの形態である複数の流路を有する排除リングを提供し、排除リングは、ウエハのエッジで流れるガス、例えば、ウエハエッジガスをウエハ中心から外側に漏出させる。曲がったウエハの処理中、ウエハエッジガスが流れ始めると、ウエハエッジガスは、ガスの一部が流路を介して外側に漏れるので、アンダーカットを有する排除リングを上下に振動させず、それによって上述の問題を回避する。したがって、曲がったウエハの処理中、望ましくないベベルおよび裏面堆積が回避される。
図1は、ウエハ101を処理するために使用することができる基板処理システム100を示す簡略概略図である。システムは、少なくとも部分的に、1つまたは複数のチャンバ壁を使用して容積を取り囲む上部チャンバ本体および下部チャンバ本体を含み得るチャンバ102を含むことができる。中央柱111は、台座110を支持するように構成することができ、これは、一実施形態では給電電極とすることができる。台座110は、整合ネットワーク106を介して無線周波数(RF)電源104に電気的に結合され得る。RF電源は、プロセス入力および制御112の命令を実行することによって基板処理システム100を動作させるように構成され得るコントローラ108によって制御することができる。プロセス入力および制御は、電力レベル、タイミングパラメータ、プロセスガス、ウエハ101の機械的移動などのプロセスレシピを定義する情報または命令を含むことができ、原子層堆積(ALD)法またはプラズマ強化化学気相堆積(PECVD)法を介してウエハ101の上に膜を堆積または形成する(またはエッチングベースのシステムでウエハから材料を除去またはエッチングする)。
基板処理システム100は、処理ガス源116、例えば、施設からのガス化学物質供給源に接続され得るガス供給マニホールド114をさらに含み得る。実施される処理に応じて、コントローラ108は、ガス供給マニホールド114を介してプロセスガスの送給を制御することができる。次に、選択されたガスは、シャワーヘッド120に流入し、シャワーヘッド120とウエハ101との間に画定され、台座110の上に配置されている空間の容積に分配され得る。適切な弁および質量流量制御機構を用いて、プロセスの堆積およびプラズマ処理段階中に適切なガスが送給されることを確実にすることができる。プロセスガスは、出口を介してチャンバ102から出ることができる。真空ポンプが、出口を介してチャンバ102から処理ガスを引き出し、処理のためにチャンバ内を適切に低い圧力に維持することができる。
また、図1には、台座110上に載置されたウエハの外側領域を取り囲むことができる排除リング122’も示されている。排除リング122’は、以下でより詳細に説明するように、処理中にウエハ101のエッジベベルおよびウエハ101の裏面上への堆積を防止するように機能することができる。台座110はまた、台座110の上に配置されているウエハ101の外周を囲むように構成され得るエッジガス溝110aを含み得る。エッジガス溝110aは、典型的には、例えば、アルゴン(Ar)などの不活性ガス源であり得るエッジガス源124と流体連通することができる。処理中、エッジガスは、以下でより詳細に説明するように、エッジガス溝110aを通って、排除リング122’と台座110との間に画定された空間に流入することができる。
図2A~図2Cは、曲がったウエハの処理で観察された問題を示す簡略概略図である。メモリセルが複数の層に垂直に積み重ねられる3D NANDデバイスの製作において、2D/平面デバイスと比較して垂直構造の存在が増加すると、ウエハに対してより多くの応力が生じる可能性がある。この増加した応力により、処理中にウエハが曲がる、または「皿状」になる(わずかに凹状になる)可能性がある。場合によっては、曲がりの程度は、ウエハの中心に対して0.25ミリメートル~0.75ミリメートルの範囲であり得る。したがって、曲がったウエハが台座上に載っているとき、ウエハのエッジに沿った少なくともいくつかの点は、ウエハの中心よりも0.25ミリメートル~0.75ミリメートル高くなり得る。
図2Aに示すように、曲がったウエハが処理されるとき、ウエハ101のエッジが排除リング122’に接触することがある。ウエハエッジガスが(矢印によって示されるように)流れ始めると、ウエハ101のエッジおよび排除リング122’は、ウエハ101、台座110、および排除リング122’によって境界付けられた面積であるポケットP内にウエハエッジガスを閉じ込めるシールを形成することができる。ウエハエッジガスがポケットPに流入し続けると、ポケットP内のガス圧力は、図2Bに示すように、台座110の表面から排除リング122’およびウエハ101を持ち上げるのに十分な圧力まで上昇する。台座110の表面からの排除リング122’およびウエハ101の持ち上げは、排除リング122と台座110の表面との間に、閉じ込められたウエハエッジガスが流れることができるギャップを形成することができる。このように形成されたギャップを通ってポケットPからウエハエッジガスが流出すると、図2Cに示すように、排除リング122’およびウエハ101に対する上向きの力が減少し得、排除リング122’およびウエハ101がそれらの元の位置に戻ることがある。それらの元の位置に戻ると、ウエハ101のエッジおよび排除リング122’が再びシールを形成し、それによって図2Bに示す持ち上げプロセスが繰り返される。したがって、曲がったウエハの処理中、この挙動により、排除リング122’が台座110の表面に対して急速に上下に移動する場合がある。この上下運動は、ウエハの取り扱いの問題を引き起こすだけでなく、ウエハのベベルおよび裏面上に望ましくない堆積をもたらすため、問題となり得る。
図3は、一実施形態による、排除リングの外側部分に流路、例えば、スロットが形成された排除リングを示す簡略概略図である。図3に示すように、排除リング122は、排除リング122の外側円周部(またはセグメント)122b内に形成されたスロット132を含み得る。スロット132は、ウエハ101、台座110、および排除リング122の内側円周部(またはセグメント)122aによって境界付けられた面積であるポケットPに蓄積されたウエハエッジガスが、図3の右向きの矢印によって示されるように、ポケットPから出て、スロット132を介して基板処理システムのチャンバ内に、例えば、チャンバ壁に向かって外側に流れることを可能にするように構成されてもよい。ウエハエッジガスはポケットPから流出する(または漏れる)場合があるため、ポケットP内のウエハエッジガス圧力は、図2Bに示すように、排除リング122およびウエハ101を持ち上げるのに十分な点まで上昇しない可能性がある。したがって、図2A~図2Cに関連して上述した排除リングおよびウエハの上下運動の発生を防止することができ、これに関連する様々な問題、例えば、ウエハのベベルおよび裏面上への望ましくない堆積が回避される。
図4は、一実施形態による、排除リングの外側部分に流路、例えば、スロットが形成された排除リングの簡略断面図である。図4に示すように、排除リング122は、内側円周部(またはセグメント)122aおよび外側円周部(またはセグメント)122bを含む。内側円周部122aは、上面122a-1と、底面122a-2とを有する。さらに、内側円周部122aは、上面122a-1と底面122a-2との間の距離である厚さTを有する。外側円周部122bは、上面122b-1と、底面122b-2とを有する。さらに、外側円周部122bは、上面122b-1と底面122b-2との間の距離である厚さTを有する。内側円周部122aの上面122a-1および外側円周部122bの上面122b-1は、排除リング122に対する共通の上面を画定することができ、排除リング122の共通の上面は、図示のように平面であってもよいし、あるいは、段差を特徴とするか、または例えば、わずかな湾曲を有するなど、いくつかの他の方式で輪郭付けされてもよい。加えて、外側円周部122bの厚さTは、内側円周部122aの厚さTよりも大きくてもよい。したがって、外側円周部122bの底面122b-2が台座上に載っているとき、ギャップが内側円周部122aの底面122a-2と台座との間に画定され得、ギャップは、処理のために台座上に配置されているウエハのエッジを収容するのに十分な高さを有する。別の言い方をすれば、底面122a-2および122b-2は、ポケットを提供する空間を形成するように、非ゼロ距離だけ底面に垂直な軸に沿って互いにオフセットされてもよい。スロット132は、外側円周部122bを通って延びることができ、それによって排除リング122の少なくとも中間円周方向周囲133から排除リング122の外部周囲135までのガス流路を形成し、内側円周部122aと台座との間に画定されたポケットからのウエハエッジガスの排気を可能にする。中間円周方向周囲133は、概して、外側円周部122bの底面122b-2の1つまたは複数の最内エッジと同半径である、または内接する基準円によって画定され得る。1つまたは複数の移行面もまた、底面122a-2と122b-2との間にまたがってもよく、多くの実施態様では、円筒形または同半径の弓形面であってもよいが、いくつかの他の実施態様では、円錐形または同半径の弓形円錐面であってもよい(例えば、図9参照)。すべてではないが、多くの例では、1つまたは複数の移行面は、底面122a-2および122b-2の一方または両方と交差することができる。1つまたは複数の移行面が底面122b-2と直接交差する場合、結果として得られる交差は、一般に、中間円周方向周囲133を画定することができる。1つまたは複数の移行面が、例えば、ブレンドまたは丸みを帯びたエッジで底面122b-2に滑らかに移行する場合、中間円周方向周囲133は、一般に、1つまたは複数の移行面に移行する前に、底面122b-2が非平面になり始める最内点に内接することができる。外部周囲は、概して、排除リングの最外周囲によって画定され、多くの実施態様では円形であり得るが、いくつかの場所、例えば、耳部が設けられる場所(後述)では円形プロファイルから逸脱することもある。同様に、排除リング122はまた、排除リング122が使用されるように設計されたウエハよりも幾分小さいサイズの内部周囲131を有することができる。内部周囲131は、例えば、排除リング122の1つまたは複数の最内面によって画定され得る。
図5Aは、一実施形態による、その外側円周部内に形成された複数のスロットを有する排除リングの上面図である。図5Aに示すように、外側円周部122bの上面122b-1および内側円周部112aの上面122a-1は、排除リング122に対する共通の上面を画定し得る。移行領域122xを排除リング122の内周に設け、処理中のプロセスガスの流れの中断を最小化することができる。移行領域122xに関する追加の詳細は、図9を参照して以下に記載される。複数の耳部122eが外側円周部122bから延びることができ、耳部の各々は、上面122e-1と、底面122e-2とを有する(図5B参照)。図5Aに示すように、耳部122eの各々は、フィンガを耳部122eに取り付けるために使用され得る一対の穴130を含み得る。フィンガに関する追加の詳細は、図8A~図8Dを参照して以下に記載される。一実施形態では、穴130は、以下により詳細に説明するように、ねじ(または他の適切なねじ付き機械的締結具)を使用してフィンガを耳部122eの各々に取り付けることができるように、ねじが切られている。
排除リング122は、例えば、処理チャンバ内で使用される処理ガスおよびプラズマに対して化学的に不活性であるなど、望ましくない汚染を導入することなくプラズマ処理ツール内での使用に適している材料であれば、任意の適切な材料で形成することができる。一実施形態では、排除リングは、アルミナ(Al)で形成することができる。一実施形態では、アルミナは、少なくとも99%の純度を有し得る。別の実施形態では、アルミナは、少なくとも99.9%の純度を有し得る。本明細書で説明される排除リングは、材料がより大きな材料片から除去されるサブトラクティブ技法と、排除リングが、例えば、粒状または液体材料から徐々に構築されるアディティブ技法の両方を含む、任意の適切な製造技法を使用して製造され得ることが理解されるであろう。その観点から、本明細書における「除去された」材料などへの言及は、付加製造技法、すなわち、「省略された」材料などを使用して製作された排除リングの場面において、その補完物を包含することも意図していることを理解されたい。したがって、「除去された材料」への言及は、「省略された材料」と等価であると見なすことができる。
図5Aに示す例示的な実施形態では、排除リング122は、3つの耳部122eを含み、3つの耳部122eは、排除リング122の外側円周部122bの周りに実質的に等間隔に配置されている。一実施形態では、耳部122eのそれぞれの中心線は、約120度の間隔で排除リング122の外側円周部122bの周りに離間され得る。本明細書で使用される場合、「約」および「およそ」という用語は、指定されたパラメータが妥当な許容範囲内、例えば、±10%内で変化し得ることを意味する。当業者は、耳部の数ならびに排除リングの周りの耳部の間隔が、特定の用途の必要性を満たすために変更され得ることを理解するであろう。
図5Bは、一実施形態による、外側円周部内に形成された複数の流路、例えば、スロットを有する排除リングの底面図である。図5Bに示すように、排除リング122の内側円周部122aは、底面122a-2(一般に、中間円周方向周囲133(または中間円周方向周囲133内)と内部周囲131との間に位置する)を有し、耳部122eの各々は、底面122e-2を有する。外側円周部122bは、底面122b-2(一般に、中間円周方向周囲133の外側に位置する)を有するが、底面122b-2は、この面が上述の流路を形成するために外側円周部122b内に形成された複数のスロット132の存在によって妨害されるため、この例では連続面ではない。複数のスロット132におけるスロットは、外側円周部122bの周縁に沿って間隔を置いて配置され得る。さらに、複数のスロット132は、耳部122eに隣接するスロットであるスロット132aを含むことができる。一実施形態では、耳部122eの隣に位置するスロット132a(隣接スロット)のサイズ、例えば、幅は、耳部122eに隣接していないスロット132(非隣接スロット)のサイズよりも大きくてもよい。非隣接スロット132と比較して隣接スロット132aのサイズが大きくなることにより、ポケットからのより多くのウエハエッジガスが隣接スロット132aを通って流れることが可能になり、非隣接スロット132の間または隣接スロット132aの1つと非隣接スロット132の1つとの間の外側円周部122bのセグメントによって占有される空間と比較して、耳部122eによって占有され得る空間のより大きな量を補償することができる。1つの例示的な実施形態では、非隣接スロット132の幅はおよそ9mmとすることができ、これは、直径300mmのウエハ用にサイズ決めされた排除リングのおよそ3度の弧に対応し得、隣接スロット132aの幅はおよそ20mmとすることができ、これは同様におよそ6.5度の弧に対応し得る。
図5Bの例示的な実施形態に示すように、排除リング122は、耳部122eの各々の間に合計7つのスロットを含むことができる。そのような7つのスロットの各セットは、5つの非隣接スロット132と、2つの隣接スロット132aとを含むことができる。したがって、全体で合計21個のスロットが排除リング122の外側円周部122bの周縁に沿って間隔を置いて配置されてもよく、スロットのうちの15個は非隣接スロット132であり、スロットのうちの6つは隣接スロット132aである。当業者は、スロットの数ならびにスロットのサイズが、特定の用途の必要性を満たすために図5Bに示されるものから変更され得ることを理解するであろう。例として、他の実施形態では、排除リング122は、耳部122eの各々の間に3~16個のスロットを含むことができる。一実施形態では、排除リング122は、耳部122eの各々の間に合計5つのスロットを含むことができ、5つのスロットのうちの3つが非隣接スロット132であり、5つのスロットのうちの2つが隣接スロット132aである。別の実施形態では、排除リング122は、耳部122eの各々の間に合計9つのスロットを含み、9つのスロットのうちの7つが非隣接スロット132であり、9つのスロットのうちの2つが隣接スロット132aである。さらに別の実施形態では、排除リング122は、耳部122eの各々の間に合計14個のスロットを含み、14個のスロットのうちの12個が非隣接スロット132であり、14個のスロットのうちの2つが隣接スロット132aである。
1つの例示的な実施形態では、非隣接スロット132および隣接スロット132aを含むことができる複数のスロットは、次の2つの条件を満たすように構成することができる:1)ポケットから十分なウエハエッジガスを排気し、処理中の排除リング(およびウエハ)の上下運動を排除すること、および2)十分な流れ制限を提供し、処理中にウエハのベベルおよび裏面上に望ましくない堆積が生じるのを防止するのに十分なウエハエッジガスがポケット内に残ることを確実にすること。これらの2つの条件を満たすためにポケットから排気される必要があるウエハエッジガスの量は、処理条件によって変化する可能性がある。例えば、処理中のウエハが比較的高い程度の曲がりを有する場合、ポケットからより多くのウエハエッジガスを排気することが望ましい場合がある。一方、処理中のウエハが比較的低い程度の曲がりを有する場合、ポケットからより少ないウエハエッジガスを排気することが望ましい場合がある。例示的な実施形態では、上記の2つの条件は、以下でより詳細に説明するように、ポケットからプラズマ処理ツールのチャンバに向かって排気されるウエハエッジガスの量に対する、処理中のウエハに向かって誘導されるウエハエッジガスの量の比率を制御することによって満たすことができる。
一実施形態では、ポケットからチャンバに向かって排気されるウエハエッジガスの量に対する、処理中のウエハに向かって誘導されるウエハエッジガスの量の比率は、複数のスロットを形成するために排除リングの外側円周部から除去される(または省略される)材料の相対量を制御することによって制御することができる。特に、複数のスロットを形成するために除去または省略され得る外側円周部の底面の面積は、総リング底面面積に対して制御され得る。図6は、総リング底面面積がどのように決定されるかを示す排除リング122の底面図である。図6に示す「ハッチングされた」セクションは、a)3つの耳部122eの各々の底面122e-2、およびb)複数のスロット132の形成後に残る(またはスロット132にもかかわらず存在する)外側円周部122bの底面122b-2を含む。図6に示す「暗い」セクションは、複数のスロット132を形成するために除去または省略された外側円周部122bの底面122b-2の部分を含む。図6に示す「白い」(ハッチングなし)セクションは、排除リング122の内側円周部122aの底面122a-2を含む。本明細書で使用される場合、「総リング底面面積」という用語は、a)耳部122eの各々の底面122e-2によって画定された面積(これらの面積は、図6に示す「ハッチングされた」面積の一部である)、b)複数のスロット132の形成後に残る(またはスロット132にもかかわらず存在する)外側円周部122bの底面122b-2によって画定された面積(この面積は、図6に示す「ハッチングされた」面積の一部である)、およびc)複数のスロット132を形成するために外側円周部122bから除去された(あるいはスロット132によって境界付けられた)底面122b-2の面積(図6に示す「暗い」面積)である。したがって、排除リング122の内側円周部122aの底面122a-2を含む、図6に示す「白い」(ハッチングなし)面積は、総リング底面面積の一部ではない。別の言い方をすれば、総リング底面面積は、中間円周方向周囲133と外部周囲135との間の面積である。
1つの例示的な実施形態では、複数のスロット132を形成するためにこの例で除去された外側円周部122bの底面122b-2の面積は、総リング底面面積の約16%~約20%の範囲であり得る。この構成では、複数のスロットは、ウエハエッジガスの約10%~約30%をポケットから排除リング122が使用されているプラズマ処理ツールのチャンバの壁に向かって排気することができる。ウエハエッジガスの残りは、プラズマ処理ツール内に存在する場合、ウエハに向かって誘導されてもよい。一実施形態では、複数のスロットを形成するために切り取ることができる外側円周部の底面の面積は、総リング底面面積の約18%とすることができる。この構成では、ウエハエッジガスの約20%が排除リング122が使用されているチャンバの壁に向かって排気され、ウエハエッジガスの約80%がウエハに向かって誘導される。
別の例示的な実施形態では、複数のスロット132を形成するために除去された外側円周部122bの底面122b-2の面積は、総リング底面面積の約23%~約28%の範囲であり得る。この構成では、複数のスロットは、ウエハエッジガスの約40%~約60%をポケットから排除リング122が使用されているプラズマ処理ツールのチャンバの壁に向かって排気することができる。ウエハエッジガスの残りは、プラズマ処理ツール内に存在する場合、ウエハに向かって内側に誘導することができる。一実施形態では、複数のスロットを形成するために切り取ることができる外側円周部の底面の面積は、総リング底面面積の約25%とすることができる。この構成では、ウエハエッジガスの約50%が排除リング122が使用されているチャンバの壁に向かって排気され、ウエハエッジガスの約50%がウエハに向かって内側に誘導される。
さらに別の例示的な実施形態では、複数のスロット132を形成するために除去することができる外側円周部122bの底面122b-2の面積は、総リング底面面積の約35%~約43%の範囲であり得る。この構成では、複数のスロットは、ウエハエッジガスの約70%~約90%をポケットから排除リング122が使用されているプラズマ処理ツールのチャンバの壁に向かって排気することができる。ウエハエッジガスの残りは、プラズマ処理ツール内に存在する場合、ウエハに向かって内側に誘導することができる。一実施形態では、複数のスロットを形成するために切り取ることができる外側円周部の底面の面積は、総リング底面面積の約39%とすることができる。この構成では、ウエハエッジガスの約80%が排除リング122が使用されているチャンバの壁に向かって排気され、ウエハエッジガスの約20%がウエハに向かって内側に誘導される。
チャンバ内でのウエハの処理中、ウエハおよび排除リングの上の空間は、プロセスガスの存在のためにウエハおよび排除リングの上に同様に存在しないチャンバ内の他の場所と比較して、比較的高圧の領域である場合があり、台座および排除リングの外側の周囲の空間は、対応して比較的低圧の領域であり得る。したがって、ウエハエッジガスの圧力がポケット内で上昇すると、ウエハエッジガスは、排除リングおよび台座の外側への空間は比較的低圧の領域であるため、ポケットからスロットを通って漏れる傾向がある。上記の例示的な実施形態で説明したように構成された複数のスロットを有する排除リングを使用するウエハ処理動作では、最大2500sccmのウエハエッジガス流量で、ウエハのエッジベベルまたは裏面上に顕著な堆積を伴わずに曲がったウエハが処理されている。ウエハのベベルまたは裏面上に顕著な堆積がまったくないことを考慮すると、排除リングおよびウエハの上下運動は処理中に発生しなかったと考えられるが、これは、そのような運動は必然的にウエハのベベルおよび/または裏面に望ましくない堆積をもたらすためである。したがって、本明細書に記載の例示的な実施形態の排除リング内のスロットの構成は、上述の2つの条件、すなわち1)ポケットから十分なウエハエッジガスを排気し、処理中の排除リング(およびウエハ)の上下運動を排除すること、および2)十分な流れ制限を提供し、処理中にウエハのベベルおよび裏面上に望ましくない堆積が生じるのを防止するのに十分なウエハエッジガスがポケット内に残ることを確実にすることを満たす。
図7aは、一実施形態による、排除リングの外側円周部内に形成されたスロットの簡略部分正面図または側面図である。図7aに示すように、排除リング122の外側円周部122b内に形成されたスロット132は、スロット幅Sおよびスロット高さSを有することができる。一実施形態では、スロット幅Sは、約0.100インチ(2.54ミリメートル)~約0.760インチ(19.304ミリメートル)の範囲であってもよい。一実施形態では、スロット高さSは、約0.010インチ(0.254ミリメートル)~約0.040インチ(1.016ミリメートル)の範囲であってもよい。スロット高さおよびスロット幅は、特定の用途の必要性を満たすために変更され得ることが当業者には理解されよう。
図7bは、別の実施形態による、排除リングの外側円周部内に形成された密閉通路の簡略部分正面図または側面図である。図7bに見られるように、密閉通路132’はまた、図7aのスロット幅Sおよびスロット高さSに関して上述したものと同様の寸法を有し得る幅および高さを有することができる。
図7aおよび図7bの例示的な排除リングで使用されるスロット132または密閉通路132’は、一般に、本明細書で前述したように、ポケットからウエハエッジガスを排気して排除リングの持ち上げを防止するために使用することができる流路を表すことができることが理解されよう。スロット132は、排除リングの下側に単に機械加工または形成することができるので、一般により容易に製造することができるが、密閉通路も使用され得る同等または同様の性能を有する排除リングも使用され得ることが認識されるべきである。このような排除リングは、例えば、付加製造法を使用するか、または異なる部品を共に拡散接合することにより製造するのがより複雑で費用がかかる可能性があるが、それでも同様の方式で機能し得る。したがって、本明細書における「スロット」への言及は、限定はしないが、スロットの数、スロットの配置、スロットの相対的なサイズなどへの言及を含んで、「密閉通路」に同様に適用されると理解されるべきである。密閉通路132’の場面では、除去または省略された外側円周部122bの底面122b-2のいかなる面積も存在しない可能性があるが、排除リングのすべての密閉通路132’の断面積の合計と同等の面積が存在し、各断面積は、底面122b-2に平行な平面で得られることが理解されるであろう。この断面積の合計は、本明細書で提供される説明において除去または省略された底面122b-2の面積に置き換えられ得ることが理解されるべきである。さらに、このような排除リングの総リング底面面積は、単純に、3つの耳部の各々の底面によって画定された面積と、外側円周セグメントの底面によって画定された面積とであり得るが、これは、外側円周セグメントの底面が、密閉通路の使用によりスロットによって妨害されないからである。
図8A~図8Dは、一実施形態による、マルチステーションプラズマ処理ツールにおける排除リングの使用を示している。図8Aは、4つの処理ステーションを有するマルチステーションプラズマ処理ツールの斜視図を示す。特に、図8Aに示すように、マルチステーションプラズマ処理ツール200は、チャンバ102内に4つの処理ステーションS1~S4を含む。各処理ステーションは、固定された台座110と、排除リング122とを含むことができ、これは排除リングによって支持されているウエハと共にステーション間で移動することができる。例えば、図8Aに示すように、処理ステーションS1は、台座110-1と、排除リング122-1とを含む。以下でより詳細に説明するように、ターンテーブル204を使用して、ウエハを1つのステーションから別のステーションに移送することができる。一実施形態では、ターンテーブル204は、アルミニウムプレートであってもよい。
図8B~8Dは、一実施形態による、ウエハをマルチステーションプラズマ処理ツールにロードするプロセスを示している。図8Bに示すように、ウエハ101は、チャンバ102内のスロット102sを通過する過程にある。スロット102sは、チャンバ102の外側のロードロックに結合され、ロードプロセス中にチャンバ内の真空環境を維持することができる。ウエハ101がスロット102sを通ってチャンバ102に入ると、排除リング122-1は、耳部122e-1の各々に取り付けられたフィンガ134が台座110-1の上面の上に位置決めされ得る上昇位置にあり得る。フィンガ134は、排除リング122-1の内周の内側に延びることができ、ウエハ101は、図8Cに見られるように、フィンガ134または排除リング122-1のいずれにも接触することなく、ウエハ101がフィンガ134のすぐ上を通過し得る高さでエンドエフェクタによって支持され得る。図8Dに示すように、ウエハ101の外周が3つのフィンガ134の各々の上に位置するようにウエハ101が位置決めされると、エンドエフェクタは、ウエハ101をフィンガ134上に下降させることができ、チャンバ102から引き抜くことができる。この時点で、排除リング122-1を下降させ、台座110-1の上面にウエハ101を載置することができる。ウエハ101を台座110-1の上面に載置することができるようにするために、フィンガ134は、排除リング122-1が下降されると、台座110-1の上面の下に延びる溝または凹部110c(図8B参照)に受け入れられ得る。
ウエハをあるステーションから別のステーションに、例えば、ステーションS1からステーションS2に移送するために、排除リング122-1は、台座110-1の上面からウエハ101を持ち上げるために、垂直並進システムによって上昇され得る。例えば、排除リング122-1が上昇されると、フィンガ134が台座110-1の溝または凹部110c内から出現し、ウエハ101の裏面と係合する。したがって、フィンガ134がウエハ101の裏面と係合すると、ウエハ101は排除リング122-1と共に上昇される。ウエハ101が排除リング122-1によって台座110-1の上面の上に支持された状態で、ターンテーブル204は、標準位置から上昇位置に上昇させることができる。上昇されるプロセスにおいて、ターンテーブル204は、排除リング122-1と係合し、排除リング122-1、ならびに排除リング122-1によって支持されているウエハ101を持ち上げることができる。ターンテーブル204、排除リング122-1、およびウエハ101が台座110-1およびステーションS1における垂直並進システムを通過するのに十分な高さまで上昇されると、ターンテーブル204は、排除リング122-1およびウエハ101がステーションS1からステーションS2に運ばれるように回転することができる。ステーションS2では、ターンテーブル204を下降させてその標準位置に戻すプロセスの一部として、排除リング122-1をステーションS2の垂直並進システム上に載置することができる。
本明細書に記載の実施形態のいくつか、例えば、図8A~図8Dの実施形態では、排除リング122-1のフィンガ134を使用して、ウエハ101をステーションからステーションに、例えば、ステーションS1からステーションS2に運ぶことができる。したがって、排除リング122-1は、「キャリアリング」と呼ばれることもある。それにもかかわらず、例示的な実施形態の説明では、排除リング122-1は、リングの主な機能が処理中のウエハのベベルおよび裏面上への堆積を防止することであるため、「キャリアリング」ではなく「排除リング」と呼ばれる。
図8Eは、例示的な排除リングの下側の斜視図を図示する。図から分かるように、排除リングの下側は、底面122a-2を有する内側円周部と、底面122b-2を有する外側円周部とを有する。複数の開口部832、例えば、スロットが排除リングの周囲に配置され、3つの耳部822eが外側円周部の周縁の周りで等間隔の場所に位置する。各耳部822eは、図8A~図8Dに関して上述したように、フィンガ834を支持することができる。
図9は、一実施形態による、排除リングの外側部分にスロットが形成された排除リングの追加の詳細を示す簡略断面図である。図9に示すように、排除リング122の内側円周部122aの内周は、移行領域122xを含むことができる。図5Aの説明に関連して上述したように、移行領域122xは、処理中の排除リング122によるプロセスガスの流れの中断を最小化するように機能し得る。移行領域122xは、傾斜領域122x-1と、湾曲領域122x-2と、先端領域122x-3とを含むことができる。湾曲領域122x-2は、内側円周部122の上面122a-1から傾斜領域122x-1に延びてもよい。一実施形態では、湾曲領域122x-2は、曲率半径を有することができる。一実施形態では、湾曲領域122x-2の曲率半径は、12インチ(304.8ミリメートル)~12.25インチ(311.15ミリメートル)の範囲であってもよい。傾斜領域122x-1は、湾曲領域122x-2から先端領域122x-3に延びることができる。一実施形態では、傾斜領域122x-1の表面は、排除リング122の内側円周部122aの上面122a-1によって画定された平面に対して、約15度~約45度の範囲の角度を画定することができる。先端領域122x-3は、工具での使用に耐えるのに十分な強度を有するように構成することができ、欠けたり壊れたりすることはない。一実施形態では、先端領域122x-3は、処理中に排除リング122によって処理ガスの流れを中断することなく、先端領域に必要な強度を提供するように選択された曲率半径を有することができる。
一実施形態では、底面122a-2と底面122b-2との間に延びる移行面122t-1を傾斜させ、ウエハエッジガスが排除リング122の外側円周部122b内のスロット132を通ってポケットから排気されるときにウエハエッジガスの流れの中断を最小化することができる。図9に示すように、移行面122t-1および底面122a-2は、それらの間に鈍角である夾角を画定することができる。一実施形態では、移行面122t-1および底面122a-2によって画定された鈍角は、約105度~約150度の範囲であってもよい。
本明細書に記載の実施形態はまた、プラズマ処理ツール内でウエハを処理する方法を含むことができる。方法は、チャンバの台座上または上方に排除リングを位置決めすることを含むことができる。一実施形態では、排除リングは、排除リングの外側円周部が台座の上に着座し、排除リングの内側円周部が台座から間隔を置いて配置され、排除リングと台座との間にウエハが内側円周部の一部の下に配置されているそのエッジを有するポケットを画定するように位置決めすることができる(例えば、図3参照)。方法はまた、ウエハエッジガスの一部がウエハに向かって誘導されるように、ウエハのプラズマ処理中にウエハエッジガスをポケットに供給することを含んでもよい。一実施形態では、ウエハエッジガスは、台座に形成されたエッジガス溝(例えば、図1および図3のエッジガス溝110a参照)を通してポケットに供給することができる。方法は、排除リングの外側円周部を通って延びる複数の流路を通してウエハエッジガスの一部をポケットからウエハ処理が実施されるチャンバの壁に向かって排気することを含むことができる(例えば、図3に示すスロット132ならびに図5Bに示すスロット132および132a参照)。
一実施形態では、複数の流路は、ウエハエッジガスの約10%~約30%をポケットからウエハ処理が実施されるチャンバの壁に向かって排気するように構成され、ウエハエッジガスの残りの部分は、ウエハに向かって内側に誘導される。上述のように、ポケットからチャンバに向かって排気されるウエハエッジガスの量に対する、処理中のウエハに向かって誘導されるウエハエッジガスの量の比率は、複数の流路を形成するために排除リングの外側円周部から除去または省略される材料の相対量を制御することによって調整することができる。特に、複数の流路を形成するために除去または省略された外側円周部の底面の面積は、総リング底面面積に対して制御され得る。ウエハエッジガスの約10%~約30%をポケットからチャンバの壁に向かって排気するために、一実施形態では、複数のスロット132を形成するために除去された外側円周部122bの底面122b-2の面積は、総リング底面面積の約16%~約20%の範囲であってもよい(図6参照)。一実施形態では、複数のスロットを形成するために切り取られる外側円周部の底面の面積は、総リング底面面積の約18%とすることができる。この構成では、ウエハエッジガスの約20%がチャンバの壁に向かって排気され得、ウエハエッジガスの約80%がウエハに向かって誘導され得る。
一実施形態では、複数のスロットは、ウエハエッジガスの約40%~約60%をポケットからチャンバの壁に向かって排気するように構成されてもよく、ウエハエッジガスの残りの部分は、ウエハに向かって内側に誘導される。ウエハエッジガスの約40%~約60%をポケットからチャンバの壁に向かって排気するために、一実施形態では、複数のスロット132を形成するために除去された外側円周部122bの底面122b-2の面積は、総リング底面面積の約23%~約28%の範囲であってもよい(図6参照)。一実施形態では、複数のスロットを形成するために切り取られる外側円周部の底面の面積は、総リング底面面積の約25%とすることができる。この構成では、ウエハエッジガスの約50%がチャンバの壁に向かって排気され得、ウエハエッジガスの約50%がウエハに向かって誘導され得る。
一実施形態では、複数のスロットは、ウエハエッジガスの約70%~約90%をポケットからチャンバに向かって排気するように構成されてもよく、ウエハエッジガスの残りの部分は、ウエハに向かって誘導される。ウエハエッジガスの約70%~約90%をポケットからチャンバに向かって排気するために、一実施形態では、複数のスロット132を形成するために除去された外側円周部122bの底面122b-2の面積は、総リング底面面積の約35%~約43%の範囲であってもよい(図6参照)。一実施形態では、複数のスロットを形成するために切り取ることができる外側円周部の底面の面積は、総リング底面面積の約39%とすることができる。この構成では、ウエハエッジガスの約80%がチャンバに向かって排気され得、ウエハエッジガスの約20%がエハに向かって誘導され得る。
いくつかの実施態様では、コントローラはシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。特に、コントローラは、本明細書で前述したように、例えば、リフト機構に排除リング(およびそれによって支持されるウエハ)を持ち上げさせ、次にターンテーブルに排除リングを持ち上げて回転させ、排除リングをマルチステーション処理チャンバ内の新しいステーションに移動させるように構成することができる。コントローラは、排除リングを新しいステーションの上または中に下降させるようにさらに構成することができる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実施するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
方法動作は特定の順序で説明される場合があるが、各動作の間に他のハウスキーピング動作が実施され得るか、または動作がわずかに異なる時間に発生するように調整され得るか、またはオーバーレイ動作の処理が所望の方法で実施される限り、処理に関連する様々な間隔で処理動作を発生可能にするシステムに分散され得ることを理解されたい。
したがって、例示的な実施形態の本開示は、以下の特許請求の範囲およびそれらの均等物に記載される本開示の範囲を例示することを意図しているが、限定することを意図していない。本開示の例示的な実施形態は、理解を明確にする目的である程度詳細に説明されているが、特定の変更および修正が以下の特許請求の範囲内で実践され得ることは明らかであろう。以下の特許請求の範囲において、要素および/またはステップは、特許請求の範囲で明示的に述べられていない限り、または本開示によって暗示的に要求されていない限り、特定の動作順序を意味するものではない。

Claims (20)

  1. 半導体ウエハの処理に使用するための排除リングであって、
    上面および底面を有する外側円周セグメントと、前記外側円周セグメントの前記上面と前記外側円周セグメントの前記底面との間の距離は、前記排除リングの第1の厚さを画定し、
    上面および底面を有する内側円周セグメントと、
    前記外側円周セグメントの前記底面と前記内側円周セグメントの前記底面との間にまたがる1つまたは複数の移行面と
    を備え、
    前記内側円周セグメントの前記上面と前記内側円周セグメントの前記底面との間の距離は、前記排除リングの第2の厚さを画定し、
    前記排除リングの前記第1の厚さは、前記排除リングの前記第2の厚さよりも大きく、
    複数の流路が、前記外側円周セグメント内に形成され、
    前記複数の流路の各流路は、前記1つまたは複数の移行面から、前記排除リングの前記外側円周セグメントを通って、前記排除リングの外部周囲に延び、
    前記流路は、前記排除リングの前記外側円周セグメントの周縁に沿って互いに間隔を置いて配置されている、
    排除リング。
  2. 請求項1に記載の排除リングはさらに、
    複数の耳部と、前記耳部の各々は、前記排除リングの前記外側円周セグメントから延び、上面および底面を有し、
    複数のフィンガと、前記フィンガの各々は、前記複数の耳部のそれぞれに取り付けられること
    を備える、排除リング。
  3. 請求項2に記載の排除リングであって、
    前記複数の耳部は、前記排除リングの前記外側円周セグメントの周りに実質的に等間隔に配置されている3つの耳部を含み、
    前記複数の流路は、前記3つの耳部の各々の間に多数の流路を含み、流路の数は、3~16個の範囲である、
    排除リング。
  4. 請求項3に記載の排除リングであって、
    同じ数の流路が、前記3つの耳部の各々の間の前記外側円周セグメントを通っている、排除リング。
  5. 請求項4に記載の排除リングであって、
    7~14個の流路が、前記3つの耳部の各々の間の前記外側円周セグメントを通して形成される、排除リング。
  6. 請求項3に記載の排除リングであって、
    前記3つの耳部の各々に近接する前記流路は、前記3つの耳部のいずれにも近接しない前記流路よりも大きいサイズである、排除リング。
  7. 請求項3に記載の排除リングであって、
    前記内側円周セグメントは、中心軸に関して軸対称である最内エッジを有し、
    前記中心軸に垂直であり、前記内側円周セグメントの前記底面と前記外側円周セグメントの前記底面との間に介在する第1の基準面における前記流路の総断面積は、前記排除リングの外側周囲と前記1つまたは複数の移行面に外接する基準円との間に画定される総リング底面面積の約16%~約20%の範囲である、
    排除リング。
  8. 請求項7に記載の排除リングであって、
    前記第1の基準面における前記流路の前記総断面積は、前記総リング底面面積の約23%~約28%の範囲である、排除リング。
  9. 請求項7に記載の排除リングであって、
    前記第1の基準面における前記流路の前記総断面積は、前記総リング底面面積の約35%~約43%の範囲である、排除リング。
  10. 請求項1から9のいずれか一項に記載の排除リングであって、
    前記流路の各々は、a)前記外側円周セグメントの前記底面におけるチャネル、およびb)前記外側円周セグメントを通る密閉通路からなる群から選択される、排除リング。
  11. 排除リングであって、
    内側円周部と、
    前記内側円周部と一体の外側円周部と
    を備え、
    前記外側円周部は、前記内側円周部の第2の厚さよりも大きい第1の厚さを有し、前記外側円周部の底面は、プラズマ処理ツールに設置されたときに台座の上に載置されるように構成され、
    前記内側円周部は、前記外側円周部の前記底面が前記プラズマ処理ツールの前記台座上に載っているときに前記台座から間隔を置いて配置されるように構成され、それによって前記台座と前記排除リングとの間にポケットを画定し、存在する場合、ウエハのエッジが前記内側円周部の一部と前記台座との間に配置されることを可能にし、
    前記外側円周部は、複数の流路を含み、各流路は、前記外側円周部の前記底面と前記内側円周部の底面との間にまたがる1つまたは複数の移行面から、前記外側円周部を通って、前記排除リングの外側周囲に延びて前記ポケットからのウエハエッジガスの排気を可能にする、
    排除リング。
  12. 請求項11に記載の排除リングはさらに、
    複数の耳部と、前記耳部の各々は、前記排除リングの前記外側円周部から延び、
    複数のフィンガと、前記フィンガの各々は、前記複数の耳部のそれぞれに取り付けられること
    を備える、排除リング。
  13. 請求項12に記載の排除リングであって、
    前記複数の耳部は、3つの耳部を含み、
    前記3つの耳部は、前記排除リングの前記外側円周部の周りに実質的に等間隔に配置され、
    前記複数の流路は、前記3つの耳部の各々の間に多数の流路を含む、
    排除リング。
  14. 請求項13に記載の排除リングであって、
    前記3つの耳部の各々に近接する前記流路は、前記3つの耳部のいずれにも近接しない前記流路よりも大きいサイズである、排除リング。
  15. 請求項13に記載の排除リングであって、
    前記複数の流路は、前記ウエハエッジガスの約10%~約30%を前記ポケットから前記プラズマ処理ツールのチャンバ壁に向かって排気するように構成され、それにより前記ウエハエッジガスの残りは、前記ウエハが前記ポケット内に存在し、前記ウエハエッジガスが流れているときに前記ウエハの前記エッジに向かって誘導される、排除リング。
  16. 請求項13に記載の排除リングであって、
    前記複数の流路は、前記ウエハエッジガスの約40%~約60%を前記ポケットから前記プラズマ処理ツールのチャンバ壁に向かって排気するように構成され、それにより前記ウエハエッジガスの残りは、前記ウエハが前記ポケット内に存在し、前記ウエハエッジガスが流れているときに前記ウエハの前記エッジに向かって誘導される、排除リング。
  17. 請求項13に記載の排除リングであって、
    前記複数の流路は、前記ウエハエッジガスの約70%~約90%を前記ポケットから前記プラズマ処理ツールのチャンバ壁に向かって排気するように構成され、それにより前記ウエハエッジガスの残りは、前記ウエハが前記ポケット内に存在し、前記ウエハエッジガスが流れているときに前記ウエハの前記エッジに向かって誘導される、排除リング。
  18. 請求項11から17のいずれか一項に記載の排除リングであって、
    前記流路の各々は、a)前記外側円周部の前記底面におけるチャネル、およびb)前記外側円周部を通る密閉通路からなる群から選択される、排除リング。
  19. プラズマ処理ツール内でウエハを処理する方法であって、
    排除リングの外側円周部がチャンバの台座上に着座し、前記排除リングの内側円周部が前記台座から間隔を置いて配置され、ウエハが前記内側円周部の一部の下に配置されているそのエッジを有するポケットを画定するように、前記排除リングを位置決めし、
    ウエハエッジガスの一部が前記ウエハに向かって誘導されるように、前記ウエハのプラズマ処理中に前記ウエハエッジガスを前記ポケットに供給し、
    前記排除リングの前記外側円周部を通って延びる複数の流路を通して前記ウエハエッジガスの一部を前記ポケットから前記チャンバに向かって排気すること
    を備える、方法。
  20. 請求項19に記載の方法であって、
    前記複数の流路は、ある量のウエハエッジガスを前記ポケットから前記チャンバに向かって排気するように構成され、前記ウエハエッジガスの残りの部分は、前記ウエハに向かって誘導され、前記量は、前記ウエハエッジガスの約10%~約30%、前記ウエハエッジガスの約40%~60%、および前記ウエハエッジガスの約70%~約90%からなる群から選択される、方法。
JP2022542659A 2020-01-17 2021-01-13 ウエハエッジガスを排気するための流路を有する排除リング Pending JP2023510550A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062962875P 2020-01-17 2020-01-17
US62/962,875 2020-01-17
PCT/US2021/013327 WO2021146352A1 (en) 2020-01-17 2021-01-13 Exclusion ring with flow paths for exhausting wafer edge gas

Publications (1)

Publication Number Publication Date
JP2023510550A true JP2023510550A (ja) 2023-03-14

Family

ID=76864216

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022542659A Pending JP2023510550A (ja) 2020-01-17 2021-01-13 ウエハエッジガスを排気するための流路を有する排除リング

Country Status (6)

Country Link
US (1) US20230040885A1 (ja)
JP (1) JP2023510550A (ja)
KR (1) KR20220129045A (ja)
CN (1) CN114945707A (ja)
TW (1) TW202146694A (ja)
WO (1) WO2021146352A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116288281A (zh) * 2020-02-11 2023-06-23 朗姆研究公司 用于控制晶片晶边/边缘上的沉积的承载环设计
USD997894S1 (en) * 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift assembly
USD997893S1 (en) * 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift plate
WO2024010887A1 (en) * 2022-07-08 2024-01-11 Lam Research Corporation Improved pedestals for substrate processing systems

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
KR20040094240A (ko) * 2003-05-02 2004-11-09 삼성전자주식회사 개선된 포커스 링이 구비된 반도체 제조설비
CN201075384Y (zh) * 2007-08-15 2008-06-18 陈汉阳 热处理用晶圆支持器
WO2011082020A2 (en) * 2009-12-31 2011-07-07 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
US9236284B2 (en) * 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation

Also Published As

Publication number Publication date
TW202146694A (zh) 2021-12-16
US20230040885A1 (en) 2023-02-09
WO2021146352A1 (en) 2021-07-22
CN114945707A (zh) 2022-08-26
KR20220129045A (ko) 2022-09-22

Similar Documents

Publication Publication Date Title
JP2023510550A (ja) ウエハエッジガスを排気するための流路を有する排除リング
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US20200227304A1 (en) Planar substrate edge contact with open volume equalization pathways and side containment
TWI517390B (zh) 具增強的遊離及rf功率耦合的低電阻率鎢pvd
US10262887B2 (en) Pin lifter assembly with small gap
KR20210063478A (ko) 이동가능한 에지 링 설계들
JP2016102242A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2024511195A (ja) 適用体積内へのボトムパージの侵入を防止し、ヒータ下のガス拡散を処理するハードウェア
JP2023513154A (ja) ウエハベベル/エッジ上の堆積を制御するためのキャリアリング設計
JP2024056884A (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
KR20210062094A (ko) 베벨 에칭기 (bevel etcher) 를 위한 하부 플라즈마 배제 존 링
KR20230093284A (ko) 프로세싱 챔버 증착 한정
JP2023533858A (ja) マルチステージポンピングライナ
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
US20220162749A1 (en) Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
WO2023182031A1 (ja) 基板処理装置、および基板処理方法
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
CN116157909A (zh) 用于低倾角沟槽蚀刻的薄遮蔽环
WO2023092135A1 (en) Edge rings for improved edge uniformity in semiconductor processing operations
WO2024076479A1 (en) Adjustable pedestal
WO2024076480A1 (en) Annular pumping for chamber
JP2023143608A (ja) 基板処理装置、および基板処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240110