CN114945707A - 具有用于排放晶片边缘气体的流动路径的排除环 - Google Patents

具有用于排放晶片边缘气体的流动路径的排除环 Download PDF

Info

Publication number
CN114945707A
CN114945707A CN202180009628.6A CN202180009628A CN114945707A CN 114945707 A CN114945707 A CN 114945707A CN 202180009628 A CN202180009628 A CN 202180009628A CN 114945707 A CN114945707 A CN 114945707A
Authority
CN
China
Prior art keywords
ring
wafer
ears
peripheral portion
exclusion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180009628.6A
Other languages
English (en)
Inventor
维纳亚卡拉迪·古拉巴尔
埃里克·H·伦茨
拉维·韦兰基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114945707A publication Critical patent/CN114945707A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

一种用于半导体晶片处理的排除环包含具有第一厚度的外周段和具有第二厚度的内周段,所述第一厚度大于所述第二厚度。内周段的顶表面和外周段的顶表面限定排除环的共同顶表面。多个流动路径被形成于外周段内,所述流动路径中的每一者在外周段的底表面处径向延伸通过外周段。所述多个流动路径中的每一个提供晶片边缘气体从其中使晶片其边缘设置在内周部的一部分下方的袋部的排放。晶片边缘气体从袋部的排放在翘曲晶片被处理时预防排除环的上下运动。

Description

具有用于排放晶片边缘气体的流动路径的排除环
相关引用
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
在半导体制造中,利用沉积处理产生介电(绝缘性)和金属(传导性)材料的层。举例而言,化学气相沉积(CVD)和原子层沉积(ALD)用于沉积例如钨的金属,以形成传导性特征部,例如芯片上的触点、通孔和柱塞。
在一些半导体制造处理中,可使用与半导体晶片的外部边缘重叠的排除环来使可能在如此处理期间出现的边缘不均匀性减少或减至最少。
发明内容
本说明书中所述主题的一或更多实施方案的细节在附图和以下说明中提出。其他特征、方面和优点将根据说明、附图和权利要求变得显而易见。
在一些实施方案中,提供了一种用于处理半导体晶片的排除环,所述排除环包含外周段,其具有顶表面和底表面,其中所述外周段的顶表面与所述外周段的底表面之间的距离限定所述排除环的第一厚度。所述排除环还可以包含内周段,其具有顶表面和底表面;以及一个或更多个过渡表面,其横跨在所述外周段的底表面与所述内周段的底表面之间。所述内周段的顶表面与所述内周段的底表面之间的距离可以限定所述排除环的第二厚度。所述排除环的所述第一厚度可以大于所述排除环的所述第二厚度;且多个流动路径可以形成于所述外周段内。所述多个流动路径中的每个流动路径可以从所述一或更多过渡表面延伸、穿过所述排除环的所述外周段、且到达所述排除环的外周,且所述流动路径可以沿着所述排除环的所述外周段的周缘彼此分隔开。
在一些实施方案中,所述排除环还可以包含多个耳部。所述耳部中的每一个可以从所述排除环的所述外周段延伸,且可以具有顶表面和底表面。所述排除环还可以具有多个指部;所述指部中的每一个可以附接至所述耳部中的相应的一个。
在一些实施方案中,所述多个耳部可以包含围绕所述排除环的所述外周段基本上均匀分隔开的三个耳部。所述多个流动路径可以包含所述三个耳部中的每一个之间的一定数量(例如三个至十六个)的流动路径。
在一些实施方案中,相同数量的流动路径可以穿过所述三个耳部中的每一个之间的所述外周段形成。
在还有的一些这样的实施方案中,可存在穿过所述三个耳部中的每一个之间的所述外周段形成的七个至十四个流动路径。
在一些实施方案中,邻近所述三个耳部中的每一个的流动路径的尺寸可以被设定为大于不邻近所述三个耳部中的任一个的流动路径。
在一些实施方案中,所述内周段可以具有围绕中心轴线呈轴对称的最内边缘,且第一参考平面中的所述多个流动路径的总横截面积可以在总环底表面面积的从约16%至约20%的范围内,所述第一参考平面垂直于所述中心轴线且介于所述内周段的底表面与所述外周段的底表面之间,所述总环底表面面积被限定在所述排除环的所述外周与内接所述一或更多过渡表面的参考圆之间。
在一些实施方案中,所述第一参考平面中的所述流动路径的所述总横截面积可以在所述总环底表面面积的从约23%至约28%的范围内。
在一些实施方案中,所述第一参考平面中的所述流动路径的所述总横截面积可以在所述总环底表面面积的从约35%至约43%的范围内。
在一些实施方案中,所述流动路径中的每一个可以是所述外周段的底表面中的通道或者穿过所述外周段的封闭式通道。
在一些实施方案中,可以提供一种排除环,其包含:内周部;和外周部,其与所述内周部整合。所述外周部可具有第一厚度,所述第一厚度大于所述内周部的第二厚度,并且当安装于等离子体处理工具中时,所述外周部的底表面可以被配置成设置在基座上方。当所述外周部的底表面设置在所述等离子体处理工具的所述基座上方时,所述内周部可以被配置成与所述等离子体处理工具的所述基座分隔,由此限定所述基座与所述排除环之间的袋部,当晶片存在时,所述袋部容许所述晶片的边缘设置在所述内周部的一部分与所述基座之间。所述外周部可以包含多个流动路径,各流动路径从横跨在所述外周部的所述底表面与所述内周部的底表面之间的一个或更多个过渡表面延伸、穿过所述外周部、并且到达所述排除环的外周,以提供晶片边缘气体从所述袋部的排放。
在一些实施方案中,所述排除环还可以包含:多个耳部,其中所述耳部中的每一个从所述排除环的所述外周部延伸;以及多个指部,其中所述指部中的每一个附接至所述多个耳部中的相应的一个。
在一些此类实施方案中,所述多个耳部可以包含三个耳部,所述三个耳部可以围绕所述排除环的所述外周部基本上均匀分隔开,且所述多个流动路径可以包含所述三个耳部中的每一个之间的一定数量的流动路径。
在一些实施方案中,邻近所述三个耳部中的每一个的流动路径的尺寸可以被设定为大于不邻近所述三个耳部中的任一个的流动路径。
在一些实施方案中,所述多个流动路径可以被配置成当所述晶片存在于所述袋部中且所述晶片边缘气体正在流动时,从所述袋部朝所述等离子体处理工具的室壁排放约10%至约30%的所述晶片边缘气体,使得所述晶片边缘气体的其余部分被导向所述晶片的所述边缘。
在一些实施方案中,所述多个流动路径可以被配置成当所述晶片存在于所述袋部中且所述晶片边缘气体正在流动时,从所述袋部朝所述等离子体处理工具的室壁排放约40%至约60%的所述晶片边缘气体,使得所述晶片边缘气体的其余部分被导向所述晶片的所述边缘。
在一些实施方案中,所述多个流动路径可以被配置成当所述晶片存在于所述袋部中且所述晶片边缘气体正在流动时,从所述袋部朝所述等离子体处理工具的室壁排放约70%至约90%的所述晶片边缘气体,使得所述晶片边缘气体的其余部分被导向所述晶片的所述边缘。
在一些实施方案中,所述流动路径中的每一个可以是所述外周部的底表面中的通道或者穿过所述外周部的封闭式通道。
在一些实施方案中,可以提供一种在等离子体处理工具中处理晶片的方法。该方法可以包含:定位排除环,使得所述排除环的外周部位于室的基座上方,且所述排除环的内周部与所述基座分隔开以限定其中使晶片其边缘设置在所述内周部的一部分下方的袋部;在所述晶片的等离子体处理期间,供给晶片边缘气体至所述袋部中,使得所述晶片边缘气体的一部分被导向所述晶片;以及经由延伸穿过所述排除环的所述外周部的多个流动路径,从所述袋部朝所述室排放所述晶片边缘气体的一部分。
在一些实施方案中,所述多个流动路径可以被配置成从所述袋部朝所述室排放一定量的晶片边缘气体,而所述晶片边缘气体的其余部分被导向所述晶片。所述晶片边缘气体的量可以是所述晶片边缘气体的约10%至约30%、所述晶片边缘气体的约40%至约60%、或者所述晶片边缘气体的约70%至约90%。
在示例性实施方案中,排除环可包含具有顶表面和底表面的外周段,外周段的顶表面与底表面之间的距离限定排除环的第一厚度。所述排除环还可以包含具有顶表面和底表面的内周段,内周段的顶表面和外周段的顶表面限定排除环的共同顶表面。内周段的顶表面与底表面之间的距离可限定排除环的第二厚度,而排除环的第一厚度大于排除环的第二厚度。排除环还可以包含形成于外周段内的多个槽孔,所述多个槽孔中的每一者在外周段的底表面处径向延伸通过排除环的外周段。所述多个槽孔可沿着排除环的外周段的周缘隔开。
在一实施方案中,排除环还可以包含多个耳部和多个指部。所述耳部中的每一个可从排除环的外周段延伸,且可具有顶表面和底表面。所述指部中的每一个可附接至所述多个耳部中的相应的一个。在一实施方案中,所述多个耳部可包含三个耳部,且所述三个耳部可围绕排除环的外周段基本上均匀分隔开。在一实施方案中,多个槽孔可包含所述三个耳部中的每一个之间的一定数量的槽孔,而所述数量的槽孔在三个至十六个的范围内。
在一实施方案中,相同数量的槽孔可沿着三个耳部中的每一个之间的外周段的底表面而形成。在一实施方案中,可沿着三个耳部中的每一个之间的外周段的底表面形成七个至十四个槽孔。在一实施方案中,邻近三个耳部中的一者的槽孔可具有比非邻近槽孔的尺寸更大的尺寸。
在一实施方案中,总环底表面面积可包含由三个耳部中的每一个的底表面所限定的面积、加上由外周段在多个槽孔形成后留下的底表面所限定的面积、加上外周段已被移除以形成多个槽孔的底表面的面积。在一实施方案中,外周段已被移除以形成多个槽孔的底表面的面积可在总环底表面面积的约16%至约20%的范围内。在另一实施方案中,外周段已被移除以形成多个槽孔的底表面的面积可在总环底表面面积的约23%至约28%的范围内。在又一实施方案中,外周段已被移除以形成多个槽孔的底表面的面积可在总环底表面面积的约35%至约43%的范围内。
在另一示例性实施方案中,排除环可包含内周部和与内周部整合的外周部。外周部可具有大于内周部的第二厚度的第一厚度。当安装于等离子体处理工具中时,外周部的底表面可配置成设于基座上方,且内周部可配置成与基座分隔以限定袋部,当晶片存在时,使其边缘在袋部中被设置在内周部的一部分下方。外周部的底表面可配置成具有径向延伸通过外周部的多个槽孔,使得所述多个槽孔中的每一个形成提供使晶片边缘气体从袋部的排放的气体流动路径。
在一实施方案中,排除环还可以包含多个耳部和多个指部。所述耳部中的每一个可从排除环的外周段延伸,且可具有顶表面和底表面。所述指部中的每一个可附接至所述多个耳部中的对应的一个。在一实施方案中,所述多个耳部可包含三个耳部,且所述三个耳部可围绕排除环的外周段基本上均匀分隔开。在一实施方案中,多个槽孔可以包含所述三个耳部中的每一个之间的一定数量的槽孔。在一实施方案中,邻近三个耳部中的一个的槽孔可具有比非邻近槽孔的尺寸更大的尺寸。
在一实施方案中,多个槽孔可被配置成从袋部朝等离子体处理工具的室的壁部排放约10%至约30%的晶片边缘气体,使得当晶片存在于等离子体处理工具中时,晶片边缘气体中的其余部分被导向晶片。在一实施方案中,多个槽孔可被配置成从袋部朝等离子体处理工具的室的壁部排放约40%至约60%的晶片边缘气体,使得当晶片存在于等离子体处理工具中时,晶片边缘气体中的其余部分被导向晶片。在一实施方案中,多个槽孔可配置成从袋部朝等离子体处理工具的室的壁部排放约70%至约90%的晶片边缘气体,使得当晶片存在于等离子体处理工具中时,晶片边缘气体中的其余部分被导向晶片。
在又一实施方案中,可提供在等离子体处理工具中处理晶片的方法,其包含将排除环定位在室的基座上方。在一实施方案中,排除环可定位成使得排除环的外周部设置于室的基座上方,且排除环的内周部与基座分隔以限定其中使晶片其边缘设置在内周部的一部分下方的袋部。所述方法还可包含在晶片的等离子体处理期间供给晶片边缘气体至袋部中,使得晶片边缘气体的一部分被导向晶片。在一实施方案中,可经由形成于基座中的边缘气体沟将晶片边缘气体馈送至袋部中。所述方法还可以包含经由延伸通过排除环的外周部的多个槽孔,从袋部朝室排放一部分的晶片边缘气体。
在一实施方案中,多个槽孔可配置成从袋部朝室的壁部排放约10%至约30%的晶片边缘气体,而晶片边缘气体中的其余部分被导向晶片。在一实施方案中,多个槽孔可配置成从袋部朝室的壁部排放约40%至约60%的晶片边缘气体,而晶片边缘气体中的其余部分被导向晶片。在一实施方案中,多个槽孔可配置成从袋部朝室的壁部排放约70%至约90%的晶片边缘气体,而晶片边缘气体中的其余部分被导向晶片。
此处公开内容的其他方面和优点将由以下详细说明并结合随附图而变得显而易见,所述附图通过公开内容的原理的示例而说明。
附图说明
图1为显示了可用于处理晶片的示例性衬底处理系统的简化示意图。
图2A-2C为显示翘曲晶片的处理中观测到的问题的简化示意图。
图3为显示根据一实施方案的示例性排除环的简化示意图,该排除环具有形成于排除环的外部中的槽孔。
图4为根据一实施方案的示例性排除环的简化剖面图,该排除环具有形成于排除环的外部中的槽孔。
图5A为根据一实施方案的示例性排除环的俯视图,该排除环具有形成于其外周部内的多个槽孔。
图5B为根据一实施方案的示例性排除环的仰视图,该排除环具有形成于其外周部内的多个槽孔。
图6为根据示例性实施方案的示例性排除环的仰视图,其显示了如何判定总环底表面面积。
图7a为根据一实施方案的形成于示例性排除环的外周部内的槽孔的简化局部前视图。
图7b为形成于另一示例性排除环的外周部内的封闭式通道的简化局部前视图。
图8A-8D显示了根据一实施方案,示例性排除环在多站等离子体处理工具中的使用。
图8E描绘了示例性排除环的底侧的立体图。
图9为根据一实施方案,显示示例性排除环的额外细节的简化剖面图,该排除环具有形成于排除环的外部分中的槽孔。
具体实施方式
在以下的描述内容中,提出许多具体细节,以提供对于示例性实施方案的透彻理解。然而,对于本领域技术人员而言,显而易见,示例性实施方案可在不具有这些具体细节中的一些的情况下实施。在其他情形中,若处理操作和实施细节是公知的,不再详细描述。
在翘曲晶片的处理中,晶片边缘可能接触排除环,且可能在晶片边缘气体开始流动时导致排除环上下振动。排除环与晶片之间的晶片边缘气体的气体流受到晶片边缘与排除环之间的接触处阻碍。这导致晶片边缘气体累积在晶片周围的袋部内,该袋部被限定在支撑排除环的基座、排除环、与翘曲晶片之间。累积的晶片边缘气体最终到达足够的压力,而晶片边缘气体中的一部分可能周期性地径向朝外流过基座接触排除环的区域,以减轻压力。这具有致使排除环(且可能致使晶片)上下振动的效果。排除环在处理期间的如此上下运动是有问题的,因为其导致不期望的斜角和背侧沉积、以及潜在性的非期望微粒生成。本发明的实施方案提供具有多个流动路径(例如采取多个槽孔形式)的排除环,这些流动路径使在晶片边缘处流动的气体(例如晶片边缘气体)朝外远离晶片中心泄漏。在翘曲晶片的处理期间,当晶片边缘气体开始流动时,晶片边缘气体不导致具有底切的边缘环上下振动,因为气体中的一些经由流动路径朝外泄漏,由此避免上述的问题。就此而言,在翘曲晶片的处理期间避免不期望的斜角和背侧沉积。
图1为显示可用于处理晶片101的衬底处理系统100的简化示意图。该系统可包含室102,该室102可包含上室体和下室体,该下室体利用一或更多个室壁至少部分包围一定容积。中心柱111可配置成支撑基座110,在一实施方案中,基座110可以是被供电的电极。基座110可经由匹配网络106电耦合至射频(RF)电源104。RF电源可由控制器108控制,该控制器108可配置成通过执行处理输入和控制112指令而操作衬底处理系统100。处理输入和控制可包含限定处理配方的信息或指令,例如功率电平、时序参数、处理气体、晶片101的机械运动等,以通过原子层沉积(ALD)法或等离子体增强化学气相沉积(PECVD)法在晶片101上沉积或形成膜(或在基于蚀刻的系统中从晶片移除或蚀刻材料)。
衬底处理系统100还可以包含可连接至处理气体源116(例如从设施供给的气体化学品)的气体供给歧管114。取决于所执行的处理,控制器108可控制处理气体经由气体供给歧管114的输送。接着,选定的气体可流入喷头120中并分配于限定在喷头120与晶片101之间且设置在基座110上方的空间容积中。可采用适当的阀和质量流量控制机构,以确保处理的沉积和等离子体处理阶段期间输送适当的气体。处理气体可经由出口离开室102。真空泵可经由出口将处理气体抽出室102,并维持室内的适当低压以供处理。
图1中还显示了可围绕放置在基座110上的晶片的外部区域的排除环122'。排除环122'可用于在处理期间预防晶片101的边缘斜角和晶片101的背侧上的沉积,其将在以下更详细说明。基座110还可以包含边缘气体沟110a,该边缘气体沟110a可配置成围绕设于基座110上方的晶片101的外周。边缘气体沟110a可与边缘气体源124流动连通,该边缘气体源124通常可为例如氩(Ar)之类的惰性气体的来源。在处理期间,边缘气体可流经边缘气体沟110a进入限定于排除环122'与基座110之间的空间,其将在以下更详细说明。
图2A-2C为显示已在翘曲晶片的处理中观测到的问题的简化示意图。在其中存储器单元竖直堆叠在多个层中的3D NAND设备的制造中,相对于2D/平面设备而增加的竖直结构的存在情形可能在晶片上产生更多应力。该增加的应力可能导致晶片在处理期间翘曲或“呈碟形”(变得稍微呈凹形)。在一些情形中,翘曲程度可在相对于晶片中心0.25毫米至0.75毫米的范围内。就此而言,当翘曲晶片搁置于基座上时,在沿着晶片边缘的至少一些点处,可能比晶片中心高0.25毫米至0.75毫米。
如图2A中所示,在翘曲晶片被处理时,晶片101的边缘可能接触排除环122'。当晶片边缘气体开始流动(如箭头所指示)时,晶片101的边缘和排除环122'可能产生将晶片边缘气体滞留在袋部P的密封,该袋部P是由晶片101、基座110和排除环122'所界定的区域。随着晶片边缘气体持续流入袋部P中,袋部P内的气体压力可能蓄积至足以从基座110的表面抬起排除环122'和晶片101的压力,如图2B所示。排除环122'和晶片101从基座110的表面抬起可能产生排除环122与基座110的表面之间的间隙,被滞留的晶片边缘气体可流动通过该间隙。当晶片边缘气体流出袋部P通过如此形成的间隙时,可能减少排除环122'和晶片101上的向上力,且排除环122'和晶片101可能降回其原本的位置,如图2C所示。一旦回到其原本位置,晶片101的边缘和排除环122'可能再次产生密封,且因此导致重复图2B所示的抬起过程。因此,在翘曲晶片的处理期间,该行为可能导致排除环122'以快速方式相对基座110的表面上下运动。该上下运动可能是有问题的,因为其不仅造成晶片处理上的问题,且还导致晶片的斜角和背侧上的不期望沉积。
图3为根据一实施方案显示排除环的简化示意图,该排除环具有形成于排除环的外部中的流动路径(例如槽孔)。如图3所示,排除环122可包含形成于排除环122的外周部(或外周段)122b内的槽孔132。槽孔132可配置成容许已蓄积在袋部P中的晶片边缘气体流出袋部P,并如指向图3右边的箭头所指示的,经由槽孔132进入衬底处理系统的室中(例如向外朝着室壁),该袋部P为由晶片101、基座110和排除环122的内周部(或内周段)122a所界定的区域。因为晶片边缘气体可流(或漏)出袋部P,所以袋部P内的晶片边缘气体压力可不蓄积至足以如图2B所示抬起排除环122和晶片101的点。因此,可预防发生以上相关于图2A-2C所述的排除环和晶片的上下运动,且避免与其关联的多种问题(例如晶片的斜角和背侧的不期望沉积)。
图4为根据一实施方案显示排除环的简化剖面图,该排除环具有形成于排除环的外部中的流动路径(例如槽孔)。如图4所示,排除环122包含内周部(或内周段)122a和外周部(或外周段)122b。内周部122a具有顶表面122a-1和底表面122a-2。另外,内周部122a具有厚度T2,其为顶表面122a-1与底表面122a-2之间的距离。外周部122b具有顶表面122b-1和底表面122b-2。另外,外周部122b具有厚度T1,其为顶表面122b-1与底表面122b-2之间的距离。内周部122a的顶表面122a-1和外周部122b的顶表面122b-1可限定排除环122的共同顶表面;排除环122的共同顶表面可如图所示为平面,或者可具有阶梯的特征或以其他方式呈现轮廓,例如具有些微曲线。此外,外周部122b的厚度T1可大于内周部122a的厚度T2。因此,当外周部122b的底表面122b-2搁置在基座上时,可在内周部122a的底表面122a-2与基座之间限定一间隙,而该间隙具有足以容纳设置在基座上的晶片的边缘的高度以供处理。换言之,底表面122a-2和122b-2可沿着垂直于底表面的轴线彼此偏移一非零距离,以形成提供袋部的空间。槽孔132可延伸通过外周部122b,并由此形成至少从排除环122的中间周缘133到排除环122的外周缘135的气体流动路径,该流动路径是供晶片边缘气体从限定于内周部122a与基座之间的袋部排出。中间周缘133可大致由与外周部122b的底表面122b-2的最内边缘或多个最内边缘同径向、或内接最内边缘或多个最内边缘的参考圆所限定。过渡表面或多个过渡表面也可横跨在底表面122a-2与122b-2之间,且在许多实施方案中可为圆柱形或同径向弓形表面,但在一些其他实施方案中也可以为锥状或同径向弓形锥状表面(例如见图9)。虽然并非在所有情形中都这样,但在许多情形中,一个过渡表面或多个过渡表面可以与底表面122a-2和122b-2中的一或两者相交。在其中一个过渡表面或多个过渡表面直接与底表面122b-2相交的情形中,所产生的相交可大体上限定中间周缘133。在其中一个过渡表面或多个过渡表面例如利用融合或圆化边缘平顺地过渡至底表面122b-2的情形中,中间周缘133可大体上内接于底表面122b-2在过渡至一个过渡表面或多个过渡表面之前开始成为非平面的最内点。外周缘可大体上由排除环的最外周所限定,且在许多实施方案中为圆形,但其也可以在一些位置偏离圆形轮廓,例如在设置耳部的位置(如后续所讨论的)。类似地,排除环122也可以具有内周缘131,该内周缘131的尺寸被定制成略小于排除环122被设计成与其一起使用的晶片。举例而言,内周缘131可由排除环122的最内表面或多个最内表面所限定。
图5A为根据一实施方案的示例性排除环的俯视图,该排除环具有形成于其外周部内的多个槽孔。如图5A所示,外周部122b的顶表面122b-1和内周部122a的顶表面122a-1可限定排除环122的共同顶表面。过渡区域122x可设置在排除环122的内周,以使处理期间的处理气体流动的破坏减至最小。关于过渡区域122x的额外细节在下文参照图9而提出。多个耳部122e可从外周部122b延伸,耳部中的每一者具有顶表面122e-1和底表面122e-2(见图5B)。如图5A中所示,耳部122e中的每一者可包含成对的孔130,孔可用于将指部附接于耳部122e。关于指部的额外细节在下文参照图8A-8D而提出。在一实施方案中,孔130具有螺纹,使得螺丝(或其他合适的带螺纹机械紧固件)可用于将指部附接至耳部122e中的每一者,如下文将更详细描述的。
排除环122可由任何合适材料形成,只要该材料适合在等离子体处理工具内使用而不引入不期望的污染即可,例如相对处理室中使用的处理气体和等离子体为化学惰性。在一实施方案中,排除环可由氧化铝(Al2O3)形成。在一实施方案中,氧化铝可具有至少99%的纯度。在另一实施方案中,氧化铝可具有至少99.9%的纯度。应理解,此处讨论的排除环可利用任何合适的制造技术来制造,包含其中材料从较大材料件移除的减材技术和其中排除环逐渐建构(例如从粒状或液体材料)的增材技术。有鉴于此,应理解也意图在使用增材制造技术制作排除环的情形中,将对于“被移除”材料等等的参照涵盖其补充例,即“省略”材料等等。因此,对于参照的“材料移除”可视为相当于“材料省略”。
在图5A所示的示例性实施方案中,排除环122包含三个耳部122e,且该三个耳部122e围绕排除环122的外周部122b基本上均匀地间隔开。在一实施方案中,耳部122e的相应中心线可围绕排除环122的外周部122b以约120度的间隔隔开。当在此使用时,用语“约”和“大约”意指指定的参数可在合理容限内变动,例如±10%。本领域技术人员应理解,耳部的数目以及耳部围绕排除环的间隔可以变动,以符合特定应用的需求。
图5B是根据一实施方案的示例性排除环的仰视图,该排除环具有形成于其外周部内的多个流动路径(例如槽孔)。如图5B所示,排除环122的内周部122a具有底表面122a-2(大致位于中间周缘133(或中间周缘133内)和内周缘131之间),且耳部122e中的每一者具有底表面122e-2。外周部122b具有底表面122b-2(大致位于中间周缘133外部);然而,底表面122b-2在该示例中并非连续表面,因为该表面被存在于外周部122b内的多个槽孔132所中断以形成上述流动路径。多个槽孔132中的槽孔可沿着外周部122b的周缘隔开。另外,多个槽孔132可包含槽孔132a,其邻近耳部122e的槽孔。在一实施方案中,位于耳部122a旁边的槽孔132a(邻近槽孔)的尺寸(例如宽度)可大于未邻近于耳部122e的槽孔132(非邻近槽孔)的尺寸。邻近槽孔132a相对于非邻近槽孔132的增大尺寸可容许更多来自袋部的晶片边缘气体流经邻近槽孔132a,以相对于由外周部122b在非邻近槽孔132之间或邻近槽孔132a中的一者与非邻近槽孔132中的一者之间的区段所占据的空间,补偿耳部122e所占据的较大空间量。在一示例性实施方案中,非邻近槽孔132的宽度可以是约9mm,其可对应于针对300mm直径晶片定制尺寸的排除环的大约3度的弧,且邻近槽孔132a的宽度可为大约20mm,其可类似地对应于大约6.5度的弧。
如图5B的示例性实施方案所示的,排除环122在耳部122e中的每一者之间可包含共七个槽孔。各组这样的七个槽孔可包含五个非邻近槽孔132和两个邻近槽孔132a。因此,总共二十一个槽孔可沿着排除环122的外周部122b分隔开,其中的十五个槽孔为非邻近槽孔132,且六个槽孔为邻近槽孔132a。本领域技术人员应理解,槽孔数目以及槽孔尺寸可相对于图5B所示的变动,以符合特定应用的需求。举例而言,在其他实施方案中,排除环122可在耳部122e中的每一者之间包含三到十六个槽孔。在一实施方案中,排除环122在耳部122e中的每一者之间可包含共五个槽孔,该五个槽孔中的三个槽孔为非邻近槽孔132,且该五个槽孔中的两个槽孔为邻近槽孔132a。在另一实施方案中,排除环122可在耳部122e中的每一者之间包含共九个槽孔,该九个槽孔中的七个槽孔为非邻近槽孔132,且该九个槽孔中的两个槽孔为邻近槽孔132a。在又一实施方案中,排除环122在耳部122e中的每一者之间可包含共十四个槽孔,该十四个槽孔中的十二个槽孔为非邻近槽孔132,且该十四个槽孔中的两个槽孔为邻近槽孔132a。
在一示例性实施方案中,可包含非邻近槽孔132和邻近槽孔132a的多个槽孔可配置成满足以下两个条件:1)从袋部排出足够的晶片边缘气体以消除排除环(和晶片)在处理期间的任何上下运动;和2)提供足够的流动限制以确保充足的晶片边缘气体留在袋部中,以避免处理期间不期望的沉积发生在晶片的斜角和背侧上。可能需要从袋部排出以满足该两条件的晶片边缘气体的量可根据处理条件而变化。举例而言,如果被处理的晶片具有相对高的翘曲程度,则可能期望从袋部排出较多晶片边缘气体。另一方面,如果被处理的晶片具有相对低的翘曲程度,则可能期望从袋部排出较少晶片边缘气体。在示例性实施方案中,以上提出的两个条件可通过控制导向被处理晶片的晶片边缘气体的量比从袋部朝等离子体处理工具的室排放的晶片边缘气体的量的比率而予以满足,如以下将更详加说明的。
在一实施方案中,可导向被处理晶片的晶片边缘气体量比从袋部朝等离子体处理工具的室排放的晶片边缘气体量的比率可通过控制从排除环的外周部移除(或省略)以形成多个槽孔的相对材料量来控制。尤其是,可移除或省略以形成多个槽孔的外周部的底表面的面积可相对于总环底表面面积进行控制。图6为显示如何测定总环底表面面积的排除环122的仰视图。图6所示的“阴影线”区块包含a)三个耳部122e中的每一者的底表面122e-2和b)形成多个槽孔132后留下(或在槽孔132以外存在)的外周部122b的底表面122b-2。图6所示的“暗色”区段包含外周部122b的底表面122b-2已被移除或省略以形成多个槽孔132的部分。图6所示的“白色”(无阴影线)区段包含排除环122的内周部122a的底表面122a-2。如本文所用的,术语“总环底表面面积”为a)由耳部122e中的每一者的底表面122e-2限定的面积(这些面积为图6所示的“阴影线”面积的部分)、加上b)由形成多个槽孔132后留下(或在槽孔132以外存在)的外周部122b的底表面122b-2限定的面积(该面积为图6所示的“阴影线”面积的部分)、加上c)已从外周部122b移除以形成多个槽孔132(或反之由槽孔132所界定)的底表面122b-2的面积(图6所示的“暗色”面积)。因此,图6所示的包含排除环122的内周部122a的底表面122a-2的“白色”(无阴影线)面积并非总环底表面面积的部分。换言之,总环底表面面积为中间周缘133与外周缘135之间的面积。
在一示例性实施方案中,该示例中已被移除以形成多个槽孔132的外周部122b的底表面122b-2的面积可在总环底表面面积的约16%至约20%的范围内。以此配置,多个槽孔可从袋部朝其中使用排除环122的等离子体处理工具的室壁排放约10%至约30%的晶片边缘气体。当存在于等离子体处理工具中时,晶片边缘气体的剩余部分可导向晶片。在一实施方案中,可切除以形成多个槽孔的外周部的底表面的面积可为总环底表面面积的约18%。以此配置,约20%的晶片边缘气体可朝其中使用排除环122的室的壁部排放,且约80%的晶片边缘气体可导向晶片。
在另一示例性实施方案中,外周部122b的底表面122b-2的已被移除以形成多个槽孔132的面积可在总环底表面面积的约23%至约28%的范围内。以此配置,多个槽孔可从袋部朝其中使用排除环122的等离子体处理工具的室壁排放约40%至约60%的晶片边缘气体。当存在于等离子体处理工具中时,晶片边缘气体的剩余部分可朝内导向晶片。在一实施方案中,可切除以形成多个槽孔的外周部的底表面的面积可以是总环底表面面积的约25%。以此配置,约50%的晶片边缘气体可朝其中使用排除环122的室的壁部排放,且约50%的晶片边缘气体可朝内导向晶片。
在又一示例性实施方案中,外周部122b的底表面122b-2的可被移除以形成多个槽孔132的面积可在总环底表面面积的约35%至约43%的范围内。以此配置,多个槽孔可从袋部朝其中使用排除环122的等离子体处理工具的室壁排放约70%至约90%的晶片边缘气体。当存在于等离子体处理工具中时,晶片边缘气体的剩余部分可朝内导向晶片。在一实施方案中,可切除以形成多个槽孔的外周部的底表面的面积可为总环底表面面积的约39%。以此配置,约80%的晶片边缘气体可朝其中使用排除环122的室的壁部排放,且约20%的晶片边缘气体可朝内导向晶片。
在室中的晶片的处理期间,因为处理气体的存在,所以相比于室内并非类似地在晶片和排除环上方的其他位置,晶片和排除环上方的空间可为相对高压区域,且基座和排除环外部周围的空间可相应地为相对低压区域。因此,当晶片边缘气体的压力在袋部内蓄积时,晶片边缘气体可能倾向从袋部泄漏通过槽孔,因为通往排除环和基座外部的空间为相对低压区域。在使用具有如以上示例性实施方案所述进行配置的多个槽孔的排除环的晶片处理操作中,在高达2500sccm的晶片边缘气体流速下,翘曲晶片被处理而在晶片的斜角或背侧没有任何显著的沉积。鉴于在晶片的斜角或背侧没有任何显著沉积,据信在处理期间未发生排除环和晶片的上下运动,因为如此运动将不可避免地在晶片的斜角和/或背侧上造成不期望的沉积。因此,本文所述示例性实施方案的排除环中槽孔的配置满足上述两个条件,即1)从袋部排放足够的晶片边缘气体,以消除处理期间的任何排除环(和晶片)的上下运动;和2)提供足够的流动限制以确保充足的晶片边缘气体保留在袋部中,来预防处理期间不期望的沉积发生在晶片的斜角和背侧上。
图7a为根据一实施方案的形成于排除环的外周部内的槽孔的简化局部前视或侧视图。如图7a所示,形成于排除环122的外周部122b内的槽孔132可具有槽孔宽度Sw和槽孔高度Sh。在一实施方案中,槽孔宽度Sw可在约0.100英寸至约0.760英寸的范围内。在一实施方案中,槽孔高度Sh可在约0.010英寸至约0.040英寸的范围内。本领域技术人员应理解,槽孔高度和槽孔宽度可以变化以符合特定应用的需求。
图7b为根据另一实施方案的形成于排除环的外周部内的封闭式通道的简化局部前视或侧视图。如图7b中可见,封闭式通道132’也可具有宽度和高度,该宽度和高度可具有与以上相关图7a的槽孔宽度Sw和槽孔高度Sh所讨论的类似的尺寸。
应理解,如先前本文所讨论的,图7a和7b的示例性排除环中使用的槽孔132或封闭式通道132’大体上可表示可用于提供晶片边缘气体从袋部的排放以防止排除环抬升的流动路径。槽孔132可大致上更为容易制造,因为其可单纯被机加工或形成于排除环的下侧中,但应理解,也可使用具有同等或类似性能的且利用封闭式通道的排除环。此类排除环可能更加复杂且在制造上是昂贵的,例如利用增材制造或通过将不同部件扩散接合在一起,但仍可以类似方式运作。就此而言,此处对于“槽孔”的参照应理解为类似地适用于“封闭式通道”,包括但不限于对于槽孔数目、槽孔的设置、槽孔的相对尺寸等的参照。在封闭式通道132’的情况下,可能不具有外周部122b的底表面122b-2的被移除或省略的面积,但应理解,相当的面积以排除环的所有封闭式通道132’的横截面积总和存在,各横截面积在平行于底表面122b-2的平面中截取。应理解,此横截面积总和可取代本文提供的讨论中底表面122b-2被移除或省略的面积。此外,此类排除环的总环底表面面积可简单地为由三个耳部中每一者的底表面所限定的面积加上外周段的底表面所限定的面积,因为外周段的底表面由于使用封闭式通道而不会被槽孔中断。
图8A-8D根据一实施方案显示了排除环在多站式等离子体处理工具中的使用。图8A显示了具有四个处理站的多站等离子体处理工具的立体图。尤其如图8A所示,多站等离子体处理工具200包含室102内的四个处理站S1-S4。每个处理站可包含固定式的基座110和排除环122,该排除环122可在站之间与被排除环支撑的晶片一起移动。举例而言,如图8A所示,处理站S1包含基座110-1和排除环122-1。转盘204可用于将晶片从一站转移至另一站,如以下将更详加描述的。在一实施方案中,转盘204可以是铝盘。
图8B-8D根据一实施方案显示了将晶片载入多站式等离子体处理工具中的程序。如图8B所示,晶片101处于通过室102的槽孔102s的程序。槽孔102s可耦合至室102外部的装载锁,使得室内的真空环境可在载入程序期间维持。当晶片101通过槽孔102s进入室102,排除环122-1可处于升高位置,其中附接至耳部122e中的每一者的指部134可定位于基座110-1的顶表面上方。指部134可在排除环122-1的内周缘内部延伸,且晶片101可通过末端执行器支撑在使晶片101能正好通过指部134上方而不接触指部134或排除环122-1的高度,如图8C中可见。一旦晶片101定位成使得晶片101的外周位于三个指部134中的每一者上方,如图8D所示,末端执行器就可降低晶片101至指部134上,且可从室102退出。此时,排除环122-1可降低以将晶片101放置在基座110-1的顶表面。为了使晶片101能被放置在基座110-1的顶表面上,当排除环122-1降低时,指部134可被容纳于在基座110-1的顶表面下方延伸的沟槽或凹部110c(见图8B)中。
为了将晶片从一站转移到另一站,例如从站S1至站S2,可通过立式平移系统将排除环122-1升高,以将晶片101从基座110-1的顶表面抬高。举例而言,当排除环122-1升高时,指部134从基座110-1中的沟槽或凹部110c内露出,并与晶片101的背侧接合。因此,一旦指部134与晶片101的背侧接合,便可将晶片101与排除环122-1一起升高。在晶片101由排除环122-1支撑于基座110-1的顶表面上方的情况下,接着便可使转盘204从标准位置升高至升高位置。在被升高的过程中,转盘204可与排除环122-1接合,且可抬起排除环122-1、以及由排除环122支撑的晶片101。一旦转盘204、排除环122-1和晶片101已被升高至高到足以脱离站S1处的基座110-1和立式平移系统的位置,转盘204就可旋转,使得排除环122-1和晶片101从站S1被携带至站S2。在站S2,排除环122-1可放置于站S2的立式平移系统上,作为将转盘204降低回到其标准位置的过程的一部分。
在本文所述示例性实施方案的一些中,例如图8A-8D的示例性实施方案中,排除环122-1的指部134可用于在站之间(例如站S1至站S2)搬运晶片101。因此,也可将排除环122-1视为“搬运环”。然而,在示例性实施方案的说明中,将排除环122-1称为“排除环”而非“搬运环”,因为该环的主要功能是防止处理期间晶片的斜角和背侧上的沉积。
图8E描绘了示例性排除环的下侧的立体图。如所能看见的,排除环的下侧具有内周部和外周部,该内周部具有底表面122a-2,且该外周部具有底表面122b-2。多个开口832(例如槽孔)被排列在边缘环的周缘周围,且三个耳部822e定位于绕着外周部的周缘的平均分隔的位置处。每个耳部822e可支撑指部834,如以上相关于图8A至8D所讨论的。
图9是根据一实施方案,显示排除环的额外细节的简化剖面图,该排除环具有形成于排除环的外部分中的槽孔。如图9所示,排除环122的内周部122a的内周缘可包含过渡区域122x。如以上相关于图5A的描述内容中所提到的,过渡区域122x可用于使处理期间处理气体流受到排除环122的破坏减至最小。过渡区域122x可包含斜坡区域122x-1、翘曲区域122x-2和尖端区域122x-3。翘曲区域122x-2可从内周部122的顶表面122a-1延伸至斜坡区域122x-1。在一实施方案中,翘曲区域122x-2可以具有曲率半径。在一实施方案中,翘曲区域122x-2的曲率半径可在12英寸至12.25英寸的范围内。斜坡区域122x-1可从翘曲区域122x-2延伸至尖端区域122x-3。在一实施方案中,斜坡区域122x-1的表面可相对于由排除环122的内周部122a的顶表面122a-1所限定的平面,限定在约15度至约45度的范围内的角度。尖端区域122x-3可配置成具有足够强度,以承受工具中的使用而无剥落或其他方式的崩解。在一实施方案中,尖端区域122x-3可具有选定为在处理期间处理气体流不受排除环122破坏的情况下提供尖端区域所需的强度的曲率半径。
在一实施方案中,在底表面122a-2与底表面122b-2之间延伸的过渡表面122t-1可以是斜坡状的,以在晶片边缘气体从袋部排放通过排除环122的外周部122b内的槽孔132时,使晶片边缘气体的破坏减至最小。如图9所示,过渡表面122t-1和底表面122a-2可在它们之间限定一夹角,该夹角为钝角。在一实施方案中,由过渡表面122t-1和底表面122a-2限定的钝角可在约105度至约150度的范围内。
本文所述实施方案还可包含在等离子体处理工具中处理晶片的方法。该方法可包含将排除环定位于室的基座上或上方。在一实施方案中,可将排除环定位,使得排除环的外周部位于基座上方,且排除环的内周部与基座隔开,以在排除环与基座之间限定袋部,在该袋部,晶片使其边缘设置在内周部的一部分下方(例如,见图3)。该方法也可包含在晶片的等离子体处理期间供给晶片边缘气体至袋部中,使得晶片边缘气体的一部分导向晶片。在一实施方案中,可经由形成在基座中的边缘气体沟(例如,见图1和3中的边缘气体沟110a)将晶片边缘气体馈送至袋部中。该方法还可包含经由延伸通过排除环的外周部的多个流动路径(例如,见图3所示的槽孔132与图5B所示的槽孔132和132a),从袋部朝其中执行晶片处理的室的壁部排放晶片边缘气体的一部分。
在一实施方案中,多个流动路径被配置成从袋部朝其中执行晶片处理的室的壁部排放约10%至约30%的晶片边缘气体,而晶片边缘气体的剩余部分被朝内导向晶片。如上所述,通过控制用于形成多个流动路径而从排除环的外周部移除或省略的相对材料量,可调整被导向被处理晶片的晶片边缘气体量相比于从袋部朝室壁排放的晶片边缘气体量的比率。尤其是,外周部的底表面被移除或省略以形成多个流动路径的面积可相对于总环底表面面积而进行控制。在一示例性实施方案中,为了从袋部朝室的壁部排放约10%至约30%的晶片边缘气体,外周部122b的底表面122b-2被移除或省略以形成多个槽孔132的面积可在总环底表面面积的约16%至约20%的范围内(见图6)。在一实施方案中,外周部的底表面被切除以形成多个槽孔的面积可以是总环底表面面积的约18%。以此配置,约20%的晶片边缘气体可朝室的壁部排放,且约80%的晶片边缘气体可被导向晶片。
在一实施方案中,多个槽孔可配置成从袋部朝室的壁部排放约40%至约60%的晶片边缘气体,而晶片边缘气体的剩余部分被朝内导向晶片。在一示例性实施方案中,为了从袋部朝室的壁部排放约40%至约60%的晶片边缘气体,外周部122b的底表面122b-2被移除或省略以形成多个槽孔132的面积可在总环底表面面积的从约23%至约28%的范围内(见图6)。在一实施方案中,外周部的底表面被切除以形成多个槽孔的面积可以是总环底表面面积的约25%。以此配置,约50%的晶片边缘气体可朝室排放,且约50%的晶片边缘气体可被导向晶片。
在一实施方案中,多个槽孔可配置成从袋部朝室排放约70%至约90%的晶片边缘气体,而晶片边缘气体的剩余部分被朝内导向晶片。在一示例性实施方案中,为了从袋部朝室排放约70%至约90%的晶片边缘气体,外周部122b的底表面122b-2被移除或省略以形成多个槽孔132的面积可在总环底表面面积的从约35%至约43%的范围内(见图6)。在一实施方案中,外周部的底表面被切除以形成多个槽孔的面积可以是总环底表面面积的约39%。以此配置,约80%的晶片边缘气体可朝室排放,且约20%的晶片边缘气体可被导向晶片。
在一些实施方案中,控制器(其为系统的一部分)可以是上文所述示例的一些的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出与具体系统连接或通过接口连接的工具和其他转移工具和/或装载锁。尤其是,举例而言,控制器可配置成致使升降机构抬升排除环(和由其支撑的晶片),并致使转盘接着抬升排除环和旋转,以将排除环移动至多站式处理室内的新站,如先前于本文所讨论的。控制器可进一步配置成接着降低排除环至该新站上或该新站中。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
虽然方法操作可以特定顺序进行说明,但应理解,可在操作之间执行其他清扫操作,或者可调整操作,使得其在稍微不同的时间点发生、或者可分散在系统中,这使得处理操作能以关联于处理的不同间隔发生,只要重叠的操作的处理以所需方式执行即可。
因此,示例性实施方案的公开内容旨在是说明性的,而非限制以下权利要求中提出的公开内容和其等同方案的范围。虽然公开内容的示例性实施方案已针对清楚理解的目的而进行了一些详细的描述,但显而易见,可在以下权利要求的范围内实施特定改变和修改。在以下权利要求中,除非明确记载于权利要求中或由公开内容隐含性地要求,否则元件和/或步骤并未暗示任何特定操作顺序。

Claims (20)

1.一种用于处理半导体晶片的排除环,所述排除环包含:
外周段,其具有顶表面和底表面,其中所述外周段的顶表面与所述外周段的底表面之间的距离限定所述排除环的第一厚度;
内周段,其具有顶表面和底表面;以及
一个或更多个过渡表面,其横跨在所述外周段的底表面与所述内周段的底表面之间,其中:
所述内周段的顶表面与所述内周段的底表面之间的距离限定所述排除环的第二厚度;
所述排除环的所述第一厚度大于所述排除环的所述第二厚度;且
多个流动路径形成于所述外周段内,
其中:
所述多个流动路径中的每个流动路径从所述一或更多过渡表面延伸、穿过所述排除环的所述外周段、且到达所述排除环的外周,且
所述多个流动路径沿着所述排除环的所述外周段的周缘彼此分隔开。
2.根据权利要求1所述的排除环,其还包含:
多个耳部,其中所述耳部中的每一个从所述排除环的所述外周段延伸,且具有顶表面和底表面;和
多个指部,其中所述指部中的每一个附接至所述耳部中的相应的一个。
3.根据权利要求2所述的排除环,其中所述多个耳部包含围绕所述排除环的所述外周段基本上均匀分隔开的三个耳部,
且其中所述多个流动路径包含所述三个耳部中的每一个之间的一定数量的流动路径,且所述流动路径的数量在三个至十六个的范围内。
4.根据权利要求3所述的排除环,其中相同数量的流动路径通过所述三个耳部中的每一个之间的所述外周段。
5.根据权利要求4所述的排除环,其中七个至十四个流动路径穿过所述三个耳部中的每一个之间的所述外周段形成。
6.根据权利要求3所述的排除环,其中邻近所述三个耳部中的每一个的流动路径的尺寸被设定为大于不邻近所述三个耳部中的任何一个的流动路径。
7.根据权利要求3所述的排除环,其中:
所述内周段具有围绕中心轴线呈轴对称的最内边缘,且
第一参考平面中的所述流动路径的总横截面积在总环底表面面积的约16%至约20%的范围内,所述第一参考平面垂直于所述中心轴线且插入所述内周段的底表面与所述外周段的底表面之间,所述总环底表面面积被定义为介于所述排除环的所述外周与内接所述一或更多过渡表面的参考圆之间。
8.根据权利要求7所述的排除环,其中所述第一参考平面中的所述流动路径的所述总横截面积在所述总环底表面面积的约23%至约28%的范围内。
9.根据权利要求7所述的排除环,其中所述第一参考平面中的所述流动路径的所述总横截面积在所述总环底表面面积的约35%至约43%的范围内。
10.根据权利要求1至9中任一项所述的排除环,其中所述流动路径中的每一个选自:a)所述外周段的底表面中的通道和b)穿过所述外周段的封闭式通道。
11.一种排除环,其包含:
内周部;和
外周部,其与所述内周部整合,其中:
所述外周部具有一第一厚度,所述第一厚度大于所述内周部的第二厚度,其中当安装于等离子体处理工具中时,所述外周部的底表面被配置成设置在基座上方,
当所述外周部的底表面设置在所述等离子体处理工具的所述基座上方时,所述内周部被配置成与所述基座分隔,由此限定所述基座与所述排除环之间的袋部,当晶片存在时,所述袋部容许所述晶片的边缘设置在所述内周部的一部分与所述基座之间,且
所述外周部包含多个流动路径,其中各流动路径从横跨在所述外周部的所述底表面与所述内周部的底表面之间的一个或更多个过渡表面延伸、穿过所述外周部、并且到达所述排除环的外周,以提供晶片边缘气体从所述袋部的排放。
12.根据权利要求11所述的排除环,其还包含:
多个耳部,其中所述耳部中的每一个从所述排除环的所述外周部延伸;以及
多个指部,其中所述指部中的每一个附接至所述多个耳部中的相应的一个。
13.根据权利要求12所述的排除环,其中:
所述多个耳部包含三个耳部,
所述三个耳部围绕所述排除环的所述外周部基本上均匀分隔开,且
所述多个流动路径包含所述三个耳部中的每一个之间的一定数量的流动路径。
14.根据权利要求13所述的排除环,其中邻近所述三个耳部中的每一个的流动路径的尺寸被设定为大于不邻近所述三个耳部中的任一个的流动路径。
15.根据权利要求13所述的排除环,其中所述多个流动路径被配置成当所述晶片存在于所述袋部中且所述晶片边缘气体正在流动时,从所述袋部朝所述等离子体处理工具的室壁排放约10%至约30%的所述晶片边缘气体,使得所述晶片边缘气体的其余部分被导向所述晶片的所述边缘。
16.根据权利要求13所述的排除环,其中所述多个流动路径被配置成当所述晶片存在于所述袋部中且所述晶片边缘气体正在流动时,从所述袋部朝所述等离子体处理工具的室壁排放约40%至约60%的所述晶片边缘气体,使得所述晶片边缘气体的其余部分被导向所述晶片的所述边缘。
17.根据权利要求13所述的排除环,其中所述多个流动路径被配置成当所述晶片存在于所述袋部中且所述晶片边缘气体正在流动时,从所述袋部朝所述等离子体处理工具的室壁排放约70%至约90%的所述晶片边缘气体,使得所述晶片边缘气体的其余部分被导向所述晶片的所述边缘。
18.根据权利要求11至17中任一项所述的排除环,其中所述流动路径中的每一个选自:a)所述外周部的底表面中的通道和b)穿过所述外周部的封闭式通道。
19.一种在等离子体处理工具中处理晶片的方法,其包含:
定位排除环,使得所述排除环的外周部位于室的基座上方,且所述排除环的内周部与所述基座分隔开以限定其中使晶片其边缘设置在所述内周部的一部分下方的袋部;
在所述晶片的等离子体处理期间,供给晶片边缘气体至所述袋部中,使得所述晶片边缘气体的一部分被导向所述晶片;以及
经由延伸穿过所述排除环的所述外周部的多个流动路径,从所述袋部朝所述室排放所述晶片边缘气体的一部分。
20.根据权利要求19所述的方法,其中所述多个流动路径被配置成从所述袋部朝所述室排放一定量的晶片边缘气体,而所述晶片边缘气体的其余部分被导向所述晶片,其中所述量选自:所述晶片边缘气体的约10%至约30%、所述晶片边缘气体的约40%至约60%、以及所述晶片边缘气体的约70%至约90%。
CN202180009628.6A 2020-01-17 2021-01-13 具有用于排放晶片边缘气体的流动路径的排除环 Pending CN114945707A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062962875P 2020-01-17 2020-01-17
US62/962,875 2020-01-17
PCT/US2021/013327 WO2021146352A1 (en) 2020-01-17 2021-01-13 Exclusion ring with flow paths for exhausting wafer edge gas

Publications (1)

Publication Number Publication Date
CN114945707A true CN114945707A (zh) 2022-08-26

Family

ID=76864216

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180009628.6A Pending CN114945707A (zh) 2020-01-17 2021-01-13 具有用于排放晶片边缘气体的流动路径的排除环

Country Status (6)

Country Link
US (1) US20230040885A1 (zh)
JP (1) JP2023510550A (zh)
KR (1) KR20220129045A (zh)
CN (1) CN114945707A (zh)
TW (1) TW202146694A (zh)
WO (1) WO2021146352A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230117632A (ko) 2020-02-11 2023-08-08 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
USD997894S1 (en) * 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift assembly
USD997893S1 (en) * 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift plate
WO2024010887A1 (en) * 2022-07-08 2024-01-11 Lam Research Corporation Improved pedestals for substrate processing systems

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
KR20040094240A (ko) * 2003-05-02 2004-11-09 삼성전자주식회사 개선된 포커스 링이 구비된 반도체 제조설비
CN201075384Y (zh) * 2007-08-15 2008-06-18 陈汉阳 热处理用晶圆支持器
CN102714146A (zh) * 2009-12-31 2012-10-03 应用材料公司 用以修改晶圆边缘与斜面沉积的遮蔽环
US9236284B2 (en) * 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation

Also Published As

Publication number Publication date
JP2023510550A (ja) 2023-03-14
WO2021146352A1 (en) 2021-07-22
TW202146694A (zh) 2021-12-16
US20230040885A1 (en) 2023-02-09
KR20220129045A (ko) 2022-09-22

Similar Documents

Publication Publication Date Title
CN114945707A (zh) 具有用于排放晶片边缘气体的流动路径的排除环
US11443975B2 (en) Planar substrate edge contact with open volume equalization pathways and side containment
KR102401722B1 (ko) 하단 링 및 중간 에지 링
KR102401704B1 (ko) 이동가능한 에지 링 설계들
US10262887B2 (en) Pin lifter assembly with small gap
JP7440488B2 (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
US20210351018A1 (en) Lower plasma exclusion zone ring for bevel etcher
US20220305601A1 (en) Use of vacuum during transfer of substrates
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
US20220162749A1 (en) Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
TWI798856B (zh) 用於斜面背部沉積消除的半導體處理系統及方法
TWI848010B (zh) 用於斜面蝕刻器的下電漿排除區域環
WO2023092135A1 (en) Edge rings for improved edge uniformity in semiconductor processing operations
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
WO2024076479A1 (en) Adjustable pedestal
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2024076480A1 (en) Annular pumping for chamber
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination