KR20230093284A - 프로세싱 챔버 증착 한정 - Google Patents

프로세싱 챔버 증착 한정 Download PDF

Info

Publication number
KR20230093284A
KR20230093284A KR1020237016912A KR20237016912A KR20230093284A KR 20230093284 A KR20230093284 A KR 20230093284A KR 1020237016912 A KR1020237016912 A KR 1020237016912A KR 20237016912 A KR20237016912 A KR 20237016912A KR 20230093284 A KR20230093284 A KR 20230093284A
Authority
KR
South Korea
Prior art keywords
chamber body
semiconductor processing
substrate support
base
isolators
Prior art date
Application number
KR1020237016912A
Other languages
English (en)
Inventor
사라 미셸 보벡
벤카타 사라트 찬드라 파리미
성원 하
광덕 더글라스 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230093284A publication Critical patent/KR20230093284A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Apparatuses And Processes For Manufacturing Resistors (AREA)
  • Led Devices (AREA)

Abstract

예시적인 반도체 프로세싱 시스템들은 측벽들 및 베이스를 포함하는 챔버 바디를 포함할 수 있다. 시스템은 챔버 바디의 베이스를 통해 연장되는 기판 지지부를 포함할 수 있다. 챔버 바디는 챔버 바디의 베이스에서 기판 지지부 주위로 원주방향으로 연장되는 액세스를 정의할 수 있다. 시스템은 챔버 바디 내에 배치된 하나 이상의 아이솔레이터들을 포함할 수 있다. 하나 이상의 아이솔레이터들은 하나 이상의 아이솔레이터들과 챔버 바디 사이의 배출 경로를 정의할 수 있다. 배출 경로는 챔버 바디의 베이스까지 연장될 수 있다. 시스템은 기판 지지부 주위로 연장되는 액세스에서 챔버 바디와 유체적으로 커플링된 유체 소스를 포함할 수 있다.

Description

프로세싱 챔버 증착 한정
[0001] 이 출원은 2020년 10월 22일에 출원되고 발명의 명칭이 "PROCESSING CHAMBER DEPOSITION CONFINEMENT"인 미국 정규 출원 번호 제17/077,624호의 이익 및 우선권을 주장하며, 이 정규 출원의 내용은 모든 목적들을 위해 그 전체가 인용에 의해 본원에 포함된다.
[0002] 본 기술은 반도체 제조를 위한 컴포넌트들 및 장치들에 관한 것이다. 보다 구체적으로, 본 기술은 프로세싱 챔버 컴포넌트들 및 다른 반도체 프로세싱 장비 및 방법들에 관한 것이다.
[0003] 기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 집적 회로들이 가능해진다. 기판 상에 패터닝된 재료를 생성하는 것은 재료를 형성 및 제거하기 위한 제어되는 방법들을 요구한다. 전구체들은 종종 프로세싱 구역으로 전달되고 기판 상에 재료를 균일하게 증착 또는 에칭하기 위해 분배된다. 프로세싱 챔버의 다수의 양상들은 프로세스 균일성 이를테면, 챔버 내의 프로세스 조건들의 균일성, 컴포넌트들을 통한 유동의 균일성뿐만 아니라 다른 프로세스 및 컴포넌트 파라미터들에 영향을 미칠 수 있다. 기판에 걸쳐 약간의 불일치들조차도 형성 또는 제거 프로세스에 영향을 미칠 수 있다. 부가적으로, 챔버 내의 컴포넌트들은 챔버 컴포넌트들 또는 기판 지지부의 에지 및 후면 구역들 상의 증착에 영향을 미칠 수 있다.
[0004] 따라서, 고품질 디바이스들 및 구조들을 생성하기 위해 사용될 수 있는 개선된 시스템들 및 방법들이 필요하다. 이들 및 다른 필요성들이 본 기술에 의해 해결된다.
[0005] 예시적인 반도체 프로세싱 시스템들은 측벽들 및 베이스를 포함하는 챔버 바디를 포함할 수 있다. 시스템은 챔버 바디의 베이스를 통해 연장되는 기판 지지부를 포함할 수 있다. 챔버 바디는 챔버 바디의 베이스에서 기판 지지부 주위로 원주방향으로 연장되는 액세스(access)를 정의할 수 있다. 시스템은 챔버 바디 내에 배치된 하나 이상의 아이솔레이터(isolator)들을 포함할 수 있다. 하나 이상의 아이솔레이터들은 하나 이상의 아이솔레이터들과 챔버 바디 사이의 배출 경로를 정의할 수 있다. 배출 경로는 챔버 바디의 베이스까지 연장될 수 있다. 시스템은 기판 지지부 주위로 연장되는 액세스에서 챔버 바디와 유체적으로 커플링된 유체 소스를 포함할 수 있다.
[0006] 일부 실시예들에서, 시스템들은 하나 이상의 아이솔레이터들이 안착되는 펌핑 라이너(pumping liner)를 포함할 수 있다. 펌핑 라이너는 배출 경로로의 유체 액세스를 제공하는 복수의 어퍼처들을 정의할 수 있다. 하나 이상의 아이솔레이터들은 펌핑 라이너 상에 안착된 제1 아이솔레이터를 포함할 수 있다. 갭은 기판 지지부와 기판 지지부의 기판 지지 표면에 근접한 제1 아이솔레이터 사이에 유지될 수 있다. 갭은 약 5mm 이하로 유지될 수 있다. 시스템들은 하나 이상의 아이솔레이터들 상에 안착된 라이너 플레이트를 포함할 수 있다. 라이너 플레이트는 챔버 바디의 베이스에서 그리고 챔버 바디 내에서 플레넘을 형성할 수 있다. 플레넘은 챔버 바디의 베이스에서 기판 지지부 주위로 연장되는 액세스로부터 유체적으로 격리될 수 있다. 유체 소스는 질소 또는 산소를 포함할 수 있다.
[0007] 본 기술의 일부 실시예들은 반도체 프로세싱의 방법들을 포함할 수 있다. 방법들은 반도체 프로세싱 챔버의 프로세싱 구역에서 증착 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 방법들은 프로세싱 구역 내의 펌핑 라이너 상에 안착된 아이솔레이터와 기판 지지부 사이에 정의된 갭을 통해 퍼지 가스를 유동시키는 단계를 포함할 수 있다. 방법들은 기판 지지부 상에 안착된 기판 상에 재료를 증착하는 단계를 포함할 수 있다. 방법들은 펌핑 라이너를 통해 증착 부산물들 및 퍼지 가스를 배출하는 단계를 포함할 수 있다.
[0008] 일부 실시예들에서, 증착된 재료는 약 0.5% 이하의 질소 혼입을 특징으로 할 수 있다. 기판 지지부는 증착 동안 펌핑 라이너 위로 적어도 부분적으로 연장될 수 있다. 반도체 프로세싱 챔버는 측벽들 및 베이스를 포함하는 챔버 바디를 포함할 수 있다. 기판 지지부는 챔버 바디의 베이스를 통해 연장될 수 있다. 챔버 바디는 챔버 바디의 베이스에서 기판 지지부 주위로 원주방향으로 연장되는 액세스를 정의할 수 있다. 아이솔레이터는 챔버 바디 내에 배치된 하나 이상의 아이솔레이터들을 포함할 수 있다. 하나 이상의 아이솔레이터들은 하나 이상의 아이솔레이터들과 챔버 바디 사이의 배출 경로를 정의할 수 있다. 배출 경로는 펌핑 라이너를 통해 유체적으로 액세스될 수 있다. 배출 경로는 챔버 바디의 베이스까지 연장될 수 있다.
[0009] 일부 실시예들에서, 갭은 기판 지지부와 기판 지지부의 기판 지지 표면에 근접한 하나 이상의 아이솔레이터들의 제1 아이솔레이터 사이에 유지될 수 있다. 갭은 약 5mm 이하로 유지될 수 있다. 반도체 프로세싱 챔버는 반도체 처리 시스템 내에 통합될 수 있고 이 반도체 처리 시스템은 기판 지지부 주위로 연장되는 액세스에서 챔버 바디와 유체적으로 커플링된 유체 소스를 포함할 수 있다. 유체 소스는 질소 또는 산소일 수 있다. 반도체 프로세싱 챔버는 하나 이상의 아이솔레이터들 상에 안착된 라이너 플레이트를 포함할 수 있다. 라이너 플레이트는 챔버 바디의 베이스에서 그리고 챔버 바디 내에서 플레넘을 형성할 수 있다. 플레넘은 챔버 바디의 베이스에서 기판 지지부 주위로 연장되는 액세스로부터 유체적으로 격리될 수 있다.
[0010] 본 기술의 일부 실시예들은 반도체 프로세싱 시스템들을 포함할 수 있다. 시스템들은 측벽들 및 베이스를 포함하는 챔버 바디를 포함할 수 있다. 시스템들은 챔버 바디의 베이스를 통해 연장되는 기판 지지부를 포함할 수 있다. 챔버 바디는 챔버 바디의 베이스에서 기판 지지부 주위로 원주방향으로 연장되는 액세스를 정의할 수 있다. 시스템들은 펌핑 라이너를 포함할 수 있다. 펌핑 라이너는 챔버 바디 상에 안착될 수 있다. 시스템들은 챔버 바디 내에 배치된 하나 이상의 아이솔레이터들을 포함할 수 있다. 하나 이상의 아이솔레이터들은 펌핑 라이너 상에 안착된 제1 아이솔레이터를 포함할 수 있다. 하나 이상의 아이솔레이터들은 하나 이상의 아이솔레이터들과 챔버 바디 사이의 배출 경로를 정의할 수 있다. 배출 경로는 챔버 바디의 베이스까지 연장될 수 있다. 시스템들은 기판 지지부 주위로 연장되는 액세스에서 챔버 바디와 유체적으로 커플링된 유체 소스를 포함할 수 있다. 일부 실시예들에서, 시스템들은 하나 이상의 아이솔레이터들에 안착된 라이너 플레이트를 포함할 수 있다. 라이너 플레이트는 챔버 바디의 베이스에서 그리고 챔버 바디 내에서 플레넘을 형성할 수 있다. 플레넘은 챔버 바디의 베이스에서 기판 지지부 주위로 연장되는 액세스로부터 유체적으로 격리될 수 있다.
[0011] 그러한 기술은 종래의 시스템들 및 기법들에 비해 다수의 이익들을 제공할 수 있다. 예컨대, 본 기술의 실시예들은 기판 지지부 또는 지지 샤프트의 후면과 같은 챔버 컴포넌트들 상의 증착을 제한한다. 부가적으로, 본 기술의 일부 실시예들은 챔버 내의 세정 동작들을 개선할 수 있다. 이들 및 다른 실시예들은, 이들의 이점들 및 특징들 중 다수와 함께, 아래의 설명 및 첨부 도면들과 함께 더 상세히 설명된다.
[0012] 개시되는 기술의 성질 및 이점들의 추가적인 이해는 본 명세서의 나머지 부분들 및 도면들을 참조함으로써 실현될 수 있다.
[0013] 도 1은 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 시스템의 평면도를 도시한다.
[0014] 도 2는 본 기술의 일부 실시예들에 따른 예시적인 플라즈마 시스템의 개략적인 단면도를 도시한다.
[0015] 도 3은 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 챔버의 개략적인 부분 단면도를 도시한다.
[0016] 도 4는 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 챔버의 개략적인 부분 단면도를 도시한다.
[0017] 도 5는 본 기술의 일부 실시예들에 따라 반도체 프로세싱의 예시적인 방법의 동작들을 도시한다.
[0018] 도면들 중 몇몇 도면들은 개략도들로서 포함된다. 도면들은 예시적인 목적들을 위한 것이며, 실척인 것으로 구체적으로 명시되지 않는 한, 실척인 것으로 간주되지 않아야 한다는 것이 이해되어야 한다. 부가적으로, 개략도들로서, 도면들은 이해를 돕기 위해 제공되며, 현실적인 표현들과 비교하여 모든 양상들 또는 정보를 포함하지 않을 수 있고, 예시적인 목적들을 위해 과장된 자료를 포함할 수 있다.
[0019] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 특징들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 유형의 다양한 컴포넌트들은, 유사한 컴포넌트들을 구별하는 문자를 참조 라벨에 뒤따르게 함으로써 구별될 수 있다. 본 명세서에서 제1 참조 라벨만이 사용된 경우, 설명은, 문자와 무관하게, 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 하나에 적용 가능하다.
[0020] 플라즈마 강화 증착 프로세스들은 하나 이상의 구성 전구체들을 에너자이징(energize)하여 기판 상의 막 형성을 용이하게 할 수 있다. 전도성 및 유전체 막들뿐만 아니라 재료들의 이송 및 제거를 용이하게 하기 위한 막들을 포함하는 반도체 구조들을 개발하기 위해 임의의 수의 재료 막들이 생성될 수 있다. 예컨대, 하드마스크 막들은 기판의 패터닝을 용이하게 하면서, 기판의 패터닝이 아니면 유지될 하부 재료들을 보호하도록 형성될 수 있다. 부가적으로, 다른 유전체 재료들은 기판 상의 별개의 트랜지스터들에 증착되거나 그렇지 않으면 반도체 구조들을 형성할 수 있다. 다수의 프로세싱 챔버들에서, 다수의 전구체들이 가스 패널에서 혼합되고 기판이 배치될 수 있는 챔버의 프로세싱 구역으로 전달될 수 있다. 리드 스택의 컴포넌트(lid stack component)들이 프로세싱 챔버로의 유동 분포에 영향을 미칠 수 있지만, 다수의 다른 프로세스 변수들이 증착의 균일성에 유사하게 영향을 미칠 수 있다.
[0021] 리드 스택 컴포넌트들은 균일한 증착을 용이하게 하기 위해 전구체들을 프로세싱 구역 내로 유리하게 분배할 수 있지만, 기판에 걸쳐 보다 균일한 커버리지를 보장하기 위한 구조들 및 동작들은 챔버 주위의 다수의 구역들로 증착을 연장할 수 있다. 예컨대, 증착 전구체들 및 부산물들은 프로세싱 챔버의 베이스에서 커플링된 배출 시스템을 통해 유동될 수 있다. 그러나, 이들 컴포넌트들 중 다수가 프로세싱되는 기판보다 낮거나 훨씬 더 낮은 온도에서 유지될 수 있기 때문에, 증착 재료들은 기판 지지 샤프트 또는 챔버 바디 벽들 상에 더 쉽게 응축되거나 재증착될 수 있다. 이 이슈를 해결하기 위해, 종래의 기술들은 증착 후 후속 챔버 세정 프로세스들에 대한 타이밍을 증가시키도록 강제될 수 있다. 그러나 이러한 프로세스는 다수의 단점들을 가질 수 있다. 예컨대, 챔버의 이들 구역들에 액세스하는 것은 더 난제일 수 있으며, 이는 증가된 세정 시간들이 요구되게 할 수 있고 큐 시간(queue time)들을 증가시켜, 시스템에 대한 처리량을 감소시킬 수 있다. 부가적으로, 이러한 증가된 세정 시간들은 플라즈마 유출물과의 연장된 상호작용들에 다른 챔버 컴포넌트를 노출시킬 수 있으며, 이는 챔버 또는 컴포넌트들을 더 신속하게 부식시킬 수 있다.
[0022] 본 기술은 증착 전구체들 및 부산물들을 프로세싱 구역으로부터 격리될 수 있는 외부 구역으로 드로우(draw)할 수 있는, 프로세싱 챔버를 통한 가스 분배 경로를 형성함으로써 이러한 난제들을 극복한다. 부가적으로, 퍼지 가스가 기판 지지부 아래의 볼륨을 충전하는 데 사용될 수 있으며, 이는 챔버의 이 구역 내로의 증착 재료들의 확산을 제한할 수 있다. 증착 확산을 차단하고 챔버로부터의 특정 배출 경로를 형성함으로써, 리세싱된 구역들에서 수행되는 세정을 제한함으로써 세정 동작들이 개선될 수 있으며, 이는 처리량을 개선할 수 있다.
[0023] 나머지 개시내용은 개시되는 기술을 활용하는 특정 증착 프로세스들을 관례대로 식별할 것이지만, 시스템들 및 방법들은 다른 증착 및 세정 챔버들뿐만 아니라 설명된 챔버들에서 발생할 수 있는 바와 같은 프로세스들에 동일하게 적용 가능하다는 것이 쉽게 이해될 것이다. 따라서, 이 기술은 이러한 특정 증착 프로세스들 또는 챔버들에 대해서만 사용되는 것으로 제한되는 것으로 간주되지 않아야 한다. 본 개시내용은 본 기술의 실시예들에 따라 이 시스템에 대한 부가적인 변동들 및 조정들이 설명되기 이전에 본 기술의 실시예들에 따른 리드 스택 컴포넌트들을 포함할 수 있는 하나의 가능한 시스템 및 챔버를 논의할 것이다.
[0024] 도 1은 실시예들에 따른, 증착, 에칭, 베이킹, 및 경화 챔버들의 프로세싱 시스템(100)의 일 실시예의 평면도를 도시한다. 도면에서, 한 쌍의 전방 개방 통합 포드들(102)은 다양한 크기들의 기판들을 공급하며, 그 기판들은 로봇 암들(104)에 의해 수용되고, 그리고 탠덤 섹션들(109a-c)에 포지셔닝된 기판 프로세싱 챔버들(108a-f) 중 하나 내에 배치되기 전에, 저압 홀딩 영역(106) 내에 배치된다. 제2 로봇 암(110)은 기판 웨이퍼들을 홀딩 영역(106)으로부터 기판 프로세싱 챔버들(108a-f)로 그리고 그 반대로 운송하기 위해 사용될 수 있다. 각각의 기판 프로세싱 챔버(108a-f)는 플라즈마 강화 화학 기상 증착, 원자층 증착, 물리적 기상 증착, 에칭, 사전-세정, 디개싱, 배향, 및 어닐링, 애싱 등을 포함하는 다른 기판 프로세스들 외에도, 본원에서 설명된 반도체 재료들의 스택들의 형성을 포함하는 다수의 기판 프로세싱 동작들을 수행하도록 장비될 수 있다.
[0025] 기판 프로세싱 챔버들(108a-f)은 기판 상에서 유전체 또는 다른 막을 증착, 어닐링, 경화, 및/또는 에칭하기 위한 하나 이상의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 2개의 쌍들의 프로세싱 챔버들(예컨대, 108c-d 및 108e-f)은 기판 상에 유전체 재료를 증착하는 데 사용될 수 있으며, 제3 쌍의 프로세싱 챔버들(예컨대, 108a-b)은 증착된 유전체를 에칭하는 데 사용될 수 있다. 다른 구성에서, 3개의 모든 챔버 쌍들 예컨대, 108a-f는 기판 상에 교호하는 유전체 막들의 스택들을 증착하도록 구성될 수 있다. 설명되는 프로세스들 중 임의의 하나 이상은 상이한 실시예들에서 보여진 제작 시스템으로부터 분리된 챔버에서 수행될 수 있다. 유전체 막들을 위한 증착, 에칭, 어닐링, 및 경화 챔버들의 부가적인 구성들이 시스템(100)에 의해 고려된다는 것이 인식될 것이다.
[0026] 도 2는 본 기술의 일부 실시예들에 따른 예시적인 플라즈마 시스템(200)의 개략적인 단면도를 도시한다. 플라즈마 시스템(200)은, 위에서 설명된 텐덤 섹션들(109) 중 하나 이상에 피팅될 수 있고 본 기술의 실시예들에 따른 페이스플레이트들 또는 다른 컴포넌트들 또는 조립체들을 포함할 수 있는 한 쌍의 프로세싱 챔버들(108)을 예시할 수 있다. 플라즈마 시스템(200)은 일반적으로, 한 쌍의 프로세싱 구역들(220A 및 220B)을 정의하는, 측벽들(212), 바닥 벽(216) 및 내부 측벽(201)을 갖는 챔버 바디(202)를 포함할 수 있다. 프로세싱 구역들(220A-220B) 각각은 유사하게 구성될 수 있고, 동일한 컴포넌트들을 포함할 수 있다.
[0027] 예컨대, 프로세싱 구역(220B)(그의 컴포넌트들은 또한 프로세싱 구역(220A)에 포함될 수 있음)은 플라즈마 시스템(200) 내 바닥 벽(216)에 형성된 통로(222)를 통해 프로세싱 구역에 배치된 페데스탈(228)을 포함할 수 있다. 페데스탈(228)은 바디 부분과 같은 페데스탈의 노출된 표면 상에서 기판(229)을 지지하도록 적응된 히터를 제공할 수 있다. 페데스탈(228)은 원하는 프로세스 온도로 기판 온도를 가열 및 제어할 수 있는 가열 엘리먼트들(232) 예컨대, 저항성 가열 엘리먼트들을 포함할 수 있다. 페데스탈(228)은 또한 원격 가열 엘리먼트, 예를 들어, 램프 조립체 또는 임의의 다른 가열 디바이스에 의해 가열될 수 있다.
[0028] 페데스탈(228)의 바디는 플랜지(233)에 의해 스템(226)에 커플링될 수 있다. 스템(226)은 페데스탈(228)을 전력 콘센트(power outlet) 또는 전력 박스(203)와 전기적으로 커플링할 수 있다. 전력 박스(203)는 프로세싱 구역(220B) 내에서의 페데스탈(228)의 고도(elevation) 및 움직임을 제어하는 구동 시스템을 포함할 수 있다. 스템(226)은 또한, 페데스탈(228)에 전력을 제공하기 위해 전력 인터페이스들을 포함할 수 있다. 전력 박스(203)는 또한, 전력 및 온도 표시기들을 위한 인터페이스들, 이를테면 열전대 인터페이스를 포함할 수 있다. 스템(226)은 전력 박스(203)에 분리 가능하게 커플링되도록 적응된 베이스 조립체(238)를 포함할 수 있다. 원주 링(circumferential ring)(235)이 전력 박스(203) 위에 도시된다. 일부 실시예들에서, 원주 링(235)은 전력 박스(203)의 상부 표면과 베이스 조립체(238) 사이에 기계적 인터페이스를 제공하도록 구성된 기계적 스톱(stop) 또는 랜드(land)로서 적응된 숄더(shoulder)일 수 있다.
[0029] 로드(230)는 프로세싱 구역(220B)의 바닥 벽(216)에 형성된 통로(224)를 통해 포함될 수 있고 페데스탈(228)의 바디를 통해 배치된 기판 리프트 핀들(261)을 포지셔닝하는데 활용될 수 있다. 기판 리프트 핀들(261)은 기판 이송 포트(260)를 통해 프로세싱 구역(220B) 내외로 기판(229)을 이송하는 데 활용되는 로봇과 기판(229)의 교환을 용이하게 하기 위해 페데스탈로부터 기판(229)을 선택적으로 이격시킬 수 있다.
[0030] 챔버 리드(204)가 챔버 바디(202)의 최상부 부분에 커플링될 수 있다. 리드(204)는 자신에 커플링된 하나 이상의 전구체 분배 시스템들(208)을 수용할 수 있다. 전구체 분배 시스템(208)은 반응물 및 세정 전구체들을 가스 전달 조립체(218)를 통해 프로세싱 구역(220B) 내로 전달할 수 있는 전구체 유입구 통로(240)를 포함할 수 있다. 가스 전달 조립체(218)는 페이스플레이트(246) 중간에 배치된 블로커 플레이트(244)를 갖는 가스박스(248)를 포함할 수 있다. "RF"(radio frequency) 소스(265)는 가스 전달 조립체(218)에 커플링될 수 있으며, 이는 가스 전달 조립체(218)의 페이스플레이트(246)와 페데스탈(228) 사이에 플라즈마 구역 ― 이는 챔버의 프로세싱 구역일 수 있음 ― 의 생성을 용이하게 하기 위해 가스 전달 조립체(218)에 전력을 공급할 수 있다. 일부 실시예들에서, RF 소스는 플라즈마 생성을 가능하게 하기 위해, 챔버 바디(202)의 다른 부분들, 이를테면 페데스탈(228)에 커플링될 수 있다. 리드(204)로의 RF 전력의 전도를 방지하기 위해, 유전체 아이솔레이터(258)가 리드(204)와 가스 전달 조립체(218) 사이에 배치될 수 있다. 페데스탈(228)과 맞물리는 섀도우 링(206)이 페데스탈(228)의 주변부 상에 배치될 수 있다.
[0031] 선택적인 냉각 채널(247)은 동작 동안 가스박스(248)를 냉각하기 위해 가스 분배 시스템(208)의 가스박스(248)에 형성될 수 있다. 가스박스(248)가 미리 정의된 온도로 유지되도록, 열 전달 유체, 이를테면 물, 에틸렌 글리콜, 가스 등이 냉각 채널(247)을 통해 순환될 수 있다. 라이너 조립체(227)가 프로세싱 구역(220B) 내의 프로세싱 환경에 대한 측벽들(201, 212)의 노출을 방지하기 위해, 프로세싱 구역(220B) 내에서 챔버 바디(202)의 측벽들(201, 212)에 아주 근접하게 배치된다. 라이너 조립체(227)는 펌핑 시스템(264)에 커플링될 수 있는 원주형(circumferential) 펌핑 캐비티(225)를 포함할 수 있으며, 그 펌핑 시스템(264)은 프로세싱 구역(220B)으로부터 가스들 및 부산물들을 배출하고 프로세싱 구역(220B) 내의 압력을 제어하도록 구성된다. 복수의 배출 포트들(231)이 라이너 조립체(227) 상에 형성될 수 있다. 배출 포트들(231)은 시스템(200) 내의 프로세싱을 촉진하는 방식으로, 프로세싱 구역(220B)으로부터 원주 펌핑 캐비티(225)로의 가스들의 유동을 가능하게 하도록 구성될 수 있다.
[0032] 도 3은 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 시스템(300)의 개략적인 부분 단면도를 도시한다. 도 3은 시스템(200) 내 컴포넌트들에 관한 추가 세부사항들을 예시할 수 있다. 시스템(300)은 일부 실시예들에서 이전에 논의된 시스템(200)의 임의의 특징 또는 양상을 포함하는 것으로 이해된다. 시스템(300)은 이전에 설명된 바와 같은 하드마스크 재료들 또는 다른 재료들의 증착뿐만 아니라 다른 증착, 제거 또는 세정 동작들을 포함하는 반도체 프로세싱 동작들을 수행하는 데 사용될 수 있다. 시스템(300)은 반도체 프로세싱 시스템에 통합될 수 있고 위에서 논의되는 챔버 컴포넌트들의 부분적인 도면을 도시할 수 있고, 위에서 언급된 리드 스택 컴포넌트들 중 몇 개가 없는 도면을 예시할 수 있다. 시스템(300)의 임의의 양상은 또한 당업자에 의해 쉽게 이해될 수 있는 바와 같이 다른 프로세싱 챔버들 또는 시스템들과 통합될 수 있다.
[0033] 시스템(300)은 페이스플레이트(305)를 포함하는 프로세싱 챔버를 포함할 수 있으며, 이 페이스플레이트(305)를 통해 전구체들이 프로세싱을 위해 전달될 수 있고 챔버의 프로세싱 구역 내에서 플라즈마를 생성하기 위해 전원과 커플링될 수 있다. 챔버는 또한 예시된 바와 같이 측벽들 및 베이스를 포함할 수 있는 챔버 바디(310)를 포함할 수 있다. 페데스탈 또는 기판 지지부(315)는 이전에 논의된 바와 같이 챔버의 베이스를 통해 연장될 수 있다. 기판 지지부는 반도체 기판(322)을 지지할 수 있는 지지 플래튼(320)을 포함할 수 있다. 지지 플래튼(320)은 챔버의 베이스를 통해 연장될 수 있는 샤프트(325)와 커플링될 수 있다. 샤프트(325)는 예컨대, 히터 또는 척을 위한 커플링(coupling)들과 같은 다수의 유체 및 전기 연결들을 위한 액세스를 제공할 수 있다.
[0034] 예시된 바와 같이, 챔버 바디(310)는, 기판 지지부 주위에서 원주 방향으로 연장되고, 챔버 바디에 의해 그리고/또는 챔버 내의 하나 이상의 부가적인 컴포넌트들로 정의될 수 있는 액세스(330)를 정의할 수 있다. 유체 소스(335)는 액세스(330)와 커플링될 수 있고, 하나 이상의 퍼지 재료들을 액세스를 통해 그리고 기판 지지 플래튼의 후면에 의해 적어도 부분적으로 정의된 볼륨 내로 전달하도록 구성될 수 있다. 임의의 불활성 가스 또는 희가스(noble gas)가 액세스를 통해 유동될 수 있지만, 아래에서 설명되는 바와 같이, 일부 실시예들에서, 유체 소스는 액세스를 통해 질소 및/또는 산소를 전달하여 기판 지지부 주위의 확산 차단을 용이하게 할 수 있다.
[0035] 시스템(300)은 또한 프로세싱 챔버로부터의 배출 경로를 정의하기 위해 협력할 수 있는 부가적인 컴포넌트들을 포함할 수 있다. 일부 종래의 기술들은 증착 재료들이 기판 지지부 주위에서 프로세싱 챔버의 바닥에 있는 배출 로케이션으로 유동하도록 허용할 수 있지만, 본 기술은 챔버의 주변부 주위로 연장되는 배출 경로를 형성할 수 있다. 예시된 바와 같이, 일부 실시예들에서, 시스템(300)은 기판 프로세싱 챔버 주위로 연장될 수 있고 챔버 바디의 일부로서 또는 챔버 바디 상에 안착된 펌핑 라이너(340)를 포함할 수 있다. 챔버 바디의 내부 깊이 내에 예시되지만, 페이스플레이트(305)는 또한 일부 실시예들에서, 펌핑 라이너(340) 상에 직접 안착될 수 있다. 펌핑 라이너(340)는, 라이너 주위에 있고 챔버를 통해 배출 경로로의 유체 액세스를 제공할 수 있는 복수의 어퍼처들(342)을 정의할 수 있다. 배출 경로는 외부 측 상의 챔버 바디(310)에 의해 정의될 수 있지만, 하나 이상의 아이솔레이터들(345)이 배출 경로의 내부 벽을 정의하기 위해 프로세싱 챔버 내에 포지셔닝될 수 있다. 따라서, 프로세싱 챔버의 내부 볼륨의 외부 구역 주위로 연장되는 환형 배출 경로가 형성될 수 있다. 배출 경로는 챔버 바디와 아이솔레이터들 사이에 정의될 수 있다. 배출 경로로의 액세스는 펌핑 라이너(340)를 통한 어퍼처들에 의해 제공될 수 있는 반면, 배출 경로로부터의 유출(egress)은 챔버의 베이스를 통해 발생할 수 있다. 펌프 또는 배출 시스템(350)은 재료들이 프로세싱 챔버로부터 배출되도록 허용할 수 있는 배출 경로와 유체적으로 커플링될 수 있다.
[0036] 아래에서 추가로 설명될 바와 같이, 아이솔레이터(345)는 챔버의 베이스로 이어지는 배출 경로를 정의하는 하나 이상의 환형 컴포넌트들을 포함할 수 있다. 아이솔레이터(345)는 내부 에지에서 펌핑 라이너(340) 상에 정의된 레지(ledge) 상에 안착될 수 있다. 예시된 바와 같이, 펌핑 라이너 상에 안착될 수 있는 아이솔레이터의 외부 에지로 연장되는 베벨(bevel)이 형성될 수 있다. 챔퍼(chamfer), 홈 또는 필렛 에지 프로파일(fillet edge profile)을 포함하는 임의의 다른 에지 프로파일이 형성될 수 있다는 것이 이해되어야 한다. 에지 프로파일은 챔버의 프로세싱 구역으로부터의 재료 유동을 용이하게 하고 프로세싱 구역의 외부 주위로 연장되는 컴포넌트들 상의 증착을 제한하도록 조정될 수 있다. 아이솔레이터(345)는 기판 지지부의 외부 에지와 아이솔레이터의 내부 에지 사이에 갭(355)을 유지하도록 이를테면, 기판 지지 표면에 근접하게 또는 플래튼의 방사상 또는 외부 에지를 따라 포지셔닝될 수 있다. 갭은 임의의 크기로 유지될 수 있지만, 일부 실시예들에서, 갭은 기판 지지 플래튼 뒤의 증착 재료들의 확산을 제한하기 위해 약 5.0mm 이하로 유지될 수 있고, 갭은 약 4.5mm 이하, 약 4.0 mm 이하, 약 3.5 mm 이하, 약 3.0 mm 이하, 약 2.5 mm 이하, 약 2.0 mm 이하, 약 1.5 mm 이하 또는 그 미만으로 유지될 수 있다. 그러나, 갭은 확산을 차단하기 위해 챔버를 통해 위로 유동하는 퍼지 가스의 유동의 난류를 제한할만큼 충분히 넓게 유지될 수 있고, 따라서 일부 실시예들에서, 갭은 약 1.0mm 이상, 약 1.5mm 이상, 약 2.0 mm 이상 또는 그 초과로 유지될 수 있다.
[0037] 라이너 플레이트(360)는 아이솔레이터(345)와 커플링될 수 있고, 라이너 플레이트와 챔버 바디의 베이스 사이에 플레넘을 형성할 수 있다. 플레넘은 프로세싱 챔버로부터 재료들을 제거할 수 있는 배출 시스템에 의해 유체적으로 액세스될 수 있다. 부가적인 블록 또는 아이솔레이터(365)가 챔버 바디의 베이스와 라이너 플레이트(360) 사이에서 연장될 수 있고, 기판 지지 샤프트(325) 주위로 연장될 수 있다. 이는 기판 지지 샤프트 주위의 퍼지 경로 및 액세스(330)가 챔버의 베이스와 라이너 플레이트 사이에 형성된 플레넘으로부터 유체적으로 격리된 채로 유지될 수 있도록 보장할 수 있다. 따라서, 퍼지 유동 경로는 챔버 내 액세스(330)를 지나, 증착 확산을 제한하기 위한 갭(355)을 통과하고, 펌핑 라이너(340) 내 어퍼처들(342)을 통과하고, 그리고 배출 시스템(350)을 통해 밖으로의 유동을 제공하도록 제어될 수 있다.
[0038] 도 4는 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 챔버(400)의 개략적인 부분 단면도를 도시한다. 챔버(400)는 위에서 설명된 바와 같이 시스템(300 또는 200)의 임의의 컴포넌트, 특징 또는 특성을 포함할 수 있고, 시스템(300)의 챔버 컴포넌트들의 부가적인 특징들을 예시할 수 있다. 예컨대, 위에서 논의된 바와 같이, 페이스플레이트(305)는 챔버 바디(310) 상에 안착될 수 있 펌핑 라이너(340) 상에 직접, 이를테면, 예시된 바와 같이 부가적인 아이솔레이터 상에 안착될 수 있다. 펌핑 라이너는 예시된 바와 같이 챔버 바디(310)와 챔버 내의 아이솔레이터(345) 구조 사이에 정의된 배출 경로로의 유체 액세스를 제공하기 위해 어퍼처들(342)을 정의할 수 있다. 부가적으로, 일부 실시예들에서, 아이솔레이터(345)는 아이솔레이터 구조를 형성하기 위해 커플링되거나 결합될 수 있는 다수의 컴포넌트들을 포함할 수 있다. 예컨대, 제1 아이솔레이터(405)는 펌핑 라이너(340)의 내부 레지 상에 안착될 수 있고, 펌핑 라이너의 내부 에지와 기판 지지 플레이트(315)의 외부 사이의 갭을 정의할 수 있다. 프로세싱 챔버의 베이스를 향해 연장될 수 있는 제2 아이솔레이터 및 제3 아이솔레이터가 또한 포함될 수 있다. 제1 아이솔레이터(405)의 최상부 내부 에지는 위에서 논의된 갭 치수들 중 임의의 것에서 초크(choke)를 형성하도록 예시된 바와 같이 립 또는 플랜지를 정의할 수 있다. 라이너 플레이트(360)는 아이솔레이터(345)에 의해 형성된 내부 레지 상에 안착될 수 있고, 챔버 베이스 또는 예시된 바와 같은 펌핑 플레이트와 플레넘을 정의할 수 있고, 또한 퍼지 재료가 기판 지지부 아래의 볼륨 내로 유동되도록 허용할 수 있는 액세스(330)를 적어도 부분적으로 정의할 수 있다.
[0039] 이전에 설명된 바와 같이, 본 기술의 일부 실시예들은 기판 지지부와 페이스플레이트 사이에 정의된 프로세싱 구역 외부의 챔버 컴포넌트 상의 증착을 제한할 수 있는 퍼지 및 배출 유동 경로를 생성할 수 있다. 도 5는 본 기술의 일부 실시예들에 따라 반도체 프로세싱의 예시적인 방법(500)의 동작들을 도시한다. 방법은 이전에 설명된 바와 같이 퍼지 및 배출 경로들을 정의하는 임의의 특징들 또는 컴포넌트들을 포함할 수 있는, 위에서 설명된 프로세싱 시스템들(200 및 300)을 포함하는 다양한 프로세싱 챔버들에서 수행될 수 있다. 방법(500)은 다수의 선택적인 동작들을 포함할 수 있으며, 그 다수의 선택적인 동작들은 본 기술에 따른 방법들의 일부 실시예들과 구체적으로 연관될 수 있거나 또는 구체적으로 연관되지 않을 수 있다. 예컨대, 동작들 중 다수는 더 광범위한 범위의 기술을 제공하기 위해 설명되지만, 본 기술에 중요한 것은 아니거나, 또는 쉽게 인지될 바와 같은 대안적인 방법에 의해 수행될 수 있다.
[0040] 방법(500)은 나열된 동작들의 개시 이전에 부가적인 동작들을 포함할 수 있다. 예컨대, 방법(500)을 개시하기 전에 반도체 프로세싱이 수행될 수 있다. 프로세싱 동작들은 방법(500)이 수행될 수 있는 챔버 또는 시스템에서 수행될 수 있거나, 방법(500)이 수행될 수 있는 세정 시스템 내로 컴포넌트를 전달하기 이전에 하나 이상의 다른 프로세싱 챔버들에서 프로세싱들이 수행될 수 있다. 이를테면, 위에서 설명된 시스템(300)으로부터의 컴포넌트들의 일부 또는 전부를 포함하는 프로세싱 챔버에 기판이 수용되면, 방법(500)은 동작(505)에서 반도체 프로세싱 챔버의 프로세싱 구역에 하나 이상의 증착 전구체들의 플라즈마를 형성하는 단계를 포함할 수 있다. 기판은 위에서 설명된 임의의 컴포넌트, 특징 또는 특성을 포함할 수 있는 기판 지지부 이를테면, 위에서 설명된 지지부(315) 상에 포지셔닝될 수 있다. 형성 동안 그리고 증착 동작 동안을 포함하여, 퍼지 가스는 동작(510)에서 챔버의 베이스를 통해 액세스 내로 유동될 수 있다. 퍼지 가스는 기판 지지부 아래의 볼륨을 통해 유동될 수 있고, 위에서 논의된 바와 같은 제1 아이솔레이터(405)를 포함할 수 있는, 아이솔레이터(345)와 같이 프로세싱 구역 내의 펌핑 라이너 상에 안착된 아이솔레이터와 기판 지지부 사이에 정의된 갭을 통해 유동될 수 있다.
[0041] 하나 이상의 증착 전구체들의 플라즈마 유출물들로부터, 동작(515)에서, 재료가 기판 상에 증착될 수 있다. 잔류 증착 재료들 및 부산물들 둘 모두뿐만 아니라 퍼지 가스는 동작(520)에서 펌핑 라이너 내 어퍼처들을 통해 챔버 바디와 아이솔레이터 사이에 형성된 배출 경로 내로 유동될 수 있다. 이들 재료들은 그 후 프로세싱 챔버로부터 배출될 수 있다. 종래의 기술들은 기판 지지 플래튼의 후면 또는 페데스탈의 샤프트 상에 부가적으로 재료를 증착할 수 있지만, 본 기술은 이전에 언급된 바와 같이 정의된 배출 경로를 활용하고 퍼지 가스를 유동시킴으로써 이 볼륨 내로의 증착 재료들의 확산을 제한할 수 있다.
[0042] 위에서 언급된 바와 같이 임의의 수의 퍼지 가스들이 챔버 내 액세스 및 갭을 통해 유동될 수 있다. 예컨대, 헬륨 또는 아르곤, 수소, 질소, 산소 또는 임의의 다른 재료를 포함하는 임의의 희가스가 퍼지 가스로서 유동될 수 있다. 그러나, 일부 실시예들에서, 퍼지 가스는 프로세싱 챔버 내의 기생 플라즈마 형성을 제한할 수 있는 질소 또는 산소일 수 있거나 이를 포함할 수 있다. 아르곤은 더 낮은 이온화 전위를 특징으로 할 수 있으며, 이 더 낮은 이온화 전위는 갭을 통해 용량성-커플링 플라즈마 구역 내로 유동될 때 이온화하고 형성되는 증착 플라즈마 외부에서 플라즈마 방전을 형성할 수 있고, 일부 실시예들에서 증착에 영향을 미치거나 챔버 컴포넌트들에 대한 손상을 야기할 수 있다. 질소 및 산소는 기판 지지부의 외부 에지에서 갭 구역에서의 방전을 제한할 수 있는 더 높은 이온화 에너지를 특징으로 할 수 있다.
[0043] 퍼지 가스의 흐름은 증착의 양상들에 영향을 미칠 수 있다. 예컨대, 하나의 비-제한적인 예에서, 탄소 하드마스크는 본 기술의 실시예들에 따른 프로세스들 및 장비를 활용하여 기판 상에 증착될 수 있다. 일부 실시예들에서, 증착 전구체들은 탄소-및-수소-함유 전구체, 및/또는 하나 이상의 캐리어 가스들로 제한될 수 있다. 질소가 증착 전구체로서 활성으로 유동되지 않을 수 있지만, 환경에 기초하여 형성된 막 내에 미량의 질소가 자연적으로 혼입될 수 있다. 퍼지 가스로서 질소를 활용할 때, 막 내의 질소 혼입이 에지 구역들에서 증가될 수 있으며, 이는 마스크 재료의 동작 성능에 영향을 미칠 수 있다. 질소 혼입의 양을 제한하기 위해, 퍼지 가스로서의 질소의 유량은 챔버 내 액세스를 통해 도입되는 약 2000 sccm 이하의 질소로 제한될 수 있고, 유량은 약 1800 sccm 이하, 약 1600 sccm 이하, 약 1400 sccm 이하, 약 1200 sccm 이하, 약 1000 sccm 이하, 약 800 sccm 이하, 약 600 sccm 이하 또는 그 미만으로 제한될 수 있다. 그러나 위에서 설명된 바와 같은 갭 사이징(gap sizing)에 의존하여, 퍼지 가스 유량들이 감소될 때, 볼륨 내로의 확산이 증가될 수 있다. 따라서, 일부 실시예들에서, 유량은 약 500 sccm 이상, 약 700 sccm 이상 또는 그 초과로 유지될 수 있다.
[0044] 질소 유동을 제어함으로써, 퍼지 가스는 증착 구역에서 제한될 수 있으며, 이는 증착되는 막 내의 혼입을 제한할 수 있다. 따라서, 일부 실시예들에서, 탄소 하드마스크 또는 다른 막 내 질소 혼입은 기판의 에지 구역에서 1 마이크로미터의 깊이에서 약 0.50% 이하로 제한될 수 있고, 약 0.45% 이하, 약 0.40% 이하, 약 0.35% 이하, 약 0.30% 이하, 약 0.25% 이하, 약 0.20% 이하, 약 0.15% 이하, 약 0.10% 이하, 약 0.05% 이하, 약 0.03% 이하, 또는 그 미만으로 제한될 수 있다.
[0045] 산소가 퍼지 가스로서 사용될 때, 산소는 또한 플라즈마 증착과 상호작용할 수 있다. 재차, 탄소 하드마스크의 비-제한적인 예에 대해, 증착 구역 내로 유동될 수 있는 산소는 기판으로부터 탄소의 부분들을 에칭할 수 있다. 그러나, 위에서 언급된 바와 같은 유량들 중 임의의 것으로 유량을 제어함으로써, 기판의 먼 에지 구역 또는 베벨에서 에칭이 유지될 수 있으며, 이는 이러한 구역들에서의 증착에 의해 야기되는 입자 생성 또는 에지 박리를 유리하게 제한하거나 감소시킬 수 있다.
[0046] 펌핑 라이너에 대해 기판 지지부가 포지셔닝되는 장소가 또한 수행되는 프로세스들의 확산 양상들에 또한 영향을 미칠 수 있다. 일부 실시예들에서, 기판은 펌핑 라이너에 대해 다양한 높이들로 유지될 수 있으며, 이 다양한 높이들은 펌핑 라이너와 일렬로, 펌핑 라이너 위에 또는 펌핑 라이너 아래에, 또는 펌핑 라이너를 통한 임의의 평면을 포함할 수 있다. 그러나 이 높이 관계들은 증착 양상들에 영향을 미칠 수 있으며, 이는 부가적인 유동 또는 갭 조정들에 의해 수용될 수 있다. 예컨대, 기판 지지부의 기판 지지 표면이 펌핑 라이너의 높이 아래에 있을 때, 펌핑 라이너까지의 유동은 더 많은 퍼지 가스들이 증착 구역을 향해 유동되게 할 수 있으며, 이는 막에 부가적인 재료를 혼입할 수 있다. 기판 지지부가 펌핑 라이너의 높이 위에 있을 때, 기판 지지 플래튼의 노출된 방사상 에지들 상에서 증착이 발생할 수 있지만, 유동은 배출 경로로 직접 풀링될 수 있다.
[0047] 기판이 프로세싱되면, 기판은 챔버로부터 제거될 수 있고 세정 동작이 수행될 수 있다. 퍼지 가스는 세정 동작 동안 감소되거나 중단될 수 있으며, 이는 발생했을 수 있는 증착 재료들의 일정량의 확산을 제거하기 위해 세정 유출물들이 볼륨에 액세스하도록 허용할 수 있다. 본 기술의 실시예들에 따라 증착 재료들의 확산이 제한될 수 있기 때문에, 감소된 세정 시간들로 인해 개선된 처리량이 제공될 수 있다.
[0048] 이전의 설명에서, 설명의 목적들로, 본 기술의 다양한 실시예들의 이해를 제공하기 위해 다수의 세부사항들이 제시되었다. 그러나, 이들 세부사항 중 일부가 없이, 또는 부가적인 세부사항들과 함께, 특정 실시예들이 실시될 수 있다는 것이 당업자에게 자명할 것이다.
[0049] 여러 실시예들에 개시되었지만, 실시예들의 사상으로부터 벗어나지 않으면서, 다양한 수정들, 대안적인 구조들, 및 등가물들이 사용될 수 있다는 것이 당업자들에 의해 인식될 것이다. 부가적으로, 본 기술을 불필요하게 모호하게 하는 것을 방지하기 위해, 다수의 잘-알려진 프로세스들 및 엘리먼트들이 설명되지 않았다. 따라서, 위의 설명은 본 기술의 범위를 제한하는 것으로 이해되지 않아야 한다.
[0050] 값들의 범위가 주어진 경우, 그러한 값들의 범위의 상위 한계값과 하위 한계값 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않은 한 하위 한계값의 최소 자릿수의 단 단위 값의 10분의 1까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 명시되지 않은 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 임의의 더 좁은 범위가 포함된다. 이러한 소범위의 상위 한계값 및 하위 한계값은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상위 한계값과 하위 한계값 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지, 둘 모두가 그러한 소범위에서 제외되는지 간에, 구체적으로 제외된 임의의 한계값이 명시된 범위에 있는 한, 또한 본 기술에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
[0051] 본원 및 첨부 청구항들에서 사용되는 바와 같이, 단수형들은 문맥상 명확히 달리 지시되지 않는 한 복수의 지시대상들을 포함한다. 따라서, 예컨대, "어퍼처"에 대한 참조는 복수의 그러한 어퍼처들을 포함하고, "유체"에 대한 참조는 하나 이상의 유체들, 및 당업자에게 알려져 있는 그 유체들의 등가물들에 대한 참조를 포함하는 등이다.
[0052] 또한, 본 명세서에서 그리고 다음의 청구항들에서 사용되는 경우, "포함한다(comprise)", "포함하는(comprising)", "함유한다(contain)", "함유하는(containing)", "포함한다(include)", 그리고 "포함하는(including)"이란 단어들은 진술된 특징들, 인티저(integer)들, 컴포넌트들 또는 동작들의 존재를 특정하는 것으로 의도되지만, 이들은 하나 이상의 다른 특징들, 인티저들, 컴포넌트들, 동작들, 액트들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (20)

  1. 반도체 프로세싱 시스템으로서,
    측벽들 및 베이스를 포함하는 챔버 바디;
    상기 챔버 바디의 베이스를 통해 연장되는 기판 지지부 ― 상기 챔버 바디는 상기 챔버 바디의 베이스에서 상기 기판 지지부 주위로 원주방향으로 연장되는 액세스(access)를 정의함 ― ;
    상기 챔버 바디 내에 배치된 하나 이상의 아이솔레이터(isolator)들 ― 상기 하나 이상의 아이솔레이터들은 상기 하나 이상의 아이솔레이터들과 상기 챔버 바디 사이의 배출 경로를 정의하고, 상기 배출 경로는 상기 챔버 바디의 베이스까지 연장됨 ― ; 및
    상기 기판 지지부 주위로 연장되는 상기 액세스에서 상기 챔버 바디와 유체적으로 커플링된 유체 소스를 포함하는,
    반도체 프로세싱 시스템.
  2. 제1 항에 있어서,
    상기 하나 이상의 아이솔레이터들이 안착되는 펌핑 라이너를 더 포함하고, 상기 펌핑 라이너는 상기 배출 경로로의 유체 액세스를 제공하는 복수의 어퍼처들을 정의하는,
    반도체 프로세싱 시스템.
  3. 제2 항에 있어서,
    상기 하나 이상의 아이솔레이터들은 상기 펌핑 라이너 상에 안착된 제1 아이솔레이터를 포함하는,
    반도체 프로세싱 시스템.
  4. 제3 항에 있어서,
    상기 기판 지지부의 기판 지지 표면에 근접한 상기 제1 아이솔레이터와 상기 기판 지지부 사이에 갭이 유지되는,
    반도체 프로세싱 시스템.
  5. 제4 항에 있어서,
    상기 갭은 약 5mm 이하로 유지되는,
    반도체 프로세싱 시스템.
  6. 제1 항에 있어서,
    상기 하나 이상의 아이솔레이터들 상에 안착된 라이너 플레이트를 더 포함하고, 상기 라이너 플레이트는 상기 챔버 바디의 베이스에서 그리고 상기 챔버 바디 내에서 플레넘(plenum)을 형성하는,
    반도체 프로세싱 시스템.
  7. 제6 항에 있어서,
    상기 플레넘은 상기 챔버 바디의 베이스에서 상기 기판 지지부 주위로 연장되는 상기 액세스로부터 유체적으로 격리되는,
    반도체 프로세싱 시스템.
  8. 제1 항에 있어서,
    상기 유체 소스는 질소 또는 산소를 포함하는,
    반도체 프로세싱 시스템.
  9. 반도체 프로세싱 방법으로서,
    반도체 프로세싱 챔버의 프로세싱 구역에서 증착 전구체의 플라즈마를 형성하는 단계;
    상기 프로세싱 구역 내의 펌핑 라이너 상에 안착된 아이솔레이터와 기판 지지부 사이에 정의된 갭을 통해 퍼지 가스를 유동시키는 단계;
    상기 기판 지지부 상에 안착된 기판 상에 재료를 증착하는 단계; 및
    상기 펌핑 라이너를 통해 증착 부산물들 및 퍼지 가스를 배출하는 단계를 포함하는,
    반도체 프로세싱 방법.
  10. 제9 항에 있어서,
    상기 증착된 재료는 약 0.5% 이하의 질소 혼입을 특징으로 하는,
    반도체 프로세싱 방법.
  11. 제9 항에 있어서,
    상기 기판 지지부는 상기 증착 동안 상기 펌핑 라이너 위로 적어도 부분적으로 연장되는,
    반도체 프로세싱 방법.
  12. 제9 항에 있어서,
    상기 반도체 프로세싱 챔버는,
    측벽들 및 베이스를 포함하는 챔버 바디를 포함하고,
    상기 기판 지지부는 상기 챔버 바디의 베이스를 통해 연장되고,
    상기 챔버 바디는 상기 챔버 바디의 베이스에서 상기 기판 지지부 주위로 원주방향으로 연장되는 액세스를 정의하고,
    상기 아이솔레이터는 상기 챔버 바디 내에 배치된 하나 이상의 아이솔레이터들을 포함하고,
    상기 하나 이상의 아이솔레이터들은 상기 하나 이상의 아이솔레이터들과 상기 챔버 바디 사이의 배출 경로를 정의하고, 상기 배출 경로는 상기 펌핑 라이너를 통해 유체적으로 액세스되고, 그리고
    상기 배출 경로는 상기 챔버 바디의 베이스까지 연장되는,
    반도체 프로세싱 방법.
  13. 제12 항에 있어서,
    상기 갭은 상기 기판 지지부의 기판 지지 표면에 근접한 상기 하나 이상의 아이솔레이터들의 제1 아이솔레이터와 상기 기판 지지부 사이에 유지되는,
    반도체 프로세싱 방법.
  14. 제13 항에 있어서,
    상기 갭은 약 5mm 이하로 유지되는,
    반도체 프로세싱 방법.
  15. 제12 항에 있어서,
    상기 반도체 프로세싱 챔버는 상기 반도체 프로세싱 시스템 내에 통합되고, 상기 반도체 프로세싱 시스템은,
    상기 기판 지지부 주위로 연장되는 상기 액세스에서 상기 챔버 바디와 유체적으로 커플링된 유체 소스를 더 포함하는,
    반도체 프로세싱 방법.
  16. 제15 항에 있어서,
    상기 유체 소스는 질소 또는 산소인,
    반도체 프로세싱 방법.
  17. 제12 항에 있어서,
    상기 반도체 프로세싱 챔버는,
    상기 하나 이상의 아이솔레이터들 상에 안착된 라이너 플레이트를 더 포함하고, 상기 라이너 플레이트는 상기 챔버 바디의 베이스에서 그리고 상기 챔버 바디 내에서 플레넘을 형성하는,
    반도체 프로세싱 방법.
  18. 제17 항에 있어서,
    상기 플레넘은 상기 챔버 바디의 베이스에서 상기 기판 지지부 주위로 연장되는 상기 액세스로부터 유체적으로 격리되는,
    반도체 프로세싱 방법.
  19. 반도체 프로세싱 시스템으로서,
    측벽들 및 베이스를 포함하는 챔버 바디;
    상기 챔버 바디의 베이스를 통해 연장되는 기판 지지부 ― 상기 챔버 바디는 상기 챔버 바디의 베이스에서 상기 기판 지지부 주위로 원주방향으로 연장되는 액세스를 정의함 ― ;
    펌핑 라이너 ― 상기 펌핑 라이너는 상기 챔버 바디 상에 안착됨 ― ;
    상기 챔버 바디 내에 배치된 하나 이상의 아이솔레이터들 ― 상기 하나 이상의 아이솔레이터들은 상기 펌핑 라이너 상에 안착된 제1 아이솔레이터를 포함하고, 상기 하나 이상의 아이솔레이터들은 상기 하나 이상의 아이솔레이터들과 상기 챔버 바디 사이의 배출 경로를 정의하고, 상기 배출 경로는 상기 챔버 바디의 베이스까지 연장됨 ― ; 및
    상기 기판 지지부 주위로 연장되는 상기 액세스에서 상기 챔버 바디와 유체적으로 커플링된 유체 소스를 포함하는,
    반도체 프로세싱 시스템.
  20. 제19 항에 있어서,
    상기 하나 이상의 아이솔레이터들 상에 안착된 라이너 플레이트를 더 포함하고, 상기 라이너 플레이트는 상기 챔버 바디의 베이스에서 그리고 상기 챔버 바디 내에서 플레넘을 형성하고, 상기 플레넘은 상기 챔버 바디의 베이스에서 상기 기판 지지부 주위로 연장되는 상기 액세스로부터 유체적으로 격리되는,
    반도체 프로세싱 시스템.
KR1020237016912A 2020-10-22 2021-10-18 프로세싱 챔버 증착 한정 KR20230093284A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/077,624 US20220130650A1 (en) 2020-10-22 2020-10-22 Processing chamber deposition confinement
US17/077,624 2020-10-22
PCT/US2021/055468 WO2022086874A1 (en) 2020-10-22 2021-10-18 Processing chamber deposition confinement

Publications (1)

Publication Number Publication Date
KR20230093284A true KR20230093284A (ko) 2023-06-27

Family

ID=81257550

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237016912A KR20230093284A (ko) 2020-10-22 2021-10-18 프로세싱 챔버 증착 한정

Country Status (6)

Country Link
US (1) US20220130650A1 (ko)
JP (1) JP2023546699A (ko)
KR (1) KR20230093284A (ko)
CN (1) CN116568861A (ko)
TW (1) TWI811817B (ko)
WO (1) WO2022086874A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220165567A1 (en) * 2020-11-25 2022-05-26 Applied Materials, Inc. Systems and methods for deposition residue control

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4437394B2 (ja) * 2003-10-01 2010-03-24 芝浦メカトロニクス株式会社 真空処理装置
KR100943436B1 (ko) * 2005-03-11 2010-02-19 주식회사 에이디피엔지니어링 플라즈마 처리장치
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
KR101804128B1 (ko) * 2011-12-26 2017-12-05 주식회사 원익아이피에스 기판처리장치
WO2014052388A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. An apparatus and method for purging gaseous compounds
JP6026333B2 (ja) * 2013-03-25 2016-11-16 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US10600624B2 (en) * 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11492705B2 (en) * 2019-07-04 2022-11-08 Applied Materials, Inc. Isolator apparatus and methods for substrate processing chambers
US11643725B2 (en) * 2021-03-26 2023-05-09 Applied Materials, Inc. Hardware to prevent bottom purge incursion in application volume and process gas diffusion below heater

Also Published As

Publication number Publication date
WO2022086874A1 (en) 2022-04-28
CN116568861A (zh) 2023-08-08
TW202224061A (zh) 2022-06-16
JP2023546699A (ja) 2023-11-07
US20220130650A1 (en) 2022-04-28
TWI811817B (zh) 2023-08-11

Similar Documents

Publication Publication Date Title
US20230298870A1 (en) Chamber configurations and processes for particle control
WO2021257318A1 (en) Asymmetric exhaust pumping plate design for a semiconductor processing chamber
KR20230093284A (ko) 프로세싱 챔버 증착 한정
KR20230109169A (ko) 증착 잔류물 제어를 위한 시스템들 및 방법들
US20220199373A1 (en) Methods to eliminate of deposition on wafer bevel and backside
JP2023533858A (ja) マルチステージポンピングライナ
US20220108872A1 (en) Bevel backside deposition elimination
US20220122819A1 (en) Semiconductor chamber components for back diffusion control
US11430641B1 (en) Processing systems and methods to control process drift
US20230033058A1 (en) Reactor with inductively coupled plasma source
US20230390811A1 (en) Throttle valve and foreline cleaning using a microwave source
KR20240064762A (ko) 하류 잔류물 관리 하드웨어
KR20220153634A (ko) 고 전도도 프로세스 키트
TW202213615A (zh) 具有邊緣流體控制的面板