JP2023133256A - メモリ装置構造及びその製造方法 - Google Patents

メモリ装置構造及びその製造方法 Download PDF

Info

Publication number
JP2023133256A
JP2023133256A JP2023037210A JP2023037210A JP2023133256A JP 2023133256 A JP2023133256 A JP 2023133256A JP 2023037210 A JP2023037210 A JP 2023037210A JP 2023037210 A JP2023037210 A JP 2023037210A JP 2023133256 A JP2023133256 A JP 2023133256A
Authority
JP
Japan
Prior art keywords
layer
ferroelectric
semiconductor
insulator
bottom electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023037210A
Other languages
English (en)
Inventor
ウェイ ティン シー
Yu-Wei Ting
坤意 陳
Kuen-Yi Chen
逸軒 陳
Yat Hin Chan
裕偉 丁
Yu Wei Ting
怡情 王
Yi Ching Ong
國欽 黄
Kuo-Ching Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2023133256A publication Critical patent/JP2023133256A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】メモリ装置構造及びその製造方法を提供する。【解決手段】本開示による方法は、基板の上方に底部電極層を形成する工程と、底部電極層の上方に絶縁体層を形成する工程と、底部電極層の上方に異なる厚さを有する複数の部分を含む半導体層を堆積する工程と、半導体層の上方に強誘電体層を堆積する工程と、強誘電体層の上方に頂部電極層を形成する工程と、底部電極層、絶縁体層、半導体層、強誘電体層、及び頂部電極層をパターン化してメモリスタックを形成する工程と、を有する。【選択図】図3

Description

本開示は、半導体装置及び半導体装置の製造方法に関し、特に、メモリスタックを含む半導体装置の製造方法に関する。
集積回路(integrated circuit;IC)産業は、指数関数的成長を経験してきた。IC材料及び設計での技術的進歩により、前世代よりも小さく複雑な回路を持つ集積回路が世代を重ねて生み出されている。ICの発展過程で、機能密度(即ち、チップ面積当たりの相互接続装置の数)は一般的に増加するが、幾何学的サイズ(即ち、製造プロセスを使用して生成可能な最小の組立部品(又は配線))は減少する。このような規模縮小の過程は、一般的に、生産効率の高め及び関連コストの削減によって利益を与える。
米国特許第8802504号 米国特許第8803292号 米国特許第8803316号 米国特許第8993380号 米国特許第9281254号 米国特許第9299649号 米国特許第9372206号 米国特許第9425126号 米国特許第9443783号 米国特許第9496189号 米国特許第10522749号 米国特許出願公開第20210057639号 米国特許出願公開第20210098685号 米国特許出願公開第20210098695号
U.S.Serial No.17/199,626 filed on March 12, 2021 by inventors Yu-Feng YIN, Tai-Yen PENG, An-Shen CHANG, Han-Ting TSAI, Qiang FU, Chung-Te LIN for "Low-Resistance Contact to Top Electrodes for Memory Cells and Methods for Forming the Same,"53 pages of text, 25 pages of drawings(Attorney Docket No.35044-058US). U.S.Serial No.16/887,244 filed on March 29, 2020 by inventors Tai-Yen PENG et al.,for "Magnetic Tunnel Junction Device and Method,"42 pages of text, 45 pages of drawings (Attorney Docket No.:TSMP20190516US00). U.S.Serial No.17/224,309 filed April 7, 2020, by inventors Yu-Feng YIN for "Top Interconnection Metal Lines for a Memory Array Device and Methods for Forming the Same,"57 pages of text, 25 pages of drawings (Attorney Docket No.35044-077US). U.S.Serial No.17/008,000 filed August 31, 2020, by inventors Yu-Feng YIN et al.,"Semiconductor Device and Manufacturing Method Thereof,"26 pages of texts,23 pages of drawings (Attorney Docket No.18506-1801).
例としては、多くの現代の電子装置にはデータを記憶するための電子メモリが含まれているが、電子メモリの表面データ密度は通常、半導体装置のスケーリング能力によって制限される。半導体業界では、揮発性メモリ装置や不揮発性メモリ装置の何れであっても、メモリ装置の表面データ密度を継続的に向上させる必要がある。揮発性メモリ装置は、通常、通電される時にデータを記憶するが(即ち、電力をオンにする時にデータを記憶する)、不揮発性メモリ装置は通電されていない場合でもデータを記憶することができる(即ち、電力をオン又は電力をオフにする時にデータを記憶する)。強誘電体に基づくメモリ装置は、高速読み取り/書き込み時間、高スイッチング耐久性、及び/又は低消費電力等の優れた電気的特性を有するので、次世代の不揮発性メモリ技術の有望な候補となる。従来の強誘電体に基づくメモリ装置は、一般的に、その意図される目的を満たすのに十分であるが、個々のトランジスタが小さくなる速度が遅くなる場合でも高い表面データ密度を提供する等、全ての態様では完全に満足できるわけではない。
幾つかの実施形態において、本開示は、基板の上方に底部電極層を形成する工程と、底部電極層の上方に絶縁体層を形成する工程と、底部電極層の上方に異なる厚さを有する複数の部分を含む半導体層を堆積する工程と、半導体層の上方に強誘電体層を堆積する工程と、強誘電体層の上方に頂部電極層を形成する工程と、底部電極層、絶縁体層、半導体層、強誘電体層、及び頂部電極層をパターン化してメモリスタックを形成する工程と、を有する方法に関する。
幾つかの実施形態において、本開示は、メモリスタックを含む半導体装置の形成方法であって、第1の誘電体層の中に設けられる導電特性を持つワークを提供する工程と、ワークの上方にエッチングストッパ層を堆積する工程と、エッチングストッパ層を介して、導電特性に接触するコンタクトホールを形成する工程と、エッチングストッパ層及びコンタクトホールの上方に底部電極層を堆積する工程と、底部電極層の上にメモリスタックの複数のエネルギー障壁幅を提供するための欠乏領域を形成する工程と、欠乏領域の上方に非分極層を堆積する工程と、非分極層の上方に分極層を堆積する工程と、分極層の上方に頂部電極層を堆積する工程と、底部電極層、欠乏領域、非分極層、分極層、及び頂部電極層をパターン化してメモリスタックを形成する工程と、を有するメモリスタックを含む半導体装置の形成方法に関する。
幾つかの実施形態において、本開示は、基板に設けられる底部電極と、底部電極に設けられる絶縁体層(絶縁体層は、異なる厚さを有する複数のセグメントを含む)と、絶縁体層に設けられる半導体層と、半導体層に設けられる誘電体層と、誘電体層に設けられる強誘電体層と、強誘電体層に設けられる頂部電極と、を含む半導体装置に関する。
本開示の態様は、添付図面と共に検討される場合、以下の詳細な説明から最適に理解される。注意すべきなのは、業界の標準仕様では、様々な特性が比例して描画されず、説明のみを目的としていることである。実際には、様々な特性のサイズは、明確にするために、任意に増減されてよい。
本開示の各態様による強誘電体スタックの幾つかの実施例を示す部分断面図である。 本開示の各態様による強誘電体スタックの幾つかの実施例を示す部分断面図である。 本開示の各態様による強誘電体スタックの幾つかの実施例を示す部分断面図である。 本開示の各態様による強誘電体スタックの幾つかの実施例を示す部分断面図である。 本開示の各態様による強誘電体スタックの幾つかの実施例を示す部分断面図である。 本開示の各態様による強誘電体スタックの幾つかの実施例を示す部分断面図である。 それぞれ本開示の各態様による単層セル(single-level cell;SLC)メモリ装置と多層セル(multi-level cell;MLC)メモリ装置の電流-電圧関係を示す図である。 それぞれ本開示の各態様による単層セル(single-level cell;SLC)メモリ装置と多層セル(multi-level cell;MLC)メモリ装置の電流-電圧関係を示す図である。 本開示の各態様によるメモリ装置構造を形成する実例方法を示すフローチャートである。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。 本開示の各態様による図3に示す実例方法の操作を経たワークを示す部分断面図である。
本開示は、一般的に、メモリ装置に関し、より具体的に、強誘電体に基づくメモリ装置に用いられる強誘電体スタック及びその製造方法に関する。
以下の開示内容は、提供された目的物の異なる特性を実施するための多くの異なる実施例又は実例を提供する。以下、本開示を簡略化するために、組立部品及び配置の特定の例について説明する。勿論、これらは、例に過ぎず、制限的なものではない。例えば、以下の説明では、第1の特性は第2の特性の上方又は上に形成されることは、第1の特性が第2の特性と直接接触するように形成される実施例を含むことができ、また、第1の特性が第2の特性に直接接触しないように追加の特性が第1の特性と第2の特性との間に形成されてもよい実施例を含むこともできる。なお、本開示は、様々な例では、数字及び/又は文字を繰り返して参照することができる。この重複は単純化及び明確化を目的とし、且つ議論された様々な実施例及び/又は構成の間の関係を示すものではない。
なお、説明の便宜上、本明細書では、「~の下方にある」、「~の下にある」、「下部」、「~の上にある」、「上部」及び類似のもの等の空間相対用語を使用して、図中に示す1つの素子又は特性と他の(複数の)素子(要素)又は特性との関係を説明することができる。空間相対用語は、図に示されている配向以外の装置が使用又は操作する際の異なる配向を含むことができる。装置は、別の配向(90度回転又は他の配向)に向けることができ、且つ本文に使用される空間相対記述子は同様に解釈されることができる。
なお、数又は数の範囲については、「約」、「おおよそ」、及び類似のもので表す場合、当業者が理解される製造期間に固有する変化を考慮すると、当該用語は合理的な範囲の数を含むことを意図する。例えば、数又は数の範囲は、製造とその数に関連付けられた特性を持つ特性に関連する既知の製造許容度に基づいて、その数の+/-10%範囲等、合理的な範囲を含む。例えば、厚さ「約5nm」の材料層は4.5nm~5.5nmのサイズ範囲を含むことができ、当業者であれば、堆積材料層に関連する製造許容度が+/-10%であることは知られている。なお、本開示は、様々な実例では、数字及び/又は文字を繰り返して参照してよい。この重複は、単純化及び明確化を目的とし、且つ議論された様々な実施例及び/又は構成の間の関係を示すものではない。
強誘電体に基づくメモリ装置(又は強誘電体メモリ装置)は、不揮発性メモリ(即ち、電力がない場合でもデータを記憶できるメモリ)である。強誘電体メモリ装置は、例えば、強誘電体電界効果トランジスタ(ferroelectric field effect transistor;FeFET)、強誘電体ランダムアクセスメモリ(FeRAM又はFRAM)装置、又は強誘電体トンネル接合(ferroelectric tunnel junction;FTJ)メモリ装置であり、通常、強誘電体スタックを有し、底部電極と頂部電極との間にスタックされた強誘電体スイッチング層(ferroelectric switching layer;FSL)を含む。
図1Aは、本開示の各態様による強誘電体スタック12の一部又は全体を示す部分断面図である。強誘電体スタック12は、基板10の上方に置かれる。強誘電体スタック12は、底部電極16と頂部電極18との間に設けられるFSLスタック14を含む(即ち、複数の層を含む)。幾つかの実施例において、底部電極16及び頂部電極18は何れも金属であり、且つ強誘電体スタック12は金属-強誘電体スイッチング層(ferroelectric switching layer;FSL)-金属(metal-ferroelectric switching layer-metal;MFM)スタックとも呼ばれる。強誘電体スタック12は、強誘電体メモリ装置に実施されてもよい。幾つかの実施例において、強誘電体メモリ装置はトランジスタを含み、強誘電体スタック12はトランジスタのメタルゲートに整合され又はそれに組み合わせられる。このような構成は、強誘電体電界効果トランジスタ(ferroelectric field effect transistor;FeFET)状メモリ装置と呼ばれる場合がある。幾つかの実施例において、FeFET状メモリ装置は、MFM-MIS構造(即ち、MFMスタック、例えば、強誘電体スタック12、金属-絶縁体-半導体(metal-insulator-semiconductor;MIS)に接続される構造(例えば、ゲート電極-ゲート誘電体-半導体基板))、MFMIS構造(即ち、MFMスタック、例えば、強誘電体スタック12、トランジスタを取り替えるMIS構造の公知のメタルゲート(例えば、MFMスタック-ゲート誘電体-半導体基板))、又は他の適切なFeFET状メモリ装置構造を有する。幾つかの実施例において、強誘電体メモリ装置はコンデンサに接続されたトランジスタを含み、強誘電体スタック12はFeRAM等のコンデンサとして実施される。幾つかの実施例において、強誘電体スタック12はFTJを提供する。FTJは量子力学的トンネルを可能にする薄強誘電体層(ナノスケールで測定)を含む。量子力学的トンネルは、オン/オフ(ON/OFF)抵抗を高く識別可能なトンネル抵抗を生成する。
FSLスタック14は少なくとも1層の強誘電体材料を含み、強誘電体材料とは、通常、電界が印加される場合に分極を示し、電界を除去(又は減少)する場合に続けて分極を示す材料である。このため、強誘電体材料は、分極材料とも呼ばれる。一般的に、強誘電体材料は、本質的な電気双極子を有し、電界を介して分極状態の間、例えば第1の分極状態と第2の分極状態との間に切り替えることができる。第1の分極状態は、第1のデータ状態、例えばロジック「1」に対応できる(例えば、強誘電体メモリ装置の第1の抵抗又は第1の容量に依存する)。第2の分極状態は、第2のデータ状態、例えばロジック「0」に対応できる(例えば、強誘電体メモリ装置の第2の抵抗又は第2の容量に依存する)。強誘電体メモリ装置の分極状態を設定及び/又は取得するように、電圧線、文字線、及びビット線を強誘電体メモリ装置に電気的に接続して、強誘電体メモリ装置からデータを書き込み及び/又は読み取ることができる。書き込み操作を実行するために、強誘電体メモリ装置に電界を印加して、FSLスタックの分極状態を第1の分極状態又は第2の分極状態(即ち、記憶ロジック「1」又はロジック「0」)に設定することができ、例としては、プログラムされた電圧及び/又は消去された電圧等の電圧はそれぞれ文字線及び/又は電圧線を介して頂部電極及び/又は底部電極に印加される。読み取り操作を実行するために、強誘電体メモリ装置の抵抗又は容量を感知することができる。例えば、図2A中の電流-電圧(current-voltage;I-V)図に示すように、読み取り電圧(read voltage;Vread)等の電圧はそれぞれ文字線及び/又は電圧線を介して頂部電極及び/又は底部電極に印加され、ビット線の抵抗(例えば、電流の感知)を感知することによりFSLスタック14が第1の分極状態又は第2の分極状態を有するかを判定することで、強誘電体メモリ装置がロジック「1」又はロジック「0」を記憶するかを判定し、1つのデータビットに対応する。各FSLスタック14が1つのデータビットを記憶するため、図2A中のI-V図に関連付けられた強誘電体メモリ装置は、単層セル(single-level cell;SLC)メモリ装置とも呼ばれる。
一方、FSLスタック14がメモリユニットに記憶された1つ以上のデータビットに対応する2つ以上の抵抗値を有するように構成されると、強誘電体メモリ装置は、多層セル(multi-level cell;MLC)メモリ装置と呼ばれる。MLCメモリ装置は、SLCメモリ装置より高い表面データ密度を提供する。
図1Bは、図1A中のFSLスタック14の実施例を更に示し、FSLスタック内部の多層の詳細な配置はFSLスタック14をMLCとして構成することを可能にする。図1Bは、本開示の各態様による強誘電体スタック12を示す部分断面図であり、強誘電体スタック12はMLCとして部分的又は全部的にFSLスタックを含む。
強誘電体スタック12は、基板10の上方に設けられる。幾つかの実施例において、強誘電体スタック12は、底部電極16が基板10に物理的に接触するように、基板10に直接設けられる。幾つかの実施例において、強誘電体スタック12と基板10との間に1つ又は複数の層が設けられる。幾つかの実施例において、強誘電体スタック12は、基板10に電気的に接続されるが、物理的に接続されない。説明される実施例において、底部電極16はFSLスタック14の底表面に物理的に接触され、頂部電極18はFSLスタック14の頂表面に物理的に接触される。底部電極16は、金属層、金属窒化物層、金属酸化物層、又は半導体層であってもよい。一実例において、底部電極16は、Al、Ti、Ta、Au、Pt、W、Ni、Ir、他の適切な金属、その合金(例えば、TaN、TiN、及び/又は他の適切な合金)、又はそれらの組み合わせを含んでよい。別の例において、底部電極16は、IrO等の金属酸化物を含んでよい。また別の例において、底部電極16はSi、Ge、SiGe、多結晶シリコン(n型ドープ又はp型ドープ)等の半導体材料、III-V化合物半導体(例えば、InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、又は類似物を含むが、これらに制限されない)、又はそれらの組み合わせを含んでもよい。頂部電極18は、金属層、金属窒化物層、金属酸化物層、又は多結晶シリコン層であってもよい。一実例において、頂部電極18はAl、Ti、Ta、Au、Pt、W、Ni、Ir、他の適切な金属、その合金(例えば、TaN、TiN、及び/又は他の適切な合金)、又はそれらの組み合わせを含んでよい。別の実例において、頂部電極18はIrO等の金属酸化物を含んでよい。また別の例において、頂部電極18は多結晶シリコン(n型ドープ又はp型ドープ)を含んでよい。幾つかの実施例において、底部電極16は半導体材料を含み、頂部電極18は金属層である。幾つかの実施例において、底部電極16と頂部電極18は同じ材料(例えば、同じ金属材料)で構成される。幾つかの実施例において、底部電極16は多層構造を有し、例えば、第1の底部電極層が第2の底部電極層の上方に設けられ、第1の底部電極層と第2の底部電極層は、異なる組成を有する。幾つかの実施例において、頂部電極18は多層構造を有し、例えば、第1の頂部電極層が第2の頂部電極層の上方に設けられ、第1の頂部電極層と第2の頂部電極層は、異なる組成を有する。
FSLスタック14は、強誘電体特性を有する強誘電体層20を含む。強誘電体層20は、強誘電体スイッチング層(ferroelectric switching layer;FSL)とも呼ばれる。強誘電体層20は、強誘電体材料(分極材料)を含む。強誘電体層20は、単層又は多層構造を有し、例えば、第1の強誘電体層が第2の強誘電体層の上方に設けられ、第1の強誘電体層と第2の強誘電体層は、異なる組成を有する。強誘電体材料は、約28以上の誘電率(k)(例えば、k≧28)を有する誘電体材料等の高k誘電体材料であり、直交結晶構造を有する。幾つかの実施例において、強誘電体層20は、金属酸化物材料、金属酸窒化物材料、又は元素がドープされた金属酸化物を含む。例えば、強誘電体層20は、酸化ハフニウム系の材料又は酸化ジルコニウム系の材料を含んでよい。更なる実例において、強誘電体層20は、酸化ハフニウム(例えば、Hf)、酸化ハフニウムジルコニウム(例えば、HfZr)(HZOとも呼ばれる)、酸化ハフニウムアルミニウム(例えば、HfAl)、酸化ハフニウムランタン(例えば、HfLa)、酸化ハフニウムセリウム(例えば、HfCe)、酸化ハフニウムシリコン(HfxSiOy)、酸化ハフニウムガドリニウム(例えば、HfGd)、他の適切なHf系の材料(例えば、HfSr、Hf)、又はそれらの組み合わせを含んでよく、x、y、zは原子百分率である。別の実例において、強誘電体層20はZr系の材料を含んでよく、j、kは原子百分率である。幾つかの実施例において、強誘電体層20の厚さは約10nm未満である。強誘電体層20の厚さが約10nmよりも大きくなると、読み取り電流が小さくなりすぎて検出できなくなり、及び/又はロジック状態の間の差が小さくなりすぎて、判別できなくなる。
FSLスタック14は、強誘電体層20の下方にある誘電体層22を更に含む。誘電体層22は、非分極材料を含む。幾つかの実施例において、誘電体層22は、約28未満の誘電率(k)(例えば、k<28)を有する誘電体材料を含む。誘電率の値は、取るに足らないものではない。誘電体層22の一つの機能は、異なる抵抗を発生し、強誘電体層20の異なる分極方向に対応する異なる読み取り電流を生成する。誘電率が約28よりも大きくなると、読み取り電流が小さくなりすぎて検出できなくなることがある。誘電体材料は、強誘電体層20の材料と異なる結晶特性及び/又は異なる結晶条件を有する材料を含んでよい。例えば、強誘電体層20が結晶構造を有する誘電体材料を含む場合、誘電体層22は、非晶質構造を有する誘電体材料(例えば、非晶質形態の誘電体材料(即ち、無秩序な原子構造を有する))を含む。誘電体層22は、強誘電体層20中の余分な結晶成長及び/又は結晶粒成長を抑制するために非晶質構造を有し、これらの結晶成長及び/又は結晶粒成長によって結晶が変化することで、強誘電体層20中の不所望な強誘電体が変化する。幾つかの実施例において、誘電体層22は、強誘電体層20の金属酸化物材料と異なる金属酸化物材料を含む。例えば、誘電体層22は、Al、Si、Ta、Ti、La、Y、SrTiO、又はそれらの組み合わせを含み、x、y、zは原子百分率である。一実例において、誘電体層22は、Siを含む。誘電体層22の厚さは、約2nm未満であってよい。厚さは、取るに足らないものではない。誘電体層22の厚さが約2nmを超えると、読み取り電流が小さくなりすぎて検知されなくなり、及び/又はロジック状態の間の差が小さくなりすぎて、判別できなくなるおそれがある。
FSLスタック14は、絶縁体層26と、絶縁体層26と誘電体層22との間にスタックされる半導体層24と、を更に含む。絶縁体層26は、約9未満の誘電率(k)(例えば、k<9)を有する誘電体材料を含む。誘電率は取るに足らないものではない。誘電率が約9よりも大きくなると、読み取り電流が小さくなりすぎて検知されなくなる。幾つかの実施例において、絶縁体層26は、強誘電体層20の金属酸化物材料と異なる金属酸化物材料を含む。例えば、絶縁体層26は、Al、Si、Ta、Ti、La、Y、SrTiO、又はそれらの組み合わせを含み、x、y、zは原子百分率である。更なる実施例では、絶縁体層26は、誘電体層22と同じ材料の組成(例えば、同じ金属酸化物)を含んでよい。又は、絶縁体層26は誘電体層22と異なる材料組成を含んでよい。例えば、誘電体層22は約9~約28の誘電率の金属酸化物を含んでよく、絶縁体層26は約9未満の誘電率を有する他の金属酸化物を含んでよい。
図1Bを依然として参照し、絶縁体層26は、均一な厚さではなく、いくつかの離散的な厚さを有する。説明された実施例では、絶縁体層26は3つの異なる厚さ付きの3つの部分を有する。絶縁体層26の一方の辺縁から他方の辺縁に、絶縁体層26の厚さが階段状に増加するので、絶縁体層26の頂表面が階段状の輪郭を有する。それに対応して、半導体層24は、絶縁体層26と誘電体層22との間にスタックされる層として、3つの異なる厚さ付きの3つの部分も有し、3つの厚さはt1、t2、及びt3で示され、t1>t2>t3である。半導体層24は、Si、Ge、SiGe、多結晶シリコン(n型ドープ又はp型ドープ)等の半導体材料、III-V化合物半導体(例えば、InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、又は類似物を含むが、これらに制限されない)、又はそれらの組み合わせを含む。半導体層24の一つの機能は誘電体層22の下方に欠乏領域(複数)を発生させることである。半導体層24の異なる厚さにより、異なる高さの複数の欠乏領域を有する。つまり、FSLスタック14の複数の部分に複数の欠乏領域の厚さを発生させるため、複数のトンネルエネルギー障壁厚さ(及び対応する異なるトンネル長さ)を発生させる。欠乏領域は電子トンネルによるエネルギー障壁であるため、厚さt1、t2、t3はエネルギー障壁の厚さ(又はエネルギー障壁幅)t1、t2、t3とも呼ばれる。エネルギー障壁幅t1、t2、t3の中のそれぞれは、約10nm以下、例えば約1nmから約10nmまでとしてよい。幾つかの実施例において、エネルギー障壁幅t1とt2(例えば、t1~t2)との間の差の範囲は約1nm~約10nmであるが、エネルギー障壁幅t2とt3(例えば、t2~t3)の間の差の範囲は約1nm~約10nmである。厚さと厚さとの間の差は取るに足らないものではない。エネルギー障壁幅が約10nmを超えると、欠乏領域は広がりすぎて、電子がトンネルできなくなり、読み取り電流が小さくなりすぎて検知されなくなるおそれがある。2つの隣接するエネルギー障壁幅の間の差は約10nmを超えると、読み取り電流が小さくなりすぎて検知されなくなる。2つの隣接するエネルギー障壁幅の間の差が約1nm未満であると、読み取り電流の間の差が小さくなりすぎて、判別できなくなるおそれがある。
1つのFSLスタック14における複数の欠乏領域の厚さ(即ち複数のエネルギー障壁幅)は、複数のトンネル長さによってFSLスタックの複数の抵抗レベルが発生するため、複数のデータ状態を提供することを可能にする。書き込み操作を実行するために、強誘電体メモリ装置に異なる電界強度を印加して、FSLスタックに複数の分極状態の何れかを設定することができ、例えば、プログラムされた電圧及び/又は消去された電圧等の電圧はそれぞれ文字線及び/又は電圧線を介して頂部電極及び/又は底部電極に印加される。説明された実施例では、3つのトンネル長さは4つの可能な分極状態、即ち第1の分極状態(即ち、記憶ロジック「00」)、第2の分極状態(即ち、記憶ロジック「01」)、第3の分極状態(即ち、記憶ロジック「10」)、及び第4の分極状態(即ち、記憶ロジック「11」)を提供する。読み取り操作を実行するために、強誘電体メモリ装置の抵抗又は容量を検出することができる。例えば、図2Bに示す電流-容量(current-voltage;I-V)図を参照し、電圧は、文字線及び/又は電圧線を介して頂部電極及び/又は底部電極に印加し、ビット線での抵抗(例えば、電流の感知)を感知することで、FSLスタック14が第1~第4の分極状態の何れかを有するかを判定することで、強誘電体メモリ装置はロジック「00」、ロジック「01」、ロジック「10」、又はロジック「11」を記憶するかを判定し、2つのデータビットに対応する。各FSLスタック14に1つ以上のデータビットが記憶されるため、図2B中の実例のI-V図に関連する強誘電体メモリ装置は多層セル(multi-level cell;MLC)メモリ装置とも呼ばれる。
図1C~図1Fは、FSLスタック14内部の多層のFSLスタック14をMLCとして構成することを可能にする詳細な配置の代替実施例を示す。図1C及び図1Dを参照し、絶縁体層26及び半導体層24の階段状の輪郭は単調である必要がない。図1Cでは、半導体層24の最大厚さt1の一部が中間に位置決めされる。図1Dでは、半導体層24の最小厚さt3の一部が中間に位置決めされる。図1Eを参照し、半導体層24の最小厚さt3がひいてはゼロ(例えば、t3=0)であってもよいので、絶縁体層26の最大厚さの一部が誘電体層22の底表面に接触する。図1Fを参照し、絶縁体層26及び半導体層24は、説明された実施例における7つの厚さt1~t7等の3つ以上の異なる厚さを有することができる。図1F中のFSLスタック14は、FSLスタック内の3つのデータビットに対応し、より高い表面データ密度を達成する8つのロジック状態をサポートすることができる。
現在、図3を参照する。図3は、本開示の各態様によるワーク200から強誘電体メモリ装置を形成する方法100を示す。方法100は、一例だけであり、本開示を出願特許請求の範囲に明確に記載される範囲以外に制限することを意図しない。方法100の前、間、及び後に追加の操作を提供することができ、且つ方法の追加の実施例について、いくつかの前記操作を置換、除去、又は移行することもできる。以下、図4~図18に合わせて方法100を説明し、これらの図は、方法100の各実施例による異なる製造階段でのワーク200の部分断面図である。ワーク200を装置構造として製造するので、コンテキストの要件に応じて、ワーク200は、ここで装置200と呼ばれてもよい。疑問を避けるため、各図中のX、Y及びZ方向は、互いに垂直である。本開示では、特に明記されない限り、類似の参照番号は類似の特性を表している。
本開示の各図に示す装置200は簡略化されたものであり、装置200の全ての特性は詳細に示し又は説明されているわけではない。各図に示す装置200はICチップの一部、システムオンチップ(system on chip、SoC)又はその一部であってもよく、抵抗、容量、インダクタンス、ダイオード、p型電界効果トランジスタ(p-type field effect transistor;PFET)、n型電界効果トランジスタ(n-type field effect transistor;NFET)、金属酸化物半導体電界効果トランジスタ(metal-oxide semiconductor field effect transistor;MOSFET)、相補型金属酸化物半導体(complementary metal-oxide semiconductor;CMOS)トランジスタ、バイポーラ接合トランジスタ(bipolar junction transistor;BJT)、側方拡散MOS(laterally diffused MOS;LDMOS)トランジスタ、高圧トランジスタ、高周波トランジスタ、他の適切な組立部品、又はそれらの組み合わせ等の様々な受動的及び能動的なマイクロ電子装置を含んでよい。
図3及び図4を参照し、方法100はブロック102を含み、装置200を提供する。装置200は、基板202を含む。実施例では、基板202はシリコン(Si)を含む。また、又はその他、基板202は、ゲルマニウム(Ge)等の他の元素の半導体、炭化珪素(SiC)、ガリウム砒素(GaAs)、リン化ガリウム(GaP)、リン化インジウム(InP)、ヒ素化インジウム(InAs)、及び/又はアンチモン化インジウム等の化合物半導体、シリコンゲルマニウム(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及び/又はGaInAsP等の合金半導体、又はそれらの組み合わせを含んでよい。また、基板202は、絶縁体上のシリコン(silicon-on-insulator、SOI)基板、絶縁体上のシリコンゲルマニウム(silicon germanium-on-insulator;SGOI)基板、又は絶縁体上のゲルマニウム(germanium-on-insulator;GeOI)基板等の絶縁体上の半導体基板であってよい。絶縁体上の半導体基板は、酸素注入による分離(separation by implantation of oxygen;SIMOX)、ウェハ接合、及び/又は他の適切な方法によって製造することができる。装置200の設計要件に応じて、基板202は、各種のドーパント領域(図示せず)を含んでよい。幾つかの実施では、基板202は、ホウ素(例えば、BF)、インジウム等のp型ドーパント、他のp型ドーパント、又はそれらの組み合わせをドープしたp型ドーパント領域(例えば、p型ウェル)を含む。幾つかの実施例において、基板202は、リン(P)、ヒ素(As)等のn型ドーパント、他のn型ドーパント、又はそれらの組み合わせをドープしたn型ドーパント領域(例えば、n型ウェル)を含む。幾つかの実施では、基板202はp型ドーパントとn型ドーパントを組み合わせて形成したドーパント領域を含む。各種ドーパント領域は基板202の上及び/又は内部に直接形成されることができ、例えば、p型ウェル構造、n型ウェル構造、ダブルウェル構造、突起構造、又はそれらの組み合わせを提供する。イオン注入プロセス、拡散プロセス、及び/又は他の適切なドーププロセスを実行して各種のドーパント領域を形成することができる。
説明された実施例において、装置200は、基板202の上に製造されたトランジスタ203を含む。トランジスタ203は、フィン状FET(fin-like FET;FinFET)又はゲートオールラウンド(gate-all-around;GAA)トランジスタ等の平面トランジスタ又はマルチゲートトランジスタであってよい。GAAトランジスタは、ナノワイヤー、ナノロッド、又はナノチップを含む様々な形状のチャンネル領域を含んでよく、ナノ構造として総称することができる。GAAトランジスタはマルチブリッジ(multi-bridge-channel;MBC)トランジスタ又はサラウンドゲートトランジスタ(surrounding gate transistor;SGT)とも呼ばれる。図4に代表的に示すトランジスタ203は平面装置であり、チャンネル領域204及びソース/ドレイン領域208の上方に設けられるゲート構造206を含む。図4及び後の図では、トランジスタ203は平面装置として示されるが、FinFET又はGAAトランジスタであってもよいことは理解すべきである。
明確に示されていないが、ゲート構造206はフィン構造に介在する界面層、界面層の上方にあるゲート誘電体層、及びゲート誘電体層の上方にあるゲート電極層を含む。界面層は、酸化ケイ素、ケイ酸ハフニウム、又は酸窒化ケイ素等の誘電体材料を含んでよい。界面層は化学酸化、熱酸化、原子層堆積(atomic layer deposition;ALD)、化学気相成長(chemical vapor deposition;CVD)、及び/又は他の適切な方法によって形成することができる。ゲート誘電体層は、酸化ハフニウム等の高k誘電体材料を含んでよい。又は、ゲート誘電体層は、酸化チタン(TiO)、酸化ハフニウムジルコニウム(HfZrO)、酸化タンタル(Ta)、酸化ハフニウムシリコン(HfSiO)、ジルコニア(ZrO)、ジルコニアシリコン(ZrSiO)、酸化ランタン(La)、アルミナ(Al)、酸化ジルコニウム(ZrO)、酸化イットリウム(Y)、SrTiO(STO)、BaTiO(BTO)、BaZrO、酸化ハフニウムランタン(HfLaO)、酸化ランタンシリコン(LaSiO)、アルミナシリコン(AlSiO)、酸化ハフニウムタンタル(HfTaO)、酸化ハフニウムチタン(HfTiO)、(Ba,Sr)TiO(BST)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)等の他の高k誘電体材料、それらの組み合わせ、又は他の適切な材料を含んでよい。ゲート誘電体層は、ALD、物理気相成長(physical vapor deposition;PVD)、CVD、酸化、及び/又は他の適切な方法によって形成されてよい。
ゲート構造206のゲート電極層は、単層又はそれに代えて、装置の性能を高めるために選択された仕事関数を有する金属層(仕事関数金属層)、ライナー層、湿潤層、接着層、金属合金、又は金属珪化物の各種の組み合わせ等の多層構造を含んでよい。例として、ゲート電極層は、窒化チタン(TiN)、チタンアルミニウム(TiAl)、窒化チタンアルミニウム(TiAlN)、窒化タンタル(TaN)、タンタルアルミニウム(TaAl)、窒化タンタルアルミニウム(TaAlN)、炭化タンタルアルミニウム(TaAlC)、炭化タンタルアルミニウム(TaAlC)、炭窒化タンタル(TaCN)、アルミニウム(Al)、タングステン(W)、ニッケル(Ni)、チタン(Ti)、ルテニウム(Ru)、コバルト(Co)、白金(Pt)、炭化タンタル(TaC)、窒化タンタルシリコン(TaSiN)、銅(Cu)、他の難溶性金属、又は他の適切な金属材料又はそれらの組み合わせを含んでよい。
ソース/ドレイン領域208は、ドーパント領域であってもよいし、気相エピタキシー(vapor-phase epitaxy;VPE)、超高真空CVD(ultra-high vacuum CVD;UHV-CVD)、分子線エピタキシー(molecular beam epitaxy、MBE)、及び/又は他の適切なプロセスを使用して堆積されたものである。ソース/ドレイン領域208がn型である場合、リン(P)又はヒ素(As)等のn型ドーパントがドープされたシリコン(Si)を含んでよい。ソース/ドレイン領域208がp型である場合、ホウ素(B)又は二フッ化ホウ素(BF)等のp型ドーパントがドープされたシリコン(SiGe)を含んでよい。各図に明確に示されていない幾つかの代替実施例では、ソース/ドレイン領域208は複数の層を含んでよい。一実例において、ソース/ドレイン領域208はフィン構造のソース/ドレイン領域の上方にある軽ドープされた第1のエピタキシャル層、軽ドープされた第1のエピタキシャル層の上方にある重ドープされた第2のエピタキシャル層、及び重ドープされた第2のエピタキシャル層の上方に設けられる被覆エピタキシャル層を含んでよい。第1のエピタキシャル層は、格子不整合欠陥を低減するために、第2のエピタキシャル層より低いドープ濃度又はより小さいゲルマニウム含有量(ゲルマニウムが存在する場合)を有する。第2のエピタキシャル層は、抵抗を低減し、チャネルの歪みを増加させるために、最も高いドープ濃度又は最も高いゲルマニウム含有量(ゲルマニウムが存在する場合)を有する。被覆エピタキシャル層は、エッチング抵抗を増加するために、第2のエピタキシャル層より小さいドープ濃度及びゲルマニウム含有量(ゲルマニウムが存在する場合)を有する。
図4に明確に示されていないが、基板202の上方にチャンネル領域204及びソース/ドレイン領域208と類似する複数の能動領域が形成される。能動領域は分離特性によって互いに離れてよい。幾つかの実施では、分離特性は、基板202内に溝をエッチングするか、ドライエッチングプロセスを使用して基板202上にエピタキシャル層をエッチングし、化学気相成長(chemical vapor deposition;CVD)プロセス、流動性CVD(flowable CVD;FCVD)プロセス、又はスピンガラスプロセスによって絶縁体材料で溝を充填することによって形成される。化学機械研磨(chemical mechanical polishing;CMP)プロセスを実施し、余分な絶縁材料を除去して平面表面を提供することができる。説明された実施例では、分離特性は、CMPプロセスの後に形成される。トランジスタ203がフィン構造又はフィン状構造を含むマルチゲートトランジスタである場合、絶縁材料をエッチバックして分離特性を形成し、フィン構造又はフィン状構造を分離特性よりも上昇させることができる。幾つかの実施では、分離特性は、ライナー誘電体層及び体誘電体層を含む多層構造を含んでよい。分離特性は、酸化ケイ素、酸窒化ケイ素、ホウケイ酸ガラス(BSG)又はリンシリケートガラス(PSG)を含んでよい。各図に明確に示されていないが、トランジスタ203がマルチゲートトランジスタである場合、装置200は、ソース/ドレイン接点及び1つ又は複数の層間誘電体(interlayer dielectric;ILD)層に設けられるゲートコンタクトホールを含んでよい中間工程(middle-end-of-line;MEOL)構造を含んでよい。ILD層は酸化ケイ素、オルトケイ酸エチル(TEOS)酸化物、ノンドープのケイ酸ガラス(USG)、又はドープケイ酸ガラスを含んでよく、例えばホウ素リンシリケートガラス(BPSG)、溶融ケイ酸ガラス(FSG)、リンシリケートガラス(PSG)、ホウケイ酸ガラス(BSG)、及び/又は他の適切な誘電体材料である。ソース/ドレイン接点はルテニウム(Ru)、コバルト(Co)、ニッケル(Ni)、又は銅(Cu)を含んでよい。ゲートコンタクトホールは、タングステン(W)、ルテニウム(Ru)、コバルト(Co)、ニッケル(Ni)、又は銅(Cu)を含んでよい。
図4に示す実施例では、装置200は相互接続構造201の一部を更に含む。相互接続構造201は、示される第1の金属層M1を含む複数の金属層を含み、ここで、様々な点はM1よりも高い金属層(例えば、図4に示されていないM2、M3、~、Mn-1)を示す。相互接続構造201の更なる金属層は(n-1)番目の金属層Mn-1の上方に形成される。幾つかの実施例において、相互接続構造は約九(9)~約十三(13)層の金属層を含んでよい。相互接続構造の金属層の中のそれぞれは何れも少なくとも1つの金属間誘電体(intermetal dielectric;IMD)層内に嵌め込まれる複数の貫通孔及び金属配線を含む。貫通孔及び金属配線はチタン(Ti)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、銅(Cu)、モリブデン(Mo)、タングステン(W)、又はアルミ(Al)からなる。一実施例において、銅(Cu)からなる。IMD層は上記ILD層と類似する組成を有してよい。説明された実施例では、第1の金属層M1はトランジスタ203のソース領域に電気的に結合された貫通孔214S及び貫通孔214Sに設けられる金属配線216、トランジスタ203のドレイン領域に電気的に結合された貫通孔214D及び貫通孔214Dに設けられる金属配線216、及びトランジスタ203のゲートに電気的に結合された貫通孔214G及び貫通孔214Gに設けられる金属配線216を含む。全ての貫通孔214S/214D/214G及び金属配線216は第1のIMD層212内に嵌め込まれ又は設けられる。
図3及び図5を参照し、方法100はブロック104を含み、n番目の金属層Mnは(n-1)番目の金属層Mn-1の上方に形成される。幾つかの実施例において、nが3であり、第1の金属層M1とn番目の金属層Mnとの間に追加の金属層を有する。第1の金属層M1と類似し、n番目の金属層Mnは、貫通孔214Dに電気的に結合された貫通孔224Dを含むことで、トランジスタ203のドレイン領域に結合され、貫通孔214Gに電気的に結合された貫通孔224Gを含むことで、トランジスタ203のゲートに結合される。金属配線226はそれぞれ貫通孔224D及び貫通孔224Gに設けられる。貫通孔224G/224D及び金属配線226はn番目のIMD層222内に嵌め込まれ又は設けられる。
方法100はブロック104ではn番目のIMD層222内に嵌め込まれ又は設けられる金属-絶縁体-金属(metal-insulator-metal;MIM)コンデンサ218を形成する工程を更に含む。MIMコンデンサ218は底部電極220a、中間電極220b、頂部電極220c、及び隣接する電極の間に設けられる絶縁誘電体層221を含む。容量は底部電極220aと中間電極220bとの間、及び中間電極220bと頂部電極220cとの間に形成される。MIMコンデンサ218から供給される総容量は各対の隣接する電極の間に形成される容量のほぼ和である。MIMコンデンサの利点は、比較的広い電圧範囲内で比較的安定した容量値を持つことである。MIMコンデンサも比較的小さい寄生抵抗を示す。説明された実施例では、貫通孔224Dは頂部電極220c及び底部電極220aを貫通するように延び、頂部電極220c及び底部電極220aをトランジスタ203のドレイン領域208に結合し、貫通孔224Gは中間電極220bを貫通するように延び、中間電極220bをトランジスタ203のゲート構造206に結合する。このため、MIMコンデンサ218は、トランジスタのゲート端子とドレイン端子を跨る容量を提供する。総容量を増加するために、幾つかの実施例において、MIMコンデンサ218は、より多くの容量を積層するように、2つ又は3つの中間電極等の1つ以上の中間電極を有することができる。別の実施例では、MIMコンデンサ218は底部電極220a及び頂部電極220cのみを含んでよい。
幾つかの実施例において、電極220a、220b、及び220cは窒化チタン(TiN)を含む。電極220a、220b、及び220cは選択可能に窒化タンタル(TaN)、窒化タングステン(WN)、ルテニウム(Ru)、イリジウム(Ir)、白金(Pt)、及びそれらの組み合わせを含む。また、各電極は、窒化チタン層とチタン層のスタック又は窒化チタン層とタングステン層のスタック等の2つ又は2つ以上の層のスタックを含む。本開示によって制限されないが、各電極は約10nm~約80nmの厚さを有してよい。絶縁誘電体層221は、ジルコニア(ZrO)等の高k誘電体材料を含んでよい。又は、絶縁誘電体層221は選択可能に1つ又は複数の層の二酸化ケイ素(SiO)、窒化ケイ素(Si)、酸窒化ケイ素(SiON)、アルミナ(Al)、ケイ酸ハフニウム(HfSiON)、酸化タンタル(Ta)、酸化ハフニウム(HfO)、酸化チタン(TiO)、チタン酸バリウム(BST)、チタン酸ストロンチウム(STO)、又はそれらの組み合わせを含む。隣接する電極の間の絶縁誘電体層221は範囲が約2nm~約18nmの厚さを有してよい。図に示す実施例では、絶縁誘電体層221はIMD層222と異なる材料組成を含む。
図3及び図6を参照し、方法100はブロック106を含み、装置200の上方にエッチングストッパ層(etch stop layer;ESL)230を堆積する。幾つかの実施例において、ESL230は、炭化珪素を含み、化学気相成長(chemical vapor deposition;CVD)又はプラズマ強化CVD(plasma enhanced CVD;PECVD)を使用して堆積することができる。ESL230は、エッチングストッパ層として使用されるだけでなく、頂部金属配線226が銅又は銅含有材料からなる場合に頂部金属配線226内の金属エレクトロマイグレーションを防止するために使用される。幾つかの実施では、ESL230は約200nm~約350nmの間の厚さを有してよい。この厚さは取るに足らないものではない。厚さが200nmより小さい場合、ESL230は頂部金属配線226のエレクトロマイグレーションを十分に抑制することができる。厚さが350nmより大きい場合、ESL230は、装置200からの最初の三(3)又は最初の四(4)層の金属層等、総厚さが小さい金属層までプロセス全体が実行されるのを防止するために、あまりにも多くの厚さを必要とする場合がある。
図3及び図7を参照し、方法100はブロック108を含み、ESL230を貫通して開口232を形成し、頂部金属配線226を露光するようにする。開口232は、光学的マイクロシェーディングプロセスとエッチングプロセスの組み合わせでESL230を貫通して形成することができる。例えば、CVD、流動性CVD(flowable CVD;FCVD)、又は適切なプロセスを使用し、ESL230の上方に少なくとも1つのハードマスクを堆積する。次に、スピンコートを使用して少なくとも1つのハードマスク層の上方にフォトレジスト層を堆積する。堆積されたフォトレジスト層は、フォトマスクからの反射又はフォトマスクを介して伝送する放射に露光するプレ露光ベークプロセス、露光後ベークプロセス、及び現像プロセスを経ることができ、これにより、パターン化されたフォトレジストを形成する。次に、パターン化されたフォトレジストをエッチングマスクとして使用して少なくとも1つのハードマスク層をエッチングし、パターン化されたハードマスクを形成する。次に、パターン化されたハードマスクをエッチングマスクとしてESL230をエッチングし、開口232を形成する。ブロック108での適切なエッチングプロセスはドライエッチングプロセス、ウェットエッチングプロセス、又はそれらの組み合わせであってよい。幾つかの実施例において、ブロック108でのエッチングプロセスはドライエッチングプロセス(例えば、反応性イオンエッチング(reactive ion etching;RIE)プロセス)であってよく、酸素含有ガス(例えば、O)、フッ素含有ガス(例えば、SF又はNF)、又は塩素含有ガス(例えば、Cl及び/又はBCl)を使用することを含む。図7に示すように、開口232はESL230を完全に貫通するように延び、貫通孔224G及びトランジスタ203のゲート構造206の頂部金属配線226の何れかに露光して結合される。
図3及び図8を参照し、方法100はブロック110を含み、ここで、開口232の中にコンタクトホール234を形成して頂部金属配線226に結合する。コンタクトホール234は、ブランケットが開口232の側壁及び底表面に堆積するバリア層234a及び開口232の残りの体積を充填する金属充填層234bを含んでよい。バリア層234aは、TiN、TaN、WN、又はそれらの組み合わせ等の導電金属窒化物を含んでよい。開示内容の想定範囲内の他の適切な材料を使用してもよい。金属充填層234bはチタン(Ti)、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、又はアルミ(Al)を含んでよい。一実施例において、下部ボルト頂部金属配線226における銅のエレクトロマイグレーションを低減する傾向にあるため、金属充填層234bは窒化チタン(TiN)からなる。一例のプロセスでは、まず、CVD又は物理気相成長(physical vapor deposition;PVD)を使用してESL230及び開口232の上方にコンタクトホール234の導電材料(複数)を堆積し、次に、化学機械研磨(chemical mechanical polishing、CMP)プロセス等の平坦化プロセスを行い、ESL230の上方にある余分な材料を除去する。他の実施例では、コンタクトホール234における導電材料(複数)は、原子層堆積(atomic layer deposition、ALD)又は金属有機CVD(metal organic CVD、MOCVD)等の下から上までの堆積方法を使用して堆積することができる。後者の例では、コンタクトホール234は選択可能に開口232を介して露光する頂部金属配線226の導電表面に堆積される。
図1A、図1B及び図9を参照し、方法100はブロック112を含み、コンタクトホール234及びESL230の上方に底部電極層236を堆積する。底部電極層236内の材料組成は上記底部電極16(図1A及び図1B)とほぼ同じである。底部電極236は金属層、金属-窒化物層、金属-酸化物層、又は半導体層であってよい。一実例において、底部電極236はAl、Ti、Ta、Au、Pt、W、Ni、Ir、他の適切な金属、その合金(例えば、TaN、TiN、及び/又は他の適切な合金)、又はそれらの組み合わせを含んでよい。別の実例において、底部電極236は、IrO等の金属酸化物を含んでよい。また別の例において、底部電極236は、Si、Ge、SiGe、多結晶シリコン(n型ドープ又はp型ドープ)、III-V化合物半導体(例えば、InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、又は類似物を含むが、これらに制限されない)、又はそれらの組み合わせを含んでよい。底部電極層236はPVD又はCVDブランケットを使用して装置200の頂表面に堆積され、ESL230及びコンタクトホール234の頂表面の上方も含まれる。ある場合、底部電極層236は約10nm~約20nmの間の厚さを有してよい。
図3及び図10A~図10Dを参照し、方法100はブロック114を含み、階段状の輪郭の頂表面を有する絶縁体層238と絶縁体層238の頂表面と共役な階段状の輪郭の底表面を有する半導体層240とが底部電極層236上に順次に堆積される。絶縁体層238内の材料組成は上記絶縁体層26(図1B)とほぼ同じである。幾つかの実施例において、絶縁体層238は約9未満の誘電率(k)(例えば、k<9)の誘電体材料を有する。例えば、絶縁体層238はAl、Si、Ta、Ti、La、Y、SrTiO等の金属酸化物、又はそれらの組み合わせを含んでよく、x、y、zは原子百分率である。
図10Aを参照し、絶縁体層238の第1の層238aは化学気相成長(chemical vapor deposition;CVD)、物理気相成長(physical vapor deposition;PVD)、原子層堆積(atomic layer deposition;ALD)、高密度プラズマCVD(high density plasma CVD;HDPCVD)、金属有機化学気相成長(metal organic CVD;MOCVD)、リモートプラズマCVD(remote plasma CVD;RPCVD)、プラズマ強化CVD(plasma enhanced CVD;PECVD)、低圧CVD(low-pressure CVD;LPCVD)、原子層CVD(atomic layer CVD;ALCVD)、常圧CVD(atmospheric pressure CVD;APCVD)、他の適切な方法、又はそれらの組み合わせを介して堆積する。説明された実施例では、第1の層238aは共形堆積プロセスによって形成され、第1の層238aが様々な表面に基本的に均一な厚さを有する。幾つかの実施例において、第1の層238aの形成は、誘電体材料の堆積を含み、堆積プロセスの堆積パラメータ(例えば、堆積前駆体の流動速度、堆積温度、堆積時間、及び/又は堆積圧力)は、第1の層238aの成長が厚さt1’で終了するように制御するように構成(チューニング)される。性能を最適化するように、厚さt1’が選択される。幾つかの実施例において、厚さt1’の範囲は約1nm~約10nmである。
図10Bを参照し、絶縁体層238の第2の層238bは第1の層238aの一部の上に堆積される。説明された実施例では、領域Iにおける第1の層238aの一部は第2の層238bによって被覆されておらず、且つ第2の層238bの堆積は領域II及びIIIに限られる。第2の層238bは第1の層238aとほぼ同じ材料を有する。パターン化されたマスク層(図示せず)は装置200の上方に形成されて、領域Iにおける第1の層238aを被覆することができる。パターン化されたマスク層は、レジスト層、ハードマスク層、他の適切なパターン化層、又はそれらの組み合わせであってよい。絶縁体層238の第2の層238bはCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによって堆積される。説明された実施例では、第2の層238bは共形堆積プロセスによって形成され、第2の層238bが領域II及びIIIに基本的に均一な厚さを有する。幾つかの実施例において、第2の層238bの形成は、誘電体材料の堆積を含み、堆積プロセスの堆積パラメータ(例えば、堆積前駆体流動速度、堆積温度、堆積時間、及び/又は堆積圧力)は、第2の層238bの成長を制御して、第1の層238aと第2の層238bの総厚さの和が厚さt2’とするように構成(チューニング)される。性能を最適化するように、厚さt2’が選択される。幾つかの実施例において、厚さt2’の範囲は約1nm~約10nmであり、且つ厚さt2’とt1’との間の差の範囲は約1nm~約10nmである。
図10Cを参照し、絶縁体層238の第3の層238cは第2の層238bの一部の上に堆積される。説明された実施例では、領域Iにおける第1の層238aの一部及び領域IIにおける第2の層238bの一部は第3の層238cによって被覆されておらず、且つ第3の層238cの堆積は領域IIIに限られる。第3の層238cは第1の層238a及び第2の層238bとほぼ同じ材料を含む。装置200の上方にパターン化されたマスク層(図示せず)を形成して、領域Iにおける第1の層238a及び領域IIにおける第2の層238bを被覆する。パターン化されたマスク層はレジスト層、ハードマスク層、他の適切なパターン化層、又はそれらの組み合わせであってよい。絶縁体層238の第3の層238cはCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによって堆積される。説明された実施例では、第3の層238cは共形堆積プロセスによって形成され、第3の層238cが領域IIIに基本的に均一な厚さを有する。幾つかの実施例において、第3の層238cの形成は誘電体材料の堆積を含み、堆積プロセスの堆積パラメータ(例えば、堆積前駆体流動速度、堆積温度、堆積時間、及び/又は堆積圧力)は、第3の層238cの成長を制御して、第1の層238a、第2の層238b、及び第3の層238cの総厚さの和が厚さt3’とするように構成(チューニング)される。性能を最適化するように、厚さt3’が選択される。幾つかの実施例において、厚さt3’の範囲は約1nm~約10nmであり、且つ厚さt3’とt2’との間の差の範囲は約1nm~約10nmである。第1の層238a、第2の層238b、及び第3の層238cは、異なる部分(例えば、領域I、II、III)において異なる厚さ(例えば、t1’、t2’、t3’)を有する絶縁体層238に共通に定義され、対応的に階段状の輪郭の頂表面を有する。比較として、絶縁体層238の底表面は1つの平面にある。絶縁体層238の形成後に、エッチングプロセスではパターン化されたマスク層を除去する。
図10Dを参照し、絶縁体層238に絶縁体層238の頂表面と共役な階段状の輪郭の底表面を有する半導体層240を堆積する。領域I、II、IIIにおける半導体層240の厚さはそれぞれt1、t2、t3で示される。用語「共役」は異なる領域における半導体層240と絶縁体層238の厚さの和が同じ所定の値(例えば、t1+t1’=t2+t2’=t3+t3’)であることを指す。半導体層240内の材料組成は上記半導体層24(図1B)とほぼ同じである。幾つかの実施例において、半導体層240はSi、Ge、SiGe、多結晶シリコン(n型ドープ又はp型ドープ)等の半導体材料、III-V化合物半導体(例えば、InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、又は類似物を含むが、これらに制限されない)、又はそれらの組み合わせを含む。半導体層240はCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによって堆積される。化学機械研磨(chemical mechanical polishing;CMP)プロセス等の平坦化プロセスを実行して、余分な半導体材料を除去することで、平面表面を提供する。平坦化プロセスの持続時間は、厚さt1、t2、及びt3が性能を最適化するように制御される。厚さt1、t2、t3の中のそれぞれは約10nm以下であってよい。幾つかの実施例において、厚さt1とt2(例えば、t1~t2)の間の差の範囲は約1nm~約10nmであり、且つ厚さt2とt3(例えば、t2~t3)の間の差の範囲は約1nm~約10nmである。半導体層240の一つの機能はFSLスタックに欠乏領域を発生させることであるため、厚さt1、t2、t3はエネルギー障壁幅とも呼ばれる。
現在、図11A~図11Dを参照し、ブロック114での方法100の代替実施例を示し、階段状の輪郭の頂表面を有する絶縁体層238及び絶縁体層238の頂表面と共役な階段状の輪郭の底表面を有する半導体層240は順次に底部電極層236に堆積される。
図11Aを参照し、絶縁体層238はCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによって堆積される。説明された実施例では、絶縁体層238は共形堆積プロセスによって形成され、絶縁体層238が領域I、II、IIIの上方に基本的に均一な厚さを有する。堆積プロセスの堆積パラメータ(例えば、堆積前駆体流動速度、堆積温度、堆積時間、及び/又は堆積圧力)は、絶縁体層238の成長が厚さt3’で終了するように制御するように構成(チューニング)される。
図11Bを参照し、領域I及びIIにおいて絶縁体層238の一部を凹ませるために、エッチングプロセス242aを実行する。装置200の上方にパターン化されたマスク層(図示せず)を形成して、領域IIIにおける絶縁体層238を被覆することができる。パターン化されたマスク層はレジスト層、ハードマスク層、他の適切なパターン化層、又はそれらの組み合わせであってよい。エッチングプロセス242aはドライエッチングプロセス、ウェットエッチングプロセス、他の適切なエッチングプロセス、又はそれらの組み合わせを含む。エッチングプロセス242aは、選択的なエッチングプロセスであり、エッチングプロセスによって、領域I及び領域IIにおける絶縁体層238を凹ませ、領域IIIにおけるパターンされたマスク層及び絶縁体層238の他の部分をほぼ完全に維持させる。エッチングプロセス242aのエッチングパラメータ(例えば、エッチャントの流動速度、エッチング持続時間、及び/又はエッチング温度)は、絶縁体層238の残り厚さを厚さt2’で終了するように制御するように構成(チューニング)される。その後、パターン化されたマスク層がエッチング等によって除去される。
図11Cを参照し、領域Iにおける絶縁体層238の一部を凹ませるように、他のエッチングプロセス242bを実行する。装置200の上方にパターン化されたマスク層(図示せず)を形成して、領域II及びIIIにおける絶縁体層238を被覆することができる。パターン化されたマスク層はレジスト層、ハードマスク層、他の適切なパターン化層、又はそれらの組み合わせであってよい。エッチングプロセス242bはドライエッチングプロセス、ウェットエッチングプロセス、他の適切なエッチングプロセス、又はそれらの組み合わせであってよい。エッチングプロセス242bは選択的なエッチングプロセスであり、エッチングプロセスによって、領域Iにおける絶縁体層238を凹ませ、領域II及びIIIにおけるパターン化されたマスク層及び絶縁体層238の他の部分をほぼ完全に維持させる。エッチングプロセス242bのエッチングパラメータ(例えば、エッチャント流動速度、エッチング持続時間、及び/又はエッチング温度)は、絶縁体層238の残り厚さを厚さt1’で終了するように制御するように構成(チューニング)される。その後、パターン化されたマスク層がエッチング等によって除去される。
図11Dを参照し、絶縁体層238に絶縁体層238の頂表面と共役な階段状の輪郭の底表面を有する半導体層240を堆積する。半導体層240はCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによって堆積される。化学機械研磨(chemical mechanical polishing;CMP)プロセス等の平坦化プロセスを実行して、余分な半導体材料を除去することで、平面表面を提供する。以上のように、平坦化プロセスの持続時間は、厚さt1、t2、及びt3が性能を最適化するように控制される。
現在、図12A~図12Dを参照し、ブロック112及び114での方法100の代替実施例を示し、半導体層を堆積してから、半導体層内に階段状の輪郭の頂表面を有する埋め込み酸化物層を形成する。埋め込み酸化物層は絶縁体層238として使用される。絶縁体層238の下の半導体層の部分は底部電極層236と見なされ、絶縁体層238の上の半導体層の他の部分は半導体層240と見なされる。この代替実施例において、半導体層240及び底部電極層236は、シリコン(Si)等の同じ材料組成を含む。
図12Aを参照し、ブロック112では、方法100は、コンタクトホール234及びESL230の上方に半導体層236を形成する。幾つかの実施例において、半導体層236はSi、Ge、SiGe、多結晶シリコン(n型ドープ又はp型ドープ)等の半導体材料、III-V化合物半導体(例えば、InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、又は類似物を含むが、これらに制限されない)、又はそれらの組み合わせを含む。半導体層236はCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによって堆積される。化学機械研磨(chemical mechanical polishing;CMP)プロセス等の平坦化プロセスを実行して、余分な半導体材料を除去することで、平面表面を提供する。
図12Bを参照し、ブロック114で、方法100は、半導体層236内に埋め込み酸化物層238を形成する。例えば、埋め込み酸化物層238は酸素注入による分離(separation by implantation of oxygen;SIMOX)と呼ばれるプロセスによって形成することができる。SIMOX技術は高用量の酸素イオンを半導体基板内にイオン注入し、スパイク濃度を半導体表面の下方に位置させることである。領域I、II、IIIの上方の注入プロセス244aの後、装置200は、アニーリングプロセスを受けて、連続化学量論的な二次表面層の酸化物層(例えば、酸化ケイ素)を形成する。このため、形成された埋め込み酸化物層は、絶縁体層238とも呼ばれ、半導体層236を底部電極層236としての底部部分及び半導体層240に電気的に分離する。注入プロセス244aのパラメータ(例えば、イオン濃度、注入深さ、及び/又は注入温度)は、絶縁体層238の深さが半導体層240の頂表面よりも距離t1低く、且つ絶縁体層238の厚さはt1’とするように制御するように構成(チューニング)される。
図12Cを参照し、酸素イオンのイオン注入は、領域II及びIIIに限られ、例えば注入マスク(図示せず)によって、領域II及びIIIにおける絶縁体層238の厚さを増加し続ける。注入プロセス244bのパラメータ(例えば、イオン濃度、注入深さ、及び/又は注入温度)は、領域II及びIIIにおける絶縁体層238の厚さが厚さt2’で終了し、且つ半導体層240の頂表面からの距離がt2とするように制御するように構成(チューニング)される。
図12Dを参照し、酸素イオンのイオン注入は領域IIIに限られ、例えば他の注入マスク(図示せず)によって、領域IIIにおける絶縁体層238の厚さを増加し続ける。注入プロセス244cのパラメータ(例えば、イオン濃度、注入深さ、及び/又は注入温度)は、領域IIIにおける絶縁体層238の厚さが厚さt3’で終了し、且つ半導体層240の頂表面からの距離がt3とするように構成(チューニング)される。
図3及び図13を参照し、方法100はブロック116を含み、誘電体層246は半導体層240に堆積される。誘電体層246内の材料組成は上記誘電体層22(図1B)とほぼ同じである。誘電体層246は非分極材料を含む。幾つかの実施例において、誘電体層246は約28未満の誘電率(k)(例えば、k<28)を有する誘電体材料を含む。幾つかの実施例において、誘電体層246は金属酸化物材料を含む。例えば、誘電体層246はAl、Si、Ta、Ti、La、Y、SrTiO、又はそれらの組み合わせを含み、x、y、zは原子百分率である。一実例において、誘電体層246はSiを含む。誘電体層246はCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによって堆積される。説明された実施例では、誘電体層246は共形堆積プロセスによって形成され、誘電体層246は装置200の上方に基本的に均一な厚さを有する。幾つかの実施例において、誘電体層246の形成は誘電体材料の堆積を含み、堆積プロセスの堆積パラメータ(例えば、堆積前駆体の流動速度、堆積温度、堆積時間、及び/又は堆積圧力)は、誘電体層246の厚さが約2nmより小さくするように、誘電体層246の成長を制御するように構成(チューニング)される。
図3及び図14を参照し、方法100はブロック118を含み、誘電体層246の上方に強誘電体層248を堆積する。強誘電体層248内の材料組成は上記強誘電体層20(図1B)とほぼ同じである。強誘電体層248は分極材料とも呼ばれる強誘電体材料を含む。幾つかの実施例において、強誘電体層248は金属酸化物材料、金属酸窒化物材料、又は元素がドープされた金属酸化物を含む。例えば、強誘電体層248は酸化ハフニウム系の材料又は酸化ジルコニウム系の材料を含んでよい。また別の例において、強誘電体層248は酸化ハフニウム(例えば、Hf)、酸化ハフニウムジルコニウム(例えば、HfZr)(HZOとも呼ばれる)、酸化ハフニウムアルミニウム(例えば、HfAl)、酸化ハフニウムランタン(例えば、HfLa)、酸化ハフニウムセリウム(例えば、HfCe)、酸化ハフニウムシリコン(HfxSiOy)、酸化ハフニウムガドリニウム(例えば、HfGd)、他の適切なHf系の材料(例えば、HfSr、Hf)、又はそれらの組み合わせを含んでよく、x、y、zは原子百分率である。更なる実例において、強誘電体層248はZr系の材料を含んでよく、j、kは原子百分率である。強誘電体層248はCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによってブランケット堆積されることができる。
図3及び図15を参照し、方法100はブロック120を含み、強誘電体層248の上方に頂部電極層250を堆積する。頂部電極層250内の材料組成は上記頂部電極18(図1A及び図1B)とほぼ同じである。頂部電極層250は金属層、金属窒化物層、金属酸化物層、又は多結晶シリコン層であってよい。頂部電極層250はCVD、PVD、ALD、FCVD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、他の適切な方法、又はそれらの組み合わせによってブランケット堆積されることができる。一実例において、頂部電極層250はAl、Ti、Ta、Au、Pt、W、Ni、Ir、他の適切な金属、その合金(例えば、TaN、TiN、及び/又は他の適切な合金)、又はそれらの組み合わせを含んでよい。別の実例において、頂部電極250は、IrO等の金属酸化物を含んでよい。また別の例において、頂部電極250は多結晶シリコン(n型ドープ又はp型ドープ)を含んでよい。幾つかの実施例において、底部電極層236は半導体材料を含み、且つ頂部電極層250は金属層を含む。幾つかの実施例において、底部電極層236は頂部電極層250と同じ材料組成(例えば、同じ金属材料)を有する。
図3及び図16を参照し、方法100はブロック122を含み、底部電極層236、絶縁体層238、半導体層240、誘電体層246、強誘電体層248、及び頂部電極層250をパターン化して強誘電体スタック251を形成する。パターン化された絶縁体層238、半導体層240、誘電体層246、及び強誘電体層248はFSLスタック252を構成する。FSLスタック252は上記FSLスタック14(図1A及び図1B)とほぼ同じである。光学的マイクロシェーディングプロセスとエッチングプロセスの組み合わせを実行して底部電極層236、絶縁体層238、半導体層240、誘電体層246、強誘電体層248、及び頂部電極層250をパターン化する。プロセスの例では、CVDを使用して頂部電極層250の上方にハードマスク層254をブランケット堆積する。ハードマスク層254は酸化ケイ素、窒化ケイ素、酸窒化ケイ素を含んでよい。なお、ハードマスク層254の組成はESL230の組成と異なる。次に、スピンコートによってハードマスク層254の上方にフォトレジスト層を堆積する。堆積されたフォトレジスト層は、フォトマスクからの反射又はフォトマスクを介して伝送する放射に露光するプレ露光ベークプロセス、露光後ベークプロセス、及び現像プロセスを経ることができ、これにより、パターン化されたフォトレジストを形成する。次に、パターン化されたフォトレジストをエッチングマスクとして使用してハードマスク層254をエッチングし、パターン化されたハードマスク層254を形成する。次に、パターン化されたハードマスク層254をエッチングマスクとして印加して底部電極層236、絶縁体層238、半導体層240、誘電体層246、強誘電体層248、及び頂部電極層250をエッチングし、強誘電体スタック251を形成する。ブロック122での適切なエッチングプロセスはドライエッチングプロセス(例えば、反応性イオンエッチング(reactive ion etching、RIE)プロセス)であってよく、酸素含有ガス(例えば、O)、フッ素含有ガス(例えば、SF又はNF)、又は塩素含有ガス(例えば、Cl及び/又はBCl)、臭素含有ガス(例えば、HBr)、ヨウ素含有ガス、他の適切なガス及び/又はプラズマ、及び/又はそれらの組み合わせを使用することを含む。
強誘電体スタック251はコンタクトホール234の上方に直接設けられ、コンタクトホール234の頂表面が底部電極層236の底表面に物理的に結合される。説明された実施例では、パターン化されたハードマスク層254は強誘電体スタック251に残される。これらの実施例において、パターン化されたハードマスク層254は、除去すると、頂部電極250に損傷を与える可能性があり、強誘電体スタック251の上方からの任意の接触構造の形成を実質的に妨げないため、そのまま残される。領域Iにおける絶縁体層238の一部及び半導体層240の一部はFSLスタック252内に残され、領域IIIにおける絶縁体層238の一部及び半導体層240の一部はFSLスタック252内に残される。FSLスタック252の内部には、幾つかの実施例において、異なる厚さを有する絶縁体層238と半導体層240の部分はX方向に沿ってほぼ同じ幅を有することができる。又は、異なる厚さを有する絶縁体層238と半導体層240の部分はx方向に沿って異なる幅を有することができる。例えば、コンタクトホール234の直上の中間部分は2つの辺縁部分よりも広い。
図3、図17、及び図18を参照し、方法100は更なるプロセスを実行するブロック124を含む。ブロック124でのこのような更なるプロセスは、FSLスタック252の側壁に沿ってスペーサ256(図17に示す)を形成する工程、FSLスタック252及びスペーサ256の上方に第2のESL258(図18に示す)を形成する工程、第2のESL258の上方に緩衝膜260を堆積し、緩衝膜260の上方に(n+1)番目のIMD層262(図18に示す)を堆積する工程と、IMD層262、緩衝膜260、第2のESL258、及びパターン化されたハードマスク層254を貫通して(n+1)番目の貫通孔264及び(n+1)番目の金属配線266(図18に示す)を形成する工程を有する。
図17を参照し、スペーサ256は、装置200の上方(FSLスタック252の上方を含む)にスペーサ材料層を共形堆積し、次にスペーサ材料層を異方的にエッチバックすることによって形成される。図17に示すように、スペーサ256はESL230の一部のみを被覆し、ESL230のほとんどはスペーサ256を形成した後に露光される。幾つかの実施例において、スペーサ256は窒化ケイ素を含んでよい。次に、図18を参照し、ESL230の上方に第2のESL258を共形堆積する。第2のESL258はESL230と異なる材料からなる。幾つかの実施例において、第2のESL258は窒化ケイ素を含む。第2のESL258を堆積した後、緩衝膜260は第2のESL258の上方に共形に堆積される。緩衝膜260は、例えばルテニウム、炭素、他のいくつかの適切な材料(複数)、又はそれらの組み合わせを含んでよい。緩衝膜260の材料のこの選択は取るに足らないものではない。パターン化されたハードマスク254以外の保護層として使用されることに加えて、緩衝膜260は、強誘電体層248の強誘電体性を安定するように、FSLスタック252に、特に強誘電体層248に追加の応力を印加するために使用される。
緩衝膜260を堆積した後、装置200の上方に(n+1)番目のIMD層262を堆積する。IMD層262と下方のIMD層222は同じ組成を共有し、且つ簡潔のため、詳細な説明を省略する。次に、IMD層262を貫通する(n+1)番目の貫通孔264及び(n+1)番目の金属配線266を形成するように、二重インレイを実行し、(n+1)番目の貫通孔264が頂部電極250に物理的に結合される。(n+1)番目の貫通孔264及び(n+1)番目の金属配線266は第1の貫通孔214D/214G及び金属配線216と同様に構成することができ、簡潔のため、詳細な説明を省略する。なお、貫通孔及び金属配線のそれぞれは、二重インレイプロセスによって形成されるため、連続構造であってよい。理解しやすくするために、貫通孔とその上に被覆された金属配線との間の線を示す。各図に明確に示されていないが、(n+1)番目の金属層の上方に更に金属層(例えばMn+2、Mn+3等)を形成し、相互接続構造201を完成することができる。
説明された実施例では、強誘電体層248は量子力学的トンネルを許可する厚さを有するため、FSLスタック252はFTJスタックであり、装置200はFTJメモリ装置である。頂部金属配線266はメモリ装置のビット線(bit line;BL)に結合される。貫通孔214Sに設けられる金属配線216はメモリ装置のソース線に結合される。貫通孔224Dに設けられる金属配線226はメモリ装置の文字線(word line;WL)に結合される。MIMコンデンサ218はトランジスタ203のゲート及びWLを跨って、自己増幅ユニット電流(self-amplified cell current;SACC)回路システムを形成する。SACC回路システム付きのFTJユニットはより高い感知電流レベル及びより大きいメモリウィンドウを備え、MLCアプリケーションに適する。勿論、示されるFTJメモリ装置は例に過ぎず、制限するためのものではない。以上のように、MLCアプリケーションをサポートするFSLスタックはFeFETメモリ装置、FeRAMメモリ装置、又はFTJメモリ装置に適用できる。
1つの例示的な態様では、本開示は、基板の上方に底部電極層を形成する工程、底部電極層の上方に絶縁体層を形成する工程と、底部電極層の上方に異なる厚さを有する複数の部分を含む半導体層を堆積する工程と、半導体層の上方に強誘電体層を堆積する工程と、強誘電体層の上方に頂部電極層を形成する工程と、底部電極層、絶縁体層、半導体層、強誘電体層、及び頂部電極層をパターン化してメモリスタックを形成する工程と、を有する方法に関する。幾つかの実施例において、方法は、強誘電体層を形成する前に、半導体層の上方に誘電体層を堆積する工程を更に含む。幾つかの実施例において、誘電体層は非分極材料を含む。幾つかの実施例において、誘電体層及び絶縁体層は同じ金属酸化物を含む。幾つかの実施例において、絶縁体層の形成は、底部電極を被覆する第1の誘電体層の堆積、及び第1の誘電体層を部分的に被覆する少なくとも1つの第2の誘電体層の堆積を含む。幾つかの実施例において、絶縁体層の形成は、底部電極層を被覆する誘電体層の堆積、及び誘電体層の一部を部分的に凹ませる工程を含む。幾つかの実施例において、絶縁体層の形成は、基板の上方に半導体含有層を堆積し、第1のイオン注入プロセスを実行して半導体含有層の中間部分をパッシベーションすることで、半導体含有層内に嵌め込まれる絶縁体層を形成する工程、及び少なくとも1つの第2のイオン注入プロセスを実行して絶縁体層の一部の厚さを増加する工程を含む。幾つかの実施例において、半導体層の底表面に階段状の輪郭を有する。幾つかの実施例において、半導体層の頂表面は平面である。幾つかの実施例において、半導体層の複数の部分の厚さ範囲は約1nm~約10nmである。
他の例示的な態様では、本開示は、第1の誘電体層の中に設けられる導電特性を持つワークを提供する工程と、ワークの上方にエッチングストッパ層を堆積する工程と、エッチングストッパ層を介して、導電特性に接触するコンタクトホールを形成する工程と、エッチングストッパ層及びコンタクトホールの上方に底部電極層を堆積する工程と、底部電極層の上にメモリスタックの複数のエネルギー障壁幅を提供するための欠乏領域を形成する工程と、欠乏領域の上方に非分極層を堆積する工程と、非分極層の上方に分極層を堆積する工程と、分極層の上方に頂部電極層を堆積し、底部電極層、欠乏領域、非分極層、分極層、及び頂部電極層をパターン化してメモリスタックを形成する工程と、を有するメモリスタックを含む半導体装置の形成方法に関する。幾つかの実施例において、欠乏領域は階段状の輪郭表面を有する。幾つかの実施例において、欠乏領域は半導体材料を含む。幾つかの実施例において、複数のエネルギー障壁幅の間の差は約10nm以下である。幾つかの実施例において、ワークは金属-絶縁体-金属コンデンサを含み、且つ導電特性は金属-絶縁体-金属コンデンサに結合される。幾つかの実施例において、方法は、底部電極層と欠乏領域との間にスタックされる絶縁体層を形成する工程を更に含む。幾つかの実施例において、絶縁体層の頂表面と欠乏領域の底表面は共役である。
別の例示的な態様では、本開示は、基板に設けられる底部電極と、底部電極に設けられる絶縁体層(絶縁体層は、異なる厚さを有する複数のセグメントを含む)と、絶縁体層に設けられる半導体層と、半導体層に設けられる誘電体層と、誘電体層に設けられる強誘電体層と、強誘電体層に設けられる頂部電極と、を含む半導体装置に関する。幾つかの実施例において、半導体層は、異なる厚さを有する複数の部分を含む。幾つかの実施例において、誘電体層は非分極材料を含み、強誘電体層は分極材料を含む。
前述の内容は、いくつかの実施例の特性を概説し、当業者が本開示の態様をより良く理解させることができる。当業者は、本明細書で導入される実施例を実施するための同一の目的及び/又は同一の利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として、本開示を容易に使用できることは理解すべきである。当業者は、このような等価構造は本開示の精神及び範囲から逸脱するものではなく、また、このような等価構造は、本開示の精神及び範囲から逸脱することなく、本明細書で様々な変更、置換、及び代替を行うことができる。
10 基板
12 強誘電体スタック
14 FSLスタック
16 底部電極
18 頂部電極
20 強誘電体層
22 誘電体層
24 半導体層
26 絶縁体層
100 方法
102~124 ブロック
200 ワーク
201 相互接続構造
202 基板
203 トランジスタ
204 チャンネル領域
206 ゲート構造
208 ソース/ドレイン領域
212 第1のIMD層
214D 貫通孔
214G 貫通孔
214S 貫通孔
216 金属配線
218 MIMコンデンサ
220a 底部電極
220b 中間電極
220c 頂部電極
221 絶縁誘電体層
222 IMD層
224D 貫通孔
224G 貫通孔
226 金属配線
230 ESL
232 開口
234 コンタクトホール
234a バリア層
234b 金属充填層
236 底部電極層
238 絶縁体層
238a 第1の層
238b 第2の層
238c 第3の層
240 半導体層
242a エッチングプロセス
242b エッチングプロセス
244a 注入プロセス
244b 注入プロセス
244c 注入プロセス
246 誘電体層
248 強誘電体層
250 頂部電極層
251 強誘電体スタック
252 FSLスタック
254 ハードマスク層
256 スペーサ
258 第2のESL
260 緩衝膜
262 IMD層
264 貫通孔
266 金属配線
I 領域
II 領域
III 領域
M1~Mn+1 金属層
t1~t7 厚さ
t1’~t3’ 厚さ

Claims (10)

  1. 基板の上方に底部電極層を形成する工程と、
    前記底部電極層の上方に絶縁体層を形成する工程と、
    前記底部電極層の上方に、異なる厚さを有する複数の部分を含む半導体層を堆積する工程と、
    前記半導体層の上方に強誘電体層を堆積する工程と、
    前記強誘電体層の上方に頂部電極層を形成する工程と、
    前記底部電極層、前記絶縁体層、前記半導体層、前記強誘電体層、及び前記頂部電極層をパターン化してメモリスタックを形成する工程と、
    を有する半導体装置の製造方法。
  2. 前記強誘電体層を形成する前に、前記半導体層の上方に誘電体層を堆積する工程を更に含む請求項1に記載の製造方法。
  3. 前記絶縁体層を形成する工程は、
    前記底部電極層を被覆する第1の誘電体層を堆積する工程と、
    前記第1の誘電体層を部分的に被覆する少なくとも1つの第2の誘電体層を堆積する工程と、
    を有する請求項1又は2に記載の製造方法。
  4. 前記絶縁体層を形成する工程は、
    前記底部電極層を被覆する誘電体層を堆積する工程と、
    前記誘電体層の一部を部分的に凹ませる工程と、
    を有する請求項1又は2に記載の製造方法。
  5. 前記絶縁体層を形成する工程は、
    前記基板の上方に半導体含有層を堆積する工程と、
    第1のイオン注入プロセスを実行して前記半導体含有層の中間部分を硬化することで、前記半導体含有層内に嵌め込まれる前記絶縁体層を形成する工程と、
    第2のイオン注入プロセスを少なくとも実行して、前記絶縁体層の一部の厚さを成長する工程と、
    を有する請求項1又は2に記載の製造方法。
  6. メモリスタックを含む半導体装置の製造方法であって、
    第1の誘電体層の中に設けられる導電特性を持つワークを提供する工程と、
    前記ワークの上方にエッチングストッパ層を堆積する工程と、
    前記エッチングストッパ層を貫通して、前記導電特性に接触するコンタクトホールを形成する工程と、
    前記エッチングストッパ層及び前記コンタクトホールの上方に底部電極層を堆積する工程と、
    前記底部電極層の上方に、前記メモリスタックの複数のエネルギー障壁幅を提供するための欠乏領域を形成する工程と、
    前記欠乏領域の上方に非分極層を堆積する工程と、
    前記非分極層の上方に分極層を堆積する工程と、
    前記分極層の上方に頂部電極層を堆積する工程と、
    前記底部電極層、前記欠乏領域、前記非分極層、前記分極層、及び前記頂部電極層をパターン化して前記メモリスタックを形成する工程と、
    を有するメモリスタックを含む半導体装置の製造方法。
  7. 前記欠乏領域は、階段状の輪郭表面を有する請求項6に記載の製造方法。
  8. 前記ワークは、金属-絶縁体-金属コンデンサを含み、且つ前記導電特性は前記金属-絶縁体-金属コンデンサに結合される請求項6又は7に記載の製造方法。
  9. 基板に設けられる底部電極と、
    前記底部電極に設けられ、異なる厚さを有する複数のセグメントを含む絶縁体層と、
    前記絶縁体層に設けられる半導体層と、
    前記半導体層に設けられる誘電体層と、
    前記誘電体層に設けられる強誘電体層と、
    前記強誘電体層に設けられる頂部電極と、
    を含む半導体装置。
  10. 前記誘電体層は非分極材料を含み、且つ前記強誘電体層は分極材料を含む請求項9に記載の半導体装置。
JP2023037210A 2022-03-11 2023-03-10 メモリ装置構造及びその製造方法 Pending JP2023133256A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263318889P 2022-03-11 2022-03-11
US63/318,889 2022-03-11
US17/879,432 US20230292526A1 (en) 2022-03-11 2022-08-02 Memory Device Structure and Manufacturing Method Thereof
US17/879,432 2022-08-02

Publications (1)

Publication Number Publication Date
JP2023133256A true JP2023133256A (ja) 2023-09-22

Family

ID=86853288

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023037210A Pending JP2023133256A (ja) 2022-03-11 2023-03-10 メモリ装置構造及びその製造方法

Country Status (4)

Country Link
US (1) US20230292526A1 (ja)
JP (1) JP2023133256A (ja)
CN (1) CN219269471U (ja)
TW (1) TW202401800A (ja)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6048738A (en) * 1997-03-07 2000-04-11 Sharp Laboratories Of America, Inc. Method of making ferroelectric memory cell for VLSI RAM array
KR101293130B1 (ko) * 2010-05-28 2013-08-12 엘지디스플레이 주식회사 어레이 기판 및 이의 제조방법
US9679893B2 (en) * 2015-05-15 2017-06-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and transistor
DE102016113071A1 (de) * 2016-07-15 2018-01-18 Osram Opto Semiconductors Gmbh Halbleiterlaserdiode
KR20180097377A (ko) * 2017-02-23 2018-08-31 에스케이하이닉스 주식회사 강유전성 메모리 장치 및 그 제조 방법
TWI712171B (zh) * 2017-06-07 2020-12-01 聯華電子股份有限公司 半導體元件
US10784362B2 (en) * 2017-10-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10644125B2 (en) * 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof
US11101362B2 (en) * 2018-07-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
EP3671199B1 (en) * 2018-12-18 2022-05-25 Ecole Polytechnique Federale De Lausanne (Epfl) Negative capacitance semiconductor sensor
US11264561B2 (en) * 2019-08-20 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory device and formation method thereof

Also Published As

Publication number Publication date
TW202401800A (zh) 2024-01-01
CN219269471U (zh) 2023-06-27
US20230292526A1 (en) 2023-09-14

Similar Documents

Publication Publication Date Title
US11728340B2 (en) Single diffusion break isolation for gate-all-around field-effect transistor devices
KR20190105604A (ko) 강유전성 산화물 메모리 장치
US11716855B2 (en) Three-dimensional memory device and method
US20230369440A1 (en) Access transistor including a metal oxide barrier layer and methods for forming the same
US11647635B2 (en) Ferroelectric memory device and method of forming the same
US11869766B2 (en) Seed layer for ferroelectric memory device and manufacturing method thereof
US20220367515A1 (en) Ferroelectric memory device and method of forming the same
US11527552B2 (en) Ferroelectric memory device and method of forming the same
US20230247839A1 (en) Semiconductor memory devices and methods of manufacturing thereof
TW202109850A (zh) 記憶體裝置
US20230106816A1 (en) Ferroelectric memory device and method of forming the same
CN219269471U (zh) 半导体装置
CN113497045A (zh) 具有减少的边缘泄露的铁电存储器及其制造方法
CN113380899A (zh) 半导体结构、晶体管和形成晶体管器件的方法
US20230053623A1 (en) Semiconductor memory devices and methods of manufacturing thereof
US20230232621A1 (en) Memory device and method for manufacturing the same using hard mask
US11727976B2 (en) Semiconductor devices including ferroelectric memory and methods of forming the same
US20230117185A1 (en) Semiconductor memory devices having cup shaped vias
US20230022269A1 (en) Semiconductor dies including low and high workfunction semiconductor devices
US20230284434A1 (en) Semiconductor device and method for fabricating the same
US20230292531A1 (en) Memory device
US20220293788A1 (en) Semiconductor devices having a dielectric embedded in source and/or drain
CN117500266A (zh) 半导体装置
TW202339252A (zh) 半導體裝置
TW202320324A (zh) 積體電路結構及其製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230310

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240409