JP2022022172A - 裏面パワーレールを備えた半導体デバイス及びその製造方法 - Google Patents

裏面パワーレールを備えた半導体デバイス及びその製造方法 Download PDF

Info

Publication number
JP2022022172A
JP2022022172A JP2021120302A JP2021120302A JP2022022172A JP 2022022172 A JP2022022172 A JP 2022022172A JP 2021120302 A JP2021120302 A JP 2021120302A JP 2021120302 A JP2021120302 A JP 2021120302A JP 2022022172 A JP2022022172 A JP 2022022172A
Authority
JP
Japan
Prior art keywords
drain
source
semiconductor device
feature portion
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021120302A
Other languages
English (en)
Inventor
俊元 ▲ちぇん▼
Chun-Yuan Chen
培宇 王
Pei Yu Wang
煥傑 蘇
Huan-Chieh Su
志豪 王
Chih-Hao Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022022172A publication Critical patent/JP2022022172A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Abstract

【課題】裏面ソース/ドレインコンタクトを有する半導体デバイス及びその製造方法を提供する。【解決手段】半導体デバイスは、上面及び底面を有するエピタキシャルソース/ドレイン特徴部66と、該ソース/ドレイン特徴部の上面に接して形成された第1のシリサイド層90と、第1のシリサイド層90上に形成された第1の導電性特徴部92と、該ソース/ドレイン特徴部の底面よりも下方にある本体部と第1の導電性特徴部92に接する、本体部から延びる第1の側壁部とを有する第2の導電性構造体と、を備える。【選択図】図28C

Description

半導体業界は、様々な電子部品の集積密度における絶え間ない向上のため、継続的かつ急速な成長を遂げてきた。ほとんどの場合、この集積密度の向上は、最小加工寸法の縮小が繰り返されることによるものであり、より多くの部品を所定のチップ面積に集積することを可能にする。最小加工寸法が小さいほど、金属間接続層における金属層のルーティングも複雑になる。したがって、上記問題を解決する必要がある。
本発明の態様は、添付図面を参照しながら、以下の詳細な説明から最もよく理解される。業界の標準的技法に従って、様々な特徴部が一定のスケールで描かれていないことに注意すべきである。実際、様々なフィーチャの寸法は、説明を明確にするために任意に増減できる。
本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。 本開示の実施形態に係る半導体デバイスの製造工程の各段階を模式的に示す。
以下の開示は、提供された主題の異なる特徴部を実施するための多くの異なる実施形態又は実施例を提供する。以下、本開示を簡略化するために、コンポーネントおよび配置の特定の例を説明する。もちろん、これらは、一例に過ぎず、これらに限定するものではない。例えば、以下の説明における第2の特徴の上方又は上の第1の特徴の形成は、第1と第2の特徴が直接接触して形成される実施形態を含んでもよく、また、第1と第2の特徴が直接接触しないように、追加の特徴が第1と第2の特徴の間に形成され得る実施形態を含んでもよい。また、本開示は、様々な例において符号及び/又は文字を繰り返してもよい。この繰り返しは、簡略さと明瞭性を目的として、それ自体では、説明した様々な実施形態及び/又は構成の関係を示すものではない。
さらに、本明細書では、説明を容易にするために、「下方」、「下」、「下側」、「上」、「上方」、「上面」、「上側」などのような空間的に相対的な用語を使用して、図面に示すように、ある要素又は特徴部と別の要素又は特徴部との関係について説明し得る。空間的に相対的な用語は、図に示されている方向に加えて、使用中又は動作中の装置の異なる方向を包含することを意図している。装置は、他の方向に配向してもよく(90度又は他の配向に回転されてもよい)、本明細書で使用される空間的に相対的な記述子は、同様にそれに応じて解釈され得る。
前述は、本開示に記載される実施形態のいくつかの態様を大まかに概説する。本明細書に記載のいくつかの実施形態は、ナノシートチャネルFETsの文脈で説明されているが、本開示のいくつかの態様の実装は、他のプロセス及び/又は他のデバイス、例えば、プレーナ型FET、Fin-FETs、水平型ゲートオールアラウンド(HGAA)、FETs、垂直型ゲートオールアラウンド(VGAA)FET、及びその他の適切なデバイスに使用され得る。当業者は、本開示の範囲内で行われる可能性のある他の修正を容易に理解する。また、各方法実施形態は、具体的な順序で記述されてもよいが、他の様々な方法実施形態は、任意の論理的順序で実行されてもよく、又は本明細書に記載されたものよりも少ない又は多い工程を含んでもよい。本開示において、ソース/ドレインとは、ソース及び/又はドレインを指す。ソースとドレインは交換可能に使用される。
ゲートオールアラウンド(Gate Allaround)トランジスタ構造は、任意の適切な方法でパターニングされ得る。例えば、該構造は、ダブルパターニング又はマルチパターニングプロセスを含む、1つ以上のフォトリソグラフィプロセスを使用してパターニングされ得る。一般に、ダブルパターニング又はマルチパターニングプロセスは、フォトリソグラフィと自己整合プロセスを組み合わせて、例えば、単一の直接フォトリソグラフィプロセスを使用して得られるものよりも小さいピッチを有するパターンを作成することを可能にする。例えば、一実施形態では、犠牲層は、基板上に形成され、かつフォトリソグラフィプロセスを使用してパターニングされる。スペーサーは、自己整列プロセスを用いて、パターニングされた犠牲層に沿って形成される。次に、犠牲層が除去され、残りのスペーサを使用してGAA構造をパターニングし得る。
集積回路(IC)は、典型的には、半導体基板上に形成された電界効果トランジスタと金属配線層などの複数の半導体デバイスを含む。半導体デバイスを電源、入出力信号および相互に接続するように設計される配線層は、信号線と、正電圧レール(VDD)、グランドレール(GND)等のパワーレールとを含んでもよい。半導体デバイスの小型化に伴い、金属パワーレール及び信号線用のスペースが小さくなる。
本開示の実施形態は、基板の裏側に形成されたパワーレールに接続するための金属接点を有する半導体デバイス、及びそのような半導体デバイスを製造するための方法を提供する。パワーレールが基板の裏側に形成される場合、配線工程(BEOL)の金属層は、性能が向上したマスクの数を減らして製造されてもよく、電界効果トランジスタ(FETs)のゲート幅を広くすることができ、また、パワーレールの幅も広げることができる。裏面及び裏面パワーレール上の金属接点は、BEOL工程を完了して基板を反転させた後に行われる裏面工程によって形成される。その結果、裏面工程は、BEOL構造の完全性を維持するための条件内で実行される。例えば、ソース/ドレイン領域等の活性半導体領域と裏面金属接点との間にシリサイド層を形成することが困難である。本開示の実施形態は、裏面工程中に形成され、基板の前面に形成されたシリサイド層を介してソース/ドレイン特徴部と接続する導電性特徴部を有する半導体デバイスを提供する。
図1-28Cは、本開示の一実施形態に係るナノシートFETデバイスを製造する様々な段階を示す。この方法の追加の実施形態について、追加の動作を図1-28Cに示すプロセスの前、その間、及びその後に提供することができ、以下に説明する動作の一部を置き換えたり、削除したりすることができる。動作/プロセスの順序は交換可能である。
図1において、基板10は、その上に半導体デバイスを形成するために提供される。基板10は、Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及びInPなどの単結晶半導体材料を含み得るが、これらに限定されない。基板10は、回路設計に応じて様々なドーピング構成を含み得る。 例えば、N型電界効果トランジスタ(NFET)やP型電界効果トランジスタ(PFET)などの様々なデバイスタイプのために設計された領域の基板10に、nウェル、pウェルなどの異なるドーピング分布を形成し得る。いくつかの実施形態では、基板10は、強化用の絶縁体構造12を含むシリコンオンインシュレータ(SOI)基板であり得る。
基板10は、表面10fと裏面10bとを有する。基板10の表面10f上の領域にバッファ層14が形成される。バッファ層14は、格子定数を基板10の格子定数から基板10上に形成されたソース/ドレイン領域の格子定数に徐々に変化させるように機能する。バッファ層14は、Si、SiGe、SiGe、SiGeB、SiP、SiAs等の単結晶半導体材料をエピタキシャル成長させて形成され得る。いくつかの実施形態では、バッファ層14は、約5nmから約50nmの間の厚さを有する。
バッファ層14上に半導体積層体20が形成される。半導体積層体20は、ナノシートチャネルFET等のマルチゲートデバイスにおけるナノシートチャネルの形成を容易にするために、異なる材料からなる交互の半導体層を含む。いくつかの実施形態では、半導体積層体20は、第2の半導体層24に挟まれた第1の半導体層22を含む。第1の半導体層22と第2の半導体層24とは、酸化速度及び/又はエッチング選択性が異なる。
後の製造段階では、半導体層24の一部がマルチゲートデバイスにおけるナノシートチャネルを形成する。3つの第1の半導体層22および3つの第2の半導体層24は、例として図1に示されるように交互に配置される。多かれ少なかれ半導体層22および24は、形成される半導体デバイス内の所望のチャネル数に応じて、半導体積層体20に含まれ得る。いくつかの実施形態では、半導体層24の数は、1-10である。
半導体層22、24は、分子線エピタキシー(MBE)法、有機金属気相成長(MOCVD)法、及び/又は他の適切なエピタキシャル成長法により形成され得る。いくつかの実施形態では、半導体層24は、基板10と同じ材料を含む。いくつかの実施形態では、半導体層22及び24は、基板10と異なる材料を含む。いくつかの実施形態では、半導体層22、24は、格子定数の異なる材料で構成される。いくつかの実施形態では、第1の半導体層22は、エピタキシャル成長されたシリコンゲルマニウム(SiGe)層を含み、第2の半導体層24は、エピタキシャル成長されたシリコン(Si)層を含む。代替的に、いくつかの実施形態では、半導体層22及び24のいずれかは、Geなどの他の材料、SiC、GeAs、GaP、InP、InAs及び/又はInSb等の化合物半導体、SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及び/又はGaInAsP等の合金半導体、又はこれらの組み合わせを含み得る。
いくつかの実施形態では、各第2の半導体層24は、約5nmから約30nmの範囲の厚さを有する。他の実施形態では、各第2の半導体層24は、約10nmから約20nmの範囲の厚さを有する。いくつかの実施形態では、各第2の半導体層24は、約6nmから約12nmの範囲の厚さを有する。いくつかの実施形態では、半導体積層体20内の第2の半導体層24は、厚さが均一である。チャネル領域内の第1の半導体層22は、最終的に除去され得、その後に形成されるマルチゲートデバイス用の隣接するチャネル領域間の垂直距離を定義するのに役立つ。いくつかの実施形態では、第1の半導体層22の厚さは、第2の半導体層24の厚さ以上である。いくつかの実施形態では、各第1の半導体層22は、約5nmから約50nmの範囲の厚さを有する。他の実施形態では、各第1の半導体層22は、約10nmから約30nmの範囲の厚さを有する。
図2では、半導体積層体20、バッファ層14及び基板10の一部から半導体フィン30が形成される。半導体フィン30は、半導体積層体20上に形成されたハードマスク(図示せず)をパターン化すること、および1つ以上のエッチングプロセスによって形成され得る。各半導体フィン30は、半導体層22、24を含む上部と、基板10から形成されたウェル部分32とを有する。図2において、半導体フィン30はX方向に沿って形成される。半導体フィン30のY方向に沿った幅W1は、約10nmから約40nmの範囲にある。いくつかの実施形態では、半導体フィン30のY方向に沿った幅W1は、約20nmから約30nmの範囲にある。
図3において、基板10上に分離層36が形成され、隣接する半導体フィン30間の分離層36にハイブリッドフィン34が形成される。分離層36は、高密度プラズマ化学気相堆積法(HDP-CVD法)、流動性CVD法(FCVD法)、又は他の適切な堆積プロセスにより形成され得る。いくつかの実施形態では、分離層36は、原子層堆積(ALD)などの適切な堆積プロセスによって半導体フィン30を覆うように共形に形成される。いくつかの実施形態では、分離層36は、酸化ケイ素、窒化ケイ素、酸窒化シリコン、フッ素ドープケイ酸塩ガラス(FSG)、Low-k誘電体、それらの組み合わせを含み得る。
いくつかの実施形態では、分離層36のコンフォーマル堆積は、隣接する半導体フィン30の間の分離層36に形成されたトレンチをもたらす。続いて、トレンチは、1つ以上の誘電体層で充填されて、その中にハイブリッドフィン34を形成する。ハイブリッドフィン34の形成後、化学機械研磨(CMP)プロセスなどの平坦化プロセスが実行されて、半導体フィン30が露出するまで、過剰な分離層36およびハイブリッドフィン34が除去される。
いくつかの実施形態では、ダミーフィン又は誘電体フィンともいうハイブリッドフィン34は、high-k誘電体材料層、low-k誘電体材料層、又はhigh-k上部とlow-k下部を含む2層誘電体材料を含む。 いくつかの実施形態では、ハイブリッドフィン34は、HfO、ZrO、HfAlO、HfSiO、Al等のHigh-k金属酸化物、SiNH、SiCN、SiOC等のLow-k材料、又は他の誘電体材料を含む。
いくつかの実施形態では、Y方向に沿ったハイブリッドフィン34の幅W2は、約3nmから約50nmの範囲にある。いくつかの実施形態では、ハイブリッドフィン34は、バッファ層14ののレベルまで延びてもよい。 例えば、ハイブリッドフィン34の底部は、Z方向に沿ってバッファ層14の上面よりも低い高さH1にあり得る。 いくつかの実施形態では、高さH1は、約5nm~約8nmの範囲にある。
図4において、分離層36は、半導体フィン30及びハイブリッドフィン34を露出させるために、適切な異方性エッチングプロセスを使用してエッチングした凹部である。いくつかの実施形態では、分離層36をエッチングして、半導体フィン30内のバッファ層14の少なくとも一部を露出させる。
図5A-5D~図18A-18Dにおいて、図5B-図18Bは、図5AのY1-Y1線に対応する断面図である。図5C-図18Cは、図5AのY2-Y2線に対応する断面図である。図5D-図18Dは、図5AのX-X線に対応する断面図である。
図5A-5Dにおいて、半導体フィン30及びハイブリッドフィン34の上方に犠牲ゲート構造40が形成される。続いて、各犠牲ゲート構造40の側壁に側壁スペーサ50が形成される。犠牲ゲート構造40は、チャネル領域となる半導体フィン30の一部の上方に形成される。犠牲ゲート構造40は、犠牲ゲート誘電体層42と、犠牲ゲート電極層44と、パッド層46と、マスク層48とを含み得る。
犠牲ゲート誘電体層42は、半導体フィン30およびハイブリッドフィン34の上方のブランケット堆積によって形成される。犠牲ゲート誘電体層42は、酸化ケイ素ベースの材料などの絶縁材料の1つ以上の層を含む。いくつかの実施形態では、CVDによって形成された酸化ケイ素が用いられる。いくつかの実施形態では、犠牲ゲート誘電体層42の厚さは、1nm~5nmの範囲にある。
次に、犠牲ゲート電極層44は犠牲ゲート誘電体層42上、及び半導体フィン30及びハイブリッドフィン34の上方にブランケット堆積され、それにより、フィン構造が犠牲ゲート電極層に完全に埋め込まれる。犠牲ゲート電極層44は、多結晶シリコン又はアモルファスシリコンなどのシリコンを含む。犠牲ゲート電極層の厚さは、約100nm~約200nmの範囲にある。いくつかの実施形態では、犠牲ゲート電極層44に平坦化動作が施される。犠牲ゲート電極層44は、LPCVD及びPECVD、PVD、ALD、又は他の適切なプロセスを含むCVDを用いて堆積される。
続いて、犠牲ゲート電極層44の上方にパッド層46及びマスク層48が形成される。パッド層46は、窒化ケイ素を含み得る。マスク層48は、酸化ケイ素を含み得る。次に、マスク層48、パッド層46、犠牲ゲート電極層44及び犠牲ゲート誘電体層42をパターニングして、犠牲ゲート構造40を形成する。
犠牲ゲート構造40を形成した後、絶縁材料をブランケット堆積した後、異方性エッチングを行って絶縁材料を水平面から除去することにより、側壁スペーサ50を形成する。側壁スペーサ50の厚さは、約2nm~約10nmの範囲にあり得る。いくつかの実施形態では、側壁スペーサ50の絶縁材料は、SiN、SiON、SiOCN、SiCN等の窒化ケイ素系材料及びこれらの組み合わせである。
図6A-6Dにおいて、犠牲ゲート構造40の反対側にある半導体フィン30は、エッチングされた凹部であり、犠牲ゲート構造40のいずれかの側にある隣接するハイブリッドフィン34間にソース/ドレインスペース52及び54を形成する。半導体フィン30内の第1の半導体層22及び第2の半導体層24は、1つ以上のリソグラフィ及びエッチング動作を使用して、犠牲ゲート構造40の両側で下向きにエッチングされる。いくつかの実施形態では、半導体フィン30の半導体積層体20の全ての層をエッチングして、半導体フィン30のウェル部32を露出させる。いくつかの実施形態では、適切なドライエッチング及び/又はウェットエッチングを使用して、第1の半導体層22、第2の半導体層24、及びバッファ層14を一緒に又は別々に除去してもよい。
図6A及び図6Bに示すように、ハイブリッドフィン34は、犠牲ゲート構造40の一側で隣接するソース/ドレインスペース52を分離している。同様に、ハイブリッドフィン34も、犠牲ゲート構造40の反対側で隣接するソース/ドレインスペース54を分離している。
図7A-7Dにおいて、その下のパワーレールに接続されるソース/ドレインスペース52、54の下にある領域に対応する半導体フィン30の残りの部分は、さらにエッチングされて、位置合わせ凹部58を形成する。フォトレジスト層とハードマスク層とを含むパターン化スタック等のパターニングされた保護層56は、位置合わせ凹部58を形成する領域を露出させ、位置合わせ凹部58を形成しない領域を保護するために用いられる。半導体フィン30のウェル部32の少なくとも一部を除去して位置合わせ凹部58を形成するために、適宜のドライエッチング及び/又はウェットエッチングが用いられる。各位置合わせ凹部58の高さは、バッファ層14の上部から位置合わせ凹部58の底部までの距離である「H2」である。高さH2は、約10nm~約30nmの範囲にあることが好ましい。
図8A-8Dにおいて、位置合わせ凹部58には、CVD、CVDエピタキシー、分子線エピタキシー(MBE)、又は任意の適切な堆積技術等により、裏面コンタクト位置合わせ部60が形成される。いくつかの実施形態では、裏面コンタクト位置合わせ部60は、選択的堆積プロセスにより形成される。裏面コンタクト位置合わせ部60は、後の段階で基板10に裏面コンタクトホールを形成するために除去される。
裏面コンタクト位置合わせ部60は、基板10の材料、半導体フィン30のウェル部32の材料、及び分離層36の絶縁材料に対してエッチング選択性を有する材料で形成される。裏面工程において、裏面コンタクト位置合わせ部60の材料は、チャネル領域及び反対側のソース/ドレイン領域における半導体フィン30の一部を選択的に裏面コンタクト位置合わせ部60から除去することができる。また、分離層36内の誘電体材料をエッチングすることなく、裏面コンタクト位置合わせ部60を選択的に除去することができる。
いくつかの実施形態では、裏面コンタクト位置合わせ部60は、単結晶SiGe材料等のSiGeを含み得る。いくつかの実施形態では、裏面コンタクト位置合わせ部60は、ゲルマニウム組成比が50%~95%程度のSiGeで形成される。代替的に、裏面コンタクト位置合わせ部60は、Si、Geなどの他の材料、SiC、GeAs、GaP、InP、InAs及び/又はInSb等の化合物半導体、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及び/又はGaInAsP等の合金半導体、又はこれらの組み合わせを含み得る。犠牲ゲート構造40の一側に裏面コンタクト位置合わせ部60を形成した後、犠牲ゲート構造40の反対側に形成されたパターニングされた保護層56を除去する。
図9A-9Dにおいて、犠牲ゲート構造40の下にある第1の半導体層22の露出端には、内部スペーサ62が形成される。まず、ソース/ドレインスペース52、54に露出した第1の半導体層22をX方向に沿って横方向にエッチングして空洞を形成する。いくつかの実施形態では、第1の半導体層22は、例えば、限定されないが、水酸化アンモニウム(NHOH)、テトラメチルアンモニウムヒドロキシド(TMAH)、エチレンジアミンピロカテコール(EDP)、水酸化カリウム(KOH)溶液等のウェットエッチング液を用いて選択的にエッチングすることができる。第1の半導体層22のエッチング量は、X方向に沿って約2nm~約10nmの範囲であることが好ましい。第1の半導体層22の結晶方位とエッチング液とを適切に選択することにより、第1の半導体層22の端部にある空洞の形状を、図9Dに示すような矩形状や、図示しない白抜き三角形状などの異なる形状とすることができる。
内部スペーサ62は、第1の半導体層22に空洞を形成した後、絶縁層をコンフォーマルに堆積してから部分的に除去することにより、空洞内に形成することができる。絶縁層は、ALD又は他の適切な方法で形成することができる。いくつかの実施形態では、絶縁層は、窒化ケイ素(SiN)又は酸化ケイ素(SiO)のいずれかを含み、その厚さは、約0.5nm~約3.0nmの範囲である。その後のエッチング処理により、空洞内以外の絶縁層の大部分が除去され、内部スペーサ62が形成される。
図10A-10Dにおいて、ソース/ドレインスペース52、54には、エピタキシャルソース/ドレイン特徴部66が形成される。いくつかの実施形態では、ソース/ドレインスペース52、54内の半導体材料をエピタキシャル成長させる前に、前洗浄プロセスを行ってもよい。図10Bに示すように、分離層36の露出領域は、前洗浄プロセス中に凹み得る。
ソース/ドレインスペース52における裏面コンタクト位置合わせ部60の露出面や、ソース/ドレインスペース54における半導体フィン30の露出面などの露出面に、まず、遷移エピタキシャル層64を形成し得る。遷移エピタキシャル層64は、裏面コンタクト位置合わせ部60や半導体フィン30の残りの部分などの既存の半導体特徴部と、形成されるエピタキシャルソース/ドレイン特徴部66との間に格子構造のブリッジを形成する機能を有する。遷移エピタキシャル層64は、Si、SiGe、SiGeB、SiP、SiAs、及びその他のシリコン関連エピタキシャル材料から形成されることが好ましい。
遷移エピタキシャル層64の材料は、基板10の材料、バッファ層14の材料、及び裏面コンタクト位置合わせ部60に対して、エッチング及び/又は酸化速度が異なるように選択されることが好ましい。遷移エピタキシャル層64は、裏面コンタクト位置合わせ部60がSiGeから形成される場合には、SiGeBから形成されることが好ましい。
本開示の実施形態によれば、図10Bに示すように、遷移エピタキシャル層64は、遷移エピタキシャル層64とハイブリッドフィン34との間に隙間68が形成されるように、Y1-Y1に沿って略矩形断面を有する棒状に成長される。いくつかの実施形態では、遷移エピタキシャル層64のZ方向に沿った高さH3は、約5nm~約50nmの範囲である。
エピタキシャルソース/ドレイン特徴部66は、ソース/ドレインスペース52、54内において、遷移エピタキシャル層64上に形成される。エピタキシャルソース/ドレイン特徴部66は、CVD、ALD、又は分子線エピタキシー(MBE)を用いたエピタキシャル成長法により形成される。 エピタキシャルソース/ドレイン特徴部66は、NFET用のSi、SiP、SiC、SiCP又はPFET用のSi、SiGe、Geの1つ以上の層を含み得る。PFETの場合には、ホウ素(B)などのp型ドーパントもエピタキシャルソース/ドレイン特徴部66に含まれ得る。図10Dに示すように、エピタキシャルソース/ドレイン特徴部66は、犠牲ゲート構造40の下の第2の半導体層24に、遷移領域63を介して接して形成される。
本開示の実施形態によれば、図10Bに示すように、エピタキシャルソース/ドレイン特徴部66は、Y1-Y1に沿って略矩形断面を有する棒状に成長される。棒状は、エピタキシャル成長のための適切な結晶方位を選択することにより実現することができる。いくつかの実施形態では、棒状は、エピタキシャル成長のための<110>結晶方位を選択することにより実現される。図10Bに示す断面図において、各エピタキシャルソース/ドレイン特徴部66は、上面66tと、底面66bと、2つの側面66sとを有する。底面66bは、遷移エピタキシャル層64に接している。各側面66sは、ハイブリッドフィン34に対向している。エピタキシャルソース/ドレイン特徴部66の形成後、エピタキシャルソース/ドレイン特徴部66とハイブリッドフィン34との間の隙間68は、Z方向に沿って延びる。
いくつかの実施形態では、エピタキシャルソース/ドレイン特徴部66のZ方向に沿った高さH4は、約10nm~約70nmの範囲である。エピタキシャルソース/ドレイン特徴部66は、Y方向に沿って幅W3を有する。幅W3は、図2に示すチャネル幅W1よりも小さく、大きく、同じであってもよい。エピタキシャルソース/ドレイン特徴部66は、X方向に沿った長さL1を有する。長さL1は、約10nm~約50nmの範囲であることが好ましい。
各間隙68のY方向に沿った幅W4は、約1nm~約15nmの範囲である。後述するように、各隙間68は、誘電体材料で充填された後、導電性材料で置換される。隙間68の幅W4は、導電性材料の形成及び機能を可能にするために選択される。幅W4が1nm未満である場合、その中に連続した導電材料を形成することが困難となり、導電材料の抵抗が大きく、エピタキシャルソース/ドレイン特徴部66のコンタクトとして適さない場合がある。幅W4が15nmよりも大きい場合、重要な追加の利点なしに、エピタキシャルソース/ドレイン特徴部66のサイズを小さくする場合がある。
図11A-11Dにおいて、基板10上には、隙間充填誘電体層70が形成される。いくつかの実施形態では、隙間充填誘電体層70は、原子層堆積(ALD)法等の適宜の堆積法により、基板10上の露出面を覆うようにコンフォーマルに形成される。堆積時には、隙間充填誘電体層70の厚さが厚くなるにつれて、エピタキシャルソース/ドレイン特徴部66の側面66sに堆積した材料と、隣接するハイブリッドフィン34への膜堆積とが、隙間68を埋めるように収束する。
いくつかの実施形態では、隙間充填誘電体層70は、例えば、SiNC、SiCN、SiOC等のLow-k材料、HfO、ZrO、HfAlO、HfSiO、Al等のHigh-k金属酸化物、又は他の誘電体材料を含み得る。隙間充填誘電体層70の材料は、エピタキシャルソース/ドレイン特徴部66の材料及びハイブリッドフィン34の材料に対してエッチング選択性を有するように選択される。いくつかの実施形態では、隙間充填誘電体層70は、Al等のHigh-k金属酸化物である。裏面工程中において、エピタキシャルソース/ドレイン特徴部66をエッチングすることなく、隙間充填誘電体層70の材料を選択的に除去することができる。
図12A-12Dにおいて、等方性エッチングにより、基板上の水平面及び垂直面から隙間充填誘電体層70を除去して隙間充填誘電体層70で充填された隙間68を残す。任意の適切なエッチング方法を使用することができ、例えば、適切なエッチング液を用いたウェットエッチングプロセスを使用して、隙間充填誘電体層70の一部を除去することができる。
図13A-13Dにおいて、基板10上には、コンタクトエッチストップ層(CESL)76が形成される。CESL76は、エピタキシャルソース/ドレイン特徴部66及び隙間充填誘電体層70上に形成される。CESL76の厚さは、約1nm~約15nmの範囲であることが好ましい。CESL76は、Si、SiON、SiCN、又は他の適切な材料等を含み得、CVD、PVD、又はALD等により形成され得る。
図14A-14Dにおいて、基板10上には層間誘電体(ILD)層78が形成される。ILD層78の材料は、酸化ケイ素、SiCOH及びSiOCなどのSi、O、C及び/又はHを含む化合物を含む。ポリマーなどの有機材料はILD層78に用いられる。ILD層78を形成した後、CMP等の平坦化処理を行って、犠牲ゲート電極層44を露出させ、その後の犠牲ゲート構造40の除去を行う。ILD層78は、犠牲ゲート構造40の除去中に、エピタキシャルソース/ドレイン特徴部66を保護する。
図15A-15Dにおいて、犠牲ゲート電極層44及び犠牲ゲート誘電体層42が除去される。犠牲ゲート構造40は、プラズマドライエッチング及び/又はウェットエッチングを用いて除去することができる。犠牲ゲート電極層44がポリシリコンであり、ILD層78が酸化ケイ素である場合、ILD層78、CESL76及び側壁スペーサ50の誘電体材料を除去することなく、テトラメチルアンモニウムヒドロキシド(TMAH)溶液等のウェットエッチング液を用いて犠牲ゲート電極層44を選択的に除去することができる。その後、犠牲ゲート誘電体層42は、プラズマドライエッチング及び/又はウェットエッチングを用いて除去される。
図15Cに示すように、犠牲ゲート電極層44及び犠牲ゲート誘電体層42を除去した後、第1の半導体層22及び第2の半導体層24を露出させる。第1の半導体層22を選択的に除去することにより、第2の半導体層24のナノシートを形成することができる。
第1の半導体層22は、第2の半導体層24に対して第1の半導体層22を選択的にエッチングできるエッチング液を用いて除去することができる。第1の半導体層22がGe又はSiGeであり、第2の半導体層24がSiである場合、第1の半導体層22は、例えば、限定されないが、水酸化アンモニウム(NHOH)、テトラメチルアンモニウムヒドロキシド(TMAH)、エチレンジアミンピロカテコール(EDP)、水酸化カリウム(KOH)溶液等のウェットエッチング液を用いて選択的に除去することができる。
図16A-16Dに示すように、第2の半導体層24のナノシートを形成した後、第2の半導体層24の各ナノシートの周囲にゲート誘電体層82を形成し、ゲート誘電体層82上にゲート電極層84を形成する。ゲート誘電体層82及びゲート電極層84は、ゲートスタックと呼ばれ得る。
ゲート誘電体層82は、CVD、ALD、又は任意の適切な方法で形成され得る。一実施形態では、各第2の半導体層24の周囲に均一な厚さを有するゲート誘電体層82の形成を確保するために、ALD等の高コンフォーマルな堆積プロセスを用いてゲート誘電体層82を形成する。ゲート誘電体層82の厚さは、約1nm~約6nmの範囲であることが好ましい。
ゲート誘電体層82は、酸化ケイ素、窒化ケイ素、又はhigh-k誘電体材料、他の適切な誘電体材料、及び/又はそれらの組み合わせなどの誘電体材料の1つ以上の層を含む。high-k誘電体材料としては、HfO、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、酸化ジルコニウム、酸化アルミニウム、酸化チタン、二酸化ハフニウムアルミナ(HfO-Al)合金、他の適切なhigh-k誘電体材料、及び/又はそれらの組み合わせが挙げられている。
いくつかの実施形態では、第2の半導体層24とゲート誘電体層82との間に界面層(図示せず)が形成される。いくつかの実施形態では、1つ以上の仕事関数調整層(図示せず)は、ゲート誘電体層82とゲート電極層84との間に挿入される。
ゲート電極層84は、ゲート誘電体層82上に、各第2の半導体層24(例えば、各チャネル)及びゲート誘電体層82をそれぞれ囲むように形成される。ゲート電極層84は、ポリシリコン、アルミニウム、銅、チタン、タンタル、タングステン、コバルト、モリブデン、窒化タンタル、ニッケルシリサイド、コバルトシリサイド、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金属合金、他の適切な材料、及び/又ははそれらの組み合わせなどの導電性材料の1つ以上の層を含む。
ゲート電極層84は、CVD、ALD、電気めっき、又は他の適切な方法で形成され得る。ゲート電極層84の形成後、ゲート電極材料の余分な堆積を除去し、ILD層78の上面を露出させるために、CMP処理等の平坦化処理を行う。
平坦化動作後、ゲート電極層84が凹んでおり、凹んだゲート電極層84上にキャップ絶縁層86が形成される。キャップ絶縁層86は、SiNなどの窒化ケイ素系材料の1つ以上の層を含む。キャップ絶縁層86は、絶縁材料の堆積後、平坦化動作を行うことにより形成され得る。いくつかの実施形態では、キャップ絶縁層86は省略されてもよい。
図17A-17Dにおいて、ILD層78には、前面ソース/ドレインコンタクト92、94が形成される。前面ソース/ドレインコンタクト92、94を形成する前に、コンタクトホールを形成する。適切なフォトリソグラフィー及びエッチング技術を使用して、ILD層78及びCESL76を含む様々な層を通るコンタクトホールを形成して、エピタキシャルソース/ドレイン特徴部66を露出させる。いくつかの実施形態では、前面ソース/ドレインコンタクト92、94用のコンタクトホールは、エピタキシャルソース/ドレイン特徴部66の一側又は両側において、隙間充填誘電体層70の上面を露出するように形成される。
コンタクトホールを形成した後、エピタキシャルソース/ドレイン特徴部66の露出した上面66t上に、シリサイド層90を選択的に形成する。シリサイド層90は、エピタキシャルソース/ドレイン特徴部66を、後に形成される前面ソース/ドレインコンタクト92、94に導電的に結合する。シリサイド層90は、基板10上に金属ソース層を堆積してエピタキシャルソース/ドレイン特徴部66を覆い、急速熱アニールプロセスを実行することにより形成され得る。いくつかの実施形態では、金属ソース層は、W、Co、Ni、Ti、Mo、Taから選択される金属層、又は窒化タングステン、窒化コバルト、窒化ニッケル、窒化チタン、窒化モリブデン、窒化タンタルから選択される金属窒化物層を含む。金属ソース層を形成した後、急速熱アニールプロセス、例えば、約80℃での急速アニールが実行される。急速熱アニールプロセスにおいて、エピタキシャルソース/ドレイン特徴部66上の金属ソース層の部分は、エピタキシャルソース/ドレイン特徴部66内のシリコンと反応して、シリサイド層90を形成する。その後、未反応の金属ソース層を除去する。いくつかの実施形態では、シリサイド層90は、WSi、CoSi、NiSi、TiSi、MoSi、TaSiのうちの1つ以上を含む。いくつかの実施形態では、シリサイド層90は、約4nm~約10nmの範囲、例えば5nm~6nmの厚さを有する。
シリサイド層90を形成した後、CVD、ALD、電解めっき、又はその他の適切な方法により、コンタクトホール内に前面ソース/ドレインコンタクト92、94を形成する。前面ソース/ドレインコンタクト92、94は、シリサイド層90及び隙間充填誘電体層70の露出面に接してもよい。前面ソース/ドレインコンタクト92、94は、Co、Ni、W、Ti、Ta、Cu、Al、TiN、TaNの1つ以上を含み得る。いくつかの実施形態では、前面ソース/ドレインコンタクト92、94を形成する前に、コンタクトホールの側壁にバリア層88を形成してもよい。コンタクトホールを充填するように堆積した後、CMP等の平坦化処理を行って、コンタクト材料の過剰な堆積を除去し、キャップ絶縁層86の上面を露出させる。
前面ソース/ドレインコンタクト92は、その下方に配置されたVDDやGNDなどのパワーレールに接続されるエピタキシャルソース/ドレイン特徴部66に関連する導電性特徴部を示す。いくつかの実施形態では、前面ソース/ドレインコンタクト92は、それよりも上層の配線層に形成された信号線に接続されていない。図17Bに示すように、この製造の段階では、前面ソース/ドレインコンタクト92(92a、92b)は、対応するエピタキシャルソース/ドレイン特徴部66の隣の隙間充填誘電体層70と接している。図17Bに示すように、隙間充填誘電体層70(70a、70b)は、エピタキシャルソース/ドレイン特徴部66の両側に位置する。図17Bの例では、前面ソース/ドレインコンタクト92aは、隙間充填誘電体層70aのみに接している。前面ソース/ドレインコンタクト92bは、隙間充填誘電体層70a、70bに接している。
前面ソース/ドレインコンタクト94は、その後に形成される配線層の信号線又は基板10上の他のデバイスに接続されるエピタキシャルソース/ドレイン特徴部66に関連する導電性特徴部を示す。
図18A-18Dにおいて、基板10上には、第2のILD層96が形成される。第2のILD層96には、エピタキシャルソース/ドレイン特徴部66及び/又はゲート電極層84と電気的に接続するための導電性特徴部が形成される。図18A-18Dの例では、第2のILD層96の導電性特徴部98が前面ソース/ドレインコンタクト94に接続される。いくつかの実施形態では、導電性特徴部98は、信号線であるか又は信号線に接続される。第2のILD層96には、ゲート電極層84を信号線又は他のデバイス等に接続するためのゲートコンタクト99が形成される。いくつかの実施形態では、前面ソース/ドレインコンタクト92は、第2のILD層96の導電性特徴部にさらに接続されていない。
図19Aに示すように、第2のILD層96に導電性特徴部を形成した後、金属線及びビア(図示せず)が形成された複数の誘電体層を含む配線構造100が、第2のILD層96上に形成され、基板10上のアクティブ半導体デバイスに電気的に接続される。配線構造100における金属配線及びビアは、銅又は銅合金で形成されてもよく、1つ以上のダマシンプロセスを用いて形成されてもよい。配線構造100は、複数組の層間誘電体(ILD)層及び金属間誘電体(IMD)層を含み得る。
いくつかの実施形態では、配線構造100は、パワーレールではなく信号線のみを接続するか又はパワーレールに接続するための金属線及びビアを含む。他の実施形態では、配線構造100は、パワーレールの一部を含む。パワーレールは、エピタキシャルソース/ドレイン特徴部66とVDD、VSS(GND)等の電源とを接続する導電線を示す。
配線構造100を形成した後、配線構造100の上面にキャリアウェハ110を仮接合する。キャリアウェハ110は、基板10上に形成された配線構造100及びデバイスを機械的に支持するためのものである。
図19Bにおいて、図20A-20D~図25A-25D、図26A-26G、図27A-27Dに示すように、基板10の裏面(例えば、裏面10b)が裏面処理のために上向きになるように、基板10とともにキャリアウェハ110を反転させる。図20B-27Bは、図20AのY1-Y1線に対応する断面図である。図20C-27Cは、図20AのY2-Y2線に対応する断面図である。図20D-27Dは、図20AのX-X線に対応する断面図である。
図20A-20Dにおいて、分離層36、半導体フィン30のウェル部32及び裏面コンタクト位置合わせ部60が露出するように裏面研削を行う。図21A-21Dにおいて、半導体フィン30の露出したウェル部32及びゲート誘電体層82に接するバッファ層14は、1つ以上のエッチングプロセスにより裏面から除去される。続いて、ウェル部32及びバッファ層14によって空けられたスペースに、ライナー層122及び誘電体層124を形成する。
半導体フィン30のウェル部32は、裏面コンタクト位置合わせ部60及び遷移エピタキシャル層64の材料よりも、半導体フィン30のウェル部32の材料に対してエッチング選択性を有するエッチングプロセスを用いて除去することができる。いくつかの実施形態では、半導体フィン30のウェル部32は、例えば、限定されないが、水酸化アンモニウム(NHOH)、テトラメチルアンモニウムヒドロキシド(TMAH)、エチレンジアミンピロカテコール(EDP)、水酸化カリウム(KOH)溶液等のウェットエッチング液を用いて選択的にエッチングすることができる。 犠牲ゲート誘電体層42に隣接するバッファ層14は、同じ又は異なるエッチングプロセスを使用して除去することができる。
半導体フィン30のウェル部32及びバッファ層14を除去した後、露出した表面にライナー層122を堆積する。いくつかの実施形態では、ライナー層122は、コンフォーマル堆積により堆積される。ライナー層122は、窒化ケイ素、酸窒化ケイ素(SiON)、炭窒化ケイ素(SiCN)、酸窒化ケイ素(SICON)、又はそれらの任意の組み合わせ等の窒化物材料、酸化ケイ素、酸炭化ケイ素(SiOC)、炭化ケイ素(SiC)等を含むことができる。ライナー層122は、例えば、ALD、CVD、PVD、PECVD、リモートプラズマCVD等により形成することができる。
誘電体層124は、ライナー層122上に堆積されて、半導体フィン30のウェル部32及びバッファ層14によって空けられた空洞を充填する。いくつかの実施形態では、誘電体層124は、酸化ケイ素、酸化ケイ素に変換可能な材料、ケイ酸ガラス(USG)、アルコキシシラン化合物(例えば、テトラエトキシシラン(TEOS)、テトラメトキシシラン(TMOS))、熱酸化物、又は任意の適切な誘電体材料、又はそれらの任意の組み合わせを含み、また、FCVD、スピンオンコーティングプロセス、又は任意の適切な堆積技術によって形成することができる。
誘電体層124を形成した後、CMP等の平坦化処理を行って、裏面コンタクト位置合わせ部60を露出させる。続いて、裏面コンタクト位置合わせ特徴部60を除去してコンタクトホール126を形成し、最終的に、その下にある金属形成用のエピタキシャルソース/ドレイン特徴部66を露出させる。
図22A-22Dにおいて、裏面コンタクト位置合わせ部60を除去して、遷移エピタキシャル層64を露出させる。裏面コンタクト位置合わせ部60の除去には、任意の適切なエッチング処理を用いることができる。裏面コンタクト位置合わせ部60上には、遷移エピタキシャル層64が形成され、遷移エピタキシャル層64上には、エピタキシャルソース/ドレイン特徴部66が形成されるので、裏面コンタクト位置合わせ部60は、対応するエピタキシャルソース/ドレイン特徴部66に位置合わせされる裏面コンタクト位置合わせ部60によって空けられたコンタクトホール126は、フォトリソグラフィ及びパターニングプロセスを用いることなく、エピタキシャルソース/ドレイン特徴部66に位置合わせされる。
図23A-23Dにおいて、コンタクトホール126を拡張するために、エッチングプロセスが分離層36上で実行される。いくつかの実施形態では、等方性エッチング処理を行って分離層36をトリミングする。このプロセスにおいて、誘電体層124もトリミングされる。いくつかの実施形態では、フルオロカーボンを含むエッチング液を用いた等方性プラズマエッチングを用いる。他の実施形態では、適切なウェットエッチングを用いることができる。いくつかの実施形態では、コンタクトホール126は、エピタキシャルソース/ドレイン特徴部66に隣接する隙間充填誘電体層70を露出させるように拡張される。
図24A-24Dにおいて、適切なエッチングプロセスにより、隙間充填誘電体層70が除去される。いくつかの実施形態では、隙間充填誘電体層70は、ウェットエッチング法を用いて除去される。例えば、NHOHとHとを含むウェットエッチング液を用いて、隙間充填誘電体層70を除去することができる。除去プロセスは、隙間充填誘電体層70を除去するための選択的な除去プロセスであるが、遷移エピタキシャル層64と誘電体層124などの露出した他の材料が除去されない。
図25A-25Dにおいて、適切なエッチングプロセスにより、遷移エピタキシャル層64を除去して、エピタキシャルソース/ドレイン特徴部66の上面を露出させる。いくつかの実施形態では、遷移エピタキシャル層64は、ドライエッチング法により除去される。例えば、遷移エピタキシャル層64は、CF、NF、SF等のフッ素系エッチング液を用いたドライエッチングプロセスにより除去することができる。いくつかの実施形態では、遷移エピタキシャル層64は、隙間充填誘電体層70の除去に先立って除去され得る。
図25A-25Dに示すように、遷移エピタキシャル層64及び隙間充填誘電体層70が除去された後、コンタクトホール126は、さらに、隙間68の間から前面ソース/ドレインコンタクト92まで延び、前面ソース/ドレインコンタクト92の一部と、エピタキシャルソース/ドレイン特徴部66の底面66b及び側面66sが露出する。
図26A-26Dにおいて、コンタクトホール126内に導電材料を充填することにより、裏面ソース/ドレインコンタクト128が形成される。いくつかの実施形態では、遷移エピタキシャル層64及び隙間充填誘電体層70の除去後に残った残留物を除去するために、裏面ソース/ドレインコンタクト128を堆積する前に、前洗浄プロセスが実行される。前洗浄プロセスは、プラズマプロセスを用いて行うことができる。いくつかの実施形態では、前面ソース/ドレインコンタクト92の露出面は、前洗浄時に1nm~3nmの範囲で凹んでもよい。
前洗浄後、コンタクトホール126内に導電材料を充填して裏面ソース/ドレインコンタクト128を形成する。導電性材料は、Co、W、Mo、Ru、Al又はこれらの化合物のうちの1つ以上であってもよい。いくつかの実施形態では、導電性材料は、CVD、ALD、電気めっき、又はその他の適切な方法により、コンタクトホール126に充填される。いくつかの実施形態では、コンタクトホール126を充填して裏面ソース/ドレインコンタクト128を形成した後、CMP等の平坦化処理を行ってもよい。
図26A-26Dに示すように、各裏面ソース/ドレインコンタクト128は、本体部128mと、本体部128mから延びる2つの側壁部128wとを有する。本体部128mは、エピタキシャルソース/ドレイン特徴部66の底面66bに接している。2つの側壁部128wは、本体部128mからエピタキシャルソース/ドレイン特徴部66の側面66sに沿って前面ソース/ドレインコンタクト92まで延びる。 いくつかの実施形態では、側壁部128wは、エピタキシャルソース/ドレイン特徴部66の底面66bから上面66tまで延びる。裏面ソース/ドレインコンタクト128の側壁部128wは、エピタキシャルソース/ドレイン特徴部66とハイブリッドフィン34との間の隙間68を充填する。裏面ソース/ドレインコンタクト128の断面は、本体部128mと側壁部128wとでトレンチ128tを形成するU字状である。エピタキシャルソース/ドレイン特徴部66は、トレンチ128tに囲まれる。
いくつかの実施形態では、本体部128mは、本体部128mの底面128bからエピタキシャルソース/ドレイン特徴部66の底面66bまで測定された高さH5を有する。高さH5は、約10nm~約30nmの範囲にあることが好ましい。いくつかの実施形態では、側壁部128wは、エピタキシャルソース/ドレイン特徴部66の底面66bから前面ソース/ドレインコンタクト92の表面まで測定された高さH6を有する。高さH6は、約10nm~約70nmの範囲にあることが好ましい。代替的に、高さH6は、エピタキシャルソース/ドレイン特徴部66の高さH4(図10Bに示す)と同様である。
裏面ソース/ドレインコンタクト128は、隙間充填誘電体層70a、70bを置き換え、前面ソース/ドレインコンタクト92に直接接触している。 各裏面ソース/ドレインコンタクト128は、対応する前面ソース/ドレインコンタクトの位置に応じて、エピタキシャルソース/ドレイン特徴部66の一側又は両側で前面ソース/ドレインコンタクト92に接触することができる。図26Bの例では、前面ソース/ドレインコンタクト92aは、エピタキシャルソース/ドレイン特徴部66の一側で、対応する裏面ソース/ドレインコンタクト128に接触しているが、前面ソース/ドレインコンタクト92bは、ピタキシャルソース/ドレイン特徴部66の両側で、対応する裏面ソース/ドレインコンタクト128に接触している。
前面ソース/ドレインコンタクト92は、シリサイド層90を介してエピタキシャルソース/ドレイン特徴部66と電気的に接続されるため、裏面ソース/ドレインコンタクト128と前面ソース/ドレインコンタクト92とが直接接触することにより、裏面ソース/ドレインコンタクト128とエピタキシャルソース/ドレイン特徴部66とが電気的に接続される。このため、裏面処理時に形成されるシリサイド層、例えば、裏面ソース/ドレインコンタクト128とエピタキシャルソース/ドレイン特徴部66との間のシリサイド層に依存することなく、裏面ソース/ドレインコンタクト128とエピタキシャルソース/ドレイン特徴部66との間の電気的接続が確立される。
図26B-26Dに示すように、エピタキシャルソース/ドレイン特徴部66の底面66b及び側面66sにシリサイド層を形成することなく、コンタクトホール126内に裏面ソース/ドレインコンタクト128の導電材料を堆積する。これにより、裏面ソース/ドレインコンタクト128は、エピタキシャルソース/ドレイン特徴部66の側面66sと底面66bなどの1つ以上の面において、エピタキシャルソース/ドレイン特徴部66に直接接触している。裏面ソース/ドレインコンタクト128の形成時にシリサイドプロセスを省略することで、本開示の実施形態において、配線構造100内の金属構造などの、基板10の前面に形成された金属構造がシリサイドプロセスの高温に曝されることを回避することができる。これにより、金属構造の完全性が維持される。前面処理時に形成されたシリサイド層90を、裏面ソース/ドレインコンタクトの導電経路の一部として利用することにより、本開示の実施形態によるデバイスは、ソース/ドレイン特徴部と、基板の裏面に形成されたソース/ドレインコンタクトとの間の高い界面抵抗を効果的に低減する。
図26E-26Gは、図26B-26Dに示した実施形態の変形例を示す。本実施形態では、シリサイドプロセスを省略する代わりに、低温シリサイドプロセスを行って、エピタキシャルソース/ドレイン特徴部66の露出面にシリサイド層を形成する。図26E-26Gに示すように、エピタキシャルソース/ドレイン特徴部66と裏面ソース/ドレインコンタクト128との間には、シリサイド層130が形成される。シリサイド層130は、基板上に、エピタキシャルソース/ドレイン特徴部66を覆うように金属ソース層を堆積した後、低温アニールを行ってエピタキシャルソース/ドレイン特徴部66上にシリサイド層130を形成することにより形成され得る。
いくつかの実施形態では、金属ソース層は、W、Co、Ni、Ti、Mo、Taから選択される金属層、又は窒化タングステン、窒化コバルト、窒化ニッケル、窒化チタン、窒化モリブデン、窒化タンタルから選択される金属窒化物層を含むか又は金属窒化物層であり得る。 低温アニールは、400℃未満の温度、例えば300-400℃程度の温度範囲で行うことができる。低温アニール時に、26E-26Gに示すように、エピタキシャルソース/ドレイン特徴部66上の金属ソース層の部分は、エピタキシャルソース/ドレイン特徴部66内のシリコンと反応して、シリサイド層130を形成する。 その後、未反応の金属ソース層を除去する。いくつかの実施形態では、シリサイド層130は、WSi、CoSi、NiSi、TiSi、MoSi、TaSiのうちの1つ以上を含む。いくつかの実施形態では、シリサイド層130の厚さは、0nm超え3nm未満の範囲内である。シリサイド層130は、シリサイド層90よりも薄い。いくつかの実施形態では、シリサイド層130は、シリサイド層90の0%~50%の厚さの範囲にある厚さを有する。
図26E-26Gに示すデバイスでは、裏面ソース/ドレインコンタクト128は、シリサイド層130と、前面ソース/ドレインコンタクト92及びシリサイド層90を含む電流経路との両方を介して、エピタキシャルソース/ドレイン特徴部66と電気的に接続される。シリサイド層130を介した追加の接続により、デバイスの性能を向上させる。本発明の実施形態は、低温シリサイドプロセスを行うことにより、基板10の前面に形成された金属構造体、例えば、配線構造100内の金属構造体が露出することを回避し、それにより界面抵抗の低減と金属構造の完全性の維持とを両立させる。
図27A-27Dにおいて、基板上にILD層132が形成される。ILD層132には、1つ以上の導電性特徴部134(1つのみ示す)が形成され得る。導電性特徴部134は、裏面ソース/ドレインコンタクト128に接している。いくつかの実施形態では、導電性特徴部134は、パワーレールの一部であるか又は電源に接続されるパワーレールに接続される。他の実施形態では、導電性特徴部134は、パワーレール以外の配線構造に接続されてもよい。
図28Aは、図20Aに示すY3-Y3に沿った図27Aの断面図である。図28Aに示すように、エピタキシャルソース/ドレイン特徴部66が裏面ソース/ドレインコンタクトに接続されていない場合には、エピタキシャルソース/ドレイン特徴部66とハイブリッドフィン34との間の位置合わせ凹部58内には、隙間充填誘電体層70が残存する。
図28Bは、断面図において2つの誘電体特徴部、例えば、ハイブリッドフィン34を含む図27Bの拡大断面図である。図28Bに示すように、裏面ソース/ドレインコンタクト128の側壁部128wは、エピタキシャルソース/ドレイン特徴部66の側面66sと、隣接する誘電体特徴部、例えば、ハイブリッドフィン34との間に位置する。
図28Cは、X方向に沿った裏面ソース/ドレインコンタクト128の長さL2を示す2つのゲートスタックを含む図27Dの拡大断面図である。長さL2は、約10nm~約50nmの範囲であることが好ましい。
本明細書に記載の様々な実施形態又は実施例は、最先端の技術に勝る複数の利点を提供する。いくつかの実施形態は、前面に形成されたシリサイド層を使用して裏面ソース/ドレインコンタクトを有する半導体デバイスを提供し、それにより、裏面ソース/ドレインコンタクトとソース/ドレイン特徴部との間の界面抵抗を低減する。いくつかの実施形態では、低温アニールを用いて裏面処理中にシリサイド層を形成することにより、界面抵抗をより低減すると同時に、金属構造の完全性を維持することができる。本開示の実施形態はさらに、裏面ソース/ドレインコンタクトを形成する際の自己位置合わせ特徴部を使用して、裏面コンタクトと前面トランジスタとの間の位置合わせを改善する。
すべての利点が必ずしも本明細書で論じられるわけではなく、すべての実施形態又は実施例に特定の利点が必要ではなく、他の実施形態又は実施例は異なる利点を提供し得ることが理解される。
本開示のいくつかの実施形態は、半導体デバイスを提供する。半導体デバイスは、上面及び底面を有するソース/ドレイン特徴部と、前記ソース/ドレイン特徴部の上面に接して形成された第1のシリサイド層と、前記第1のシリサイド層上に形成された第1の導電性特徴部と、前記ソース/ドレイン特徴部の底面よりも下方にある本体部と前記第1の導電性特徴部に接する、前記本体部から延びる第1の側壁部とを有する第2の導電性構造体と、を備える。
本開示のいくつかの実施形態は、半導体デバイスを提供する。半導体デバイスは、第1及び第2の誘電体特徴部と、前記第1及び第2の誘電体特徴部の間に形成された第1のエピタキシャルソース/ドレイン特徴部と、第1の部分と第2の部分とを有する導電性特徴部と、を備え、前記導電性特徴部の第1の部分は、前記第1の誘電体特徴部と前記第1のエピタキシャルソース/ドレイン特徴部との間に配置され、前記導電性特徴部の第2の部分は、前記第2の誘電体特徴部と前記第1のエピタキシャルソース/ドレイン特徴部との間に配置される。
本開示のいくつかの実施形態は、半導体デバイスの形成方法を提供する。この方法は、基板上の第1の誘電体特徴部と第2の誘電体特徴部との間にエピタキシャルソース/ドレイン特徴部を形成する工程であって、第1の隙間がエピタキシャルソース/ドレイン特徴部と第1の誘電体特徴部との間に形成され、第2の隙間がエピタキシャルソース/ドレイン特徴部と第2の誘電体特徴部との間に形成される;前記第1及び第2の隙間を隙間充填誘電体材料で充填する工程と、前記エピタキシャルソース/ドレイン特徴部と前記隙間充填誘電体材料上にある前記基板の前面にソース/ドレインコンタクトを形成する工程と、前記基板の裏面から前記隙間充填誘電体材料を除去する工程と、前記隙間充填誘電体材料を除去した後、前記第1及び第2の隙間を導電性材料で充填する工程と、を備える。
前述は、当業者が本開示の態様をよりよく理解できるように、いくつかの実施形態の特徴を概説する。当業者であれば、本明細書に導入された実施形態の同じ目的を実行し、及び/又は同じ利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として本開示を容易に使用できることを理解すべきである。当業者であれば、またそのような同等の構造が本開示の精神及び範囲から逸脱せず、本開示の精神及び範囲から逸脱することなく本明細書において様々な変更、置換、及び改変を行うことができることを理解すべきである。

Claims (20)

  1. 上面及び底面を有するソース/ドレイン特徴部と、
    前記ソース/ドレイン特徴部の上面に接して形成された第1のシリサイド層と、
    前記第1のシリサイド層上に形成された第1の導電性特徴部と、
    前記ソース/ドレイン特徴部の底面よりも下方にある本体部と前記第1の導電性特徴部に接する、前記本体部から延びる第1の側壁部とを有する第2の導電性構造体と、を備える半導体デバイス。
  2. 前記第2の導電性特徴部は、前記ソース/ドレイン特徴部の底面及び側面に接触する、請求項1に記載の半導体デバイス。
  3. 前記第2の導電性特徴部と前記ソース/ドレイン特徴部との間に形成された第2のシリサイド層をさらに備える、請求項1に記載の半導体デバイス。
  4. 前記第2の導電性特徴部は、前記本体部から延びる第2の側壁部を含み、前記ソース/ドレイン特徴部は、前記第2の導電性特徴部の第1及び第2の側壁部との間に配置された棒状のエピタキシャル特徴部である、請求項1に記載の半導体デバイス。
  5. 前記第2の導電性特徴部の前記第2の側壁部は、前記第1の導電性特徴部に接触する、請求項4に記載の半導体デバイス。
  6. 前記第2の導電性特徴部は、パワーレールに接続される、請求項1に記載の半導体デバイス。
  7. 半導体デバイスであって、
    第1の誘電体特徴部及び第2の誘電体特徴部と、
    前記第1及び第2の誘電体特徴部の間に形成された第1のエピタキシャルソース/ドレイン特徴部と、
    第1の部分と第2の部分とを有する導電性特徴部と、を備え、前記導電性特徴部の第1の部分は、前記第1の誘電体特徴部と前記第1のエピタキシャルソース/ドレイン特徴部との間に配置され、前記導電性特徴部の第2の部分は、前記第2の誘電体特徴部と前記第1のエピタキシャルソース/ドレイン特徴部との間に配置される半導体デバイス。
  8. 前記第1のエピタキシャルソース/ドレイン特徴部の上面上のシリサイド層と、
    前記シリサイド層上に配置され、前記導電性特徴部の前記第1の部分及び前記第2の部分の少なくとも一方に接触する前面ソース/ドレインコンタクトと、をさらに備える請求項7に記載の半導体デバイス。
  9. 前記導電性特徴部は、前記第1のエピタキシャルソース/ドレイン特徴部の底面に隣接して配置された第3の部分を含む、請求項8に記載の半導体デバイス。
  10. パワーレールに接続される前記導電性特徴部の前記第3の部分の底部の下に形成された前記パワーレールをさらに備える、請求項9に記載の半導体デバイス。
  11. 前記導電性特徴部は、前記第1のエピタキシャルソース/ドレイン特徴部に直接接触する、請求項7に記載の半導体デバイス。
  12. 前記第1及び前記第2の誘電体特徴部の間に形成された第2のエピタキシャルソース/ドレイン特徴部と、
    前記第1の誘電体特徴部と前記第2のエピタキシャルソース/ドレイン特徴部の間、及び、前記第2の誘電体特徴部と前記第2のエピタキシャルソース/ドレイン特徴部との間に配置された隙間充填誘電体材料と、をさらに備える、請求項7に記載の半導体デバイス。
  13. 前記第1及び第2のエピタキシャルソース/ドレイン特徴部の間に形成されたゲートスタックと、
    前記ゲートスタック内に形成された1つ以上のナノシートチャネルと、をさらに備える、請求項12に記載の半導体デバイス。
  14. 前記隙間充填誘電体材料は、金属酸化物を含む、請求項12に記載の半導体デバイス。
  15. 基板上の第1の誘電体特徴部と第2の誘電体特徴部との間にエピタキシャルソース/ドレイン特徴部を形成する工程であって、第1の隙間がエピタキシャルソース/ドレイン特徴部と第1の誘電体特徴部との間に形成され、第2の隙間がエピタキシャルソース/ドレイン特徴部と第2の誘電体特徴部との間に形成される工程と、
    前記第1及び第2の隙間を隙間充填誘電体材料で充填する工程と、
    前記エピタキシャルソース/ドレイン特徴部と前記隙間充填誘電体材料上にある前記基板の前面にソース/ドレインコンタクトを形成する工程と、
    前記基板の裏面から前記隙間充填誘電体材料を除去する工程と、
    前記隙間充填誘電体材料を除去した後、前記第1及び第2の隙間を導電性材料で充填する工程と、を備える半導体デバイスの形成方法。
  16. 前記基板の前面に位置合わせ特徴部を形成する工程と、
    前記位置合わせ特徴部上に、前記エピタキシャルソース/ドレイン特徴部を構成する遷移エピタキシャル層を形成する工程と、をさらに備える、請求項15に記載の方法。
  17. 前記基板の裏面から前記位置合わせ特徴部を除去してコンタクトホールを形成する工程と、
    前記コンタクトホールを拡張して前記誘電体材料を露出させる工程と、をさらに備える、請求項16に記載の方法。
  18. 前記エピタキシャルソース/ドレイン特徴部を形成する工程は、棒状の特徴部をエピタキシャル成長させる工程を含む、請求項15に記載の方法。
  19. 前記第1及び第2の間隙を導電性材料で充填する工程は、前記エピタキシャルソース/ドレイン特徴部上に前記導電性材料を直接形成する工程を含む、請求項15に記載の方法。
  20. 前記導電性材料を充填する前に、前記エピタキシャルソース/ドレイン特徴部上に金属ソース層を形成する工程と、
    前記金属ソース層を400℃未満の温度でアニールして、前記エピタキシャルソース/ドレイン特徴部上にシリサイド層を形成する工程と、をさらに備える、請求項15に記載の方法。

JP2021120302A 2020-07-22 2021-07-21 裏面パワーレールを備えた半導体デバイス及びその製造方法 Pending JP2022022172A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/936,233 2020-07-22
US16/936,233 US11195930B1 (en) 2020-07-22 2020-07-22 Semiconductor devices with backside power rail and methods of fabrication thereof

Publications (1)

Publication Number Publication Date
JP2022022172A true JP2022022172A (ja) 2022-02-03

Family

ID=77021118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021120302A Pending JP2022022172A (ja) 2020-07-22 2021-07-21 裏面パワーレールを備えた半導体デバイス及びその製造方法

Country Status (5)

Country Link
US (2) US11195930B1 (ja)
EP (1) EP3943443A1 (ja)
JP (1) JP2022022172A (ja)
CN (1) CN113394273A (ja)
TW (1) TW202205587A (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11195930B1 (en) * 2020-07-22 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11411100B2 (en) * 2020-09-29 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming backside power rails
US20230095191A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Transistors with reduced epitaxial source/drain span via etch-back for improved cell scaling
US20230299157A1 (en) * 2022-03-16 2023-09-21 Intel Corporation Integrated circuit structures with deep via structure
US20230317788A1 (en) * 2022-03-31 2023-10-05 Intel Corporation Integrated circuit structures with full-wrap contact structure
TW202407966A (zh) * 2022-04-29 2024-02-16 南韓商三星電子股份有限公司 包括背面電力軌的積體電路裝置及其形成方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9570550B1 (en) * 2016-01-05 2017-02-14 International Business Machines Corporation Stacked nanowire semiconductor device
US10192819B1 (en) * 2017-11-16 2019-01-29 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors
US11495672B2 (en) * 2018-06-29 2022-11-08 Intel Corporation Increased transistor source/drain contact area using sacrificial source/drain layer
US10516064B1 (en) * 2018-08-14 2019-12-24 International Business Machines Corporation Multiple width nanosheet devices
US11621354B2 (en) * 2018-09-05 2023-04-04 Intel Corporation Integrated circuit structures having partitioned source or drain contact structures
US11367796B2 (en) * 2018-09-18 2022-06-21 Intel Corporation Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
US11411090B2 (en) * 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
US10998238B2 (en) * 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US11101217B2 (en) * 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices
US11264274B2 (en) * 2019-09-27 2022-03-01 Tokyo Electron Limited Reverse contact and silicide process for three-dimensional logic devices
US11195930B1 (en) * 2020-07-22 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof

Also Published As

Publication number Publication date
EP3943443A1 (en) 2022-01-26
CN113394273A (zh) 2021-09-14
TW202205587A (zh) 2022-02-01
US20220093766A1 (en) 2022-03-24
US11942530B2 (en) 2024-03-26
US11195930B1 (en) 2021-12-07

Similar Documents

Publication Publication Date Title
US10943832B2 (en) Semiconductor device and manufacturing method thereof
JP2022022172A (ja) 裏面パワーレールを備えた半導体デバイス及びその製造方法
TWI740447B (zh) 具有標準單元的半導體元件及其製造方法
US20200044045A1 (en) Structure and Method for Gate-all-Around Device with Extended Channel
US11489063B2 (en) Method of manufacturing a source/drain feature in a multi-gate semiconductor structure
US20230282720A1 (en) High Speed Semiconductor Devices
US20220059652A1 (en) Multi-Gate Device and Fabrication Methods Thereof
KR20170080426A (ko) 반도체 장치 및 그 제조 방법
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
US20230335591A1 (en) Semiconductor device structure and methods of forming the same
US11626400B2 (en) Semiconductor device structure incorporating air gap
CN113658953A (zh) 半导体装置
TWI768851B (zh) 半導體元件與其形成方法
CN113571518A (zh) 半导体器件及其形成方法
US11616143B2 (en) Semiconductor devices with backside power rail and methods of fabrication thereof
TWI789779B (zh) 電晶體及形成源極/汲極區域的方法
US20240014283A1 (en) Semiconductor device with backside power rail
US20230343699A1 (en) Field effect transistor with source/drain via and method
US20240063093A1 (en) Semiconductor device and method of manufacturing the same
TW202205520A (zh) 半導體裝置
KR20230069803A (ko) 트랜지스터 아이솔레이션 영역 및 이의 형성 방법
KR20220164405A (ko) 반도체 게이트 및 이를 형성하는 방법
CN116631947A (zh) 半导体器件及其形成方法
KR20200121740A (ko) 반도체 디바이스 및 그 제조 방법
CN114823528A (zh) 半导体装置