JP2022021341A - ラッチアップ防止 - Google Patents

ラッチアップ防止 Download PDF

Info

Publication number
JP2022021341A
JP2022021341A JP2021119652A JP2021119652A JP2022021341A JP 2022021341 A JP2022021341 A JP 2022021341A JP 2021119652 A JP2021119652 A JP 2021119652A JP 2021119652 A JP2021119652 A JP 2021119652A JP 2022021341 A JP2022021341 A JP 2022021341A
Authority
JP
Japan
Prior art keywords
layer
feature
silicon
source
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021119652A
Other languages
English (en)
Inventor
仕承 陳
Shih-Cheng Chen
國誠 江
Guo Cheng Jiang
志昌 林
zhi-chang Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022021341A publication Critical patent/JP2022021341A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78612Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

Figure 2022021341000001
【課題】ランチアップを防止する半導体デバイス及び方法を提供する。
【解決手段】半導体デバイス200は、チャネル領域10及びチャネル領域に隣接するソース/ドレイン領域20を含む活性領域と、チャネル領域の上にあるチャネル部材のエピタキシャルスタック204と、エピタキシャルスタック204の上及び周囲にあるダミーゲートスタック210と、ソース/ドレイン領域の上にあるブロッキング層230と半導体酸化物フィーチャ2340からなる底部誘電体フィーチャと、底部誘電体フィーチャの上にあるソース/ドレインフィーチャ242と、底部誘電体フィーチャとソース/ドレイン領域との間に配置されたゲルマニウムフィーチャ2342と、を含む。
【選択図】図14

Description

半導体集積回路(IC)業界では、指数関数的成長が経験されている。IC材料と設計の技術的進歩により、各世代が前世代よりも小さく、複雑な回路を持つ世代のICが製造されている。ICの進化過程では、一般に、幾何学的サイズ(すなわち、製造プロセスで製造可能な最小の部品(又はライン)のサイズ)が減少するのに伴い、機能密度(すなわち、チップエリア当たりの相互接続されたデバイス数)は増加する。このスケールダウンプロセスは、一般に、製造効率の向上と関連コストの低減による利点がある。このようなスケールダウンも、ICの加工と製造の複雑さを増大させる。
例えば、集積回路(IC)技術が小さなテクノロジーノードに進歩するのに伴い、マルチゲートデバイスは、ゲートチャネル結合を増加させ、オフ状態電流を減少させ、短チャネル効果(SCE)を抑制することにより、ゲート制御を改善するために導入された。マルチゲートデバイスとは、一般に、チャネル領域の複数の側に配置されたゲート構造又はその部分を有するデバイスである。フィン状電界効果トランジスタ(FinFET)及びゲートオールアラウンド(GAA)トランジスタ(いずれも非平面トランジスタタと呼ばれる)は、高性能で低リークの用途のための人気が高く、有望な候補となったマルチゲートデバイスの一例である。FinFETは、複数の側面でゲートによって包まれた高位置チャネルがある(例えば、ゲートは、基板から伸びる半導体材料の「フィン」の上側と側壁を包む)。平面トランジスタに比べて、このような構成は、チャネルの制御を向上させ、SCEを大幅に抑制する(特に、サブスレッショルドリーク(すなわち、「オフ」状態でのFinFETのソースとドレインとの間の結合)を減少させることによる)。GAAトランジスタは、チャネル領域の周囲に部分的又は完全に延在して、チャネル領域の2つ以上の側へのアクセスを提供できるゲート構造を有する。GAAトランジスタのチャネル領域は、ナノワイヤ、ナノシート、他のナノ構造及び/又は他の適切な構造から形成され得る。いくつかの実装形態では、このようなチャネル領域は、垂直に積層された複数のナノ構造(水平に延在し、それにより水平に配向されたチャネルを提供する)を含む。このようなGAAトランジスタは、垂直積層型の水平GAA(VGAA)トランジスタと呼ばれる。
ラッチアップは、デバイス故障又はデバイス破壊につながる過剰なドレイン電流を特徴とする相補型金属半導体酸化物(CMOS)ICの故障メカニズムである。マルチゲートデバイスは、ラッチアップの影響を受けない。ラッチアップは、バルク基板中のウェルと活性ドーピング領域の配置による寄生PNP及びNPNバイポーラトランジスタに主に起因する。シリコンオンインシュレータ(SOI)基板に製造されたCMOSデバイスは、一般に、NウェルとPウェルとが埋め込まれたシリコン酸化物分離層の存在により実質的に分離されたため、ラッチアップに対して耐性がある。ガードリング及びタップセルなどのラッチアップ防止デバイスは、日常的に、回路設計に組み込まれる。タップセルは、標準セルの間に配置され、1つ以上の分離構造により標準セルから分離される。タップセル及び分離構造は、集積回路の全体的なサイズを増加させてよい。ICチップエリアが一定である場合、タップセル及び分離構造は、機能デバイスのリアルエステートを変位させ得る。タップセルの従来の構造は、その意図された目的に適するが、全ての態様で満足できるものではない。
本開示は、添付図面と共に読まれる場合に、以下の詳細な説明から最もよく理解される。業界の標準的な慣行に従って、さまざまな特徴は縮尺どおりに描かれておらず、説明のみを目的として使用されていることが強調される。実際、様々なフィーチャの寸法は、説明を明確にするために任意に増減できる。
本開示の1つ以上の態様に係る半導体デバイスを形成する方法のフローチャートを示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。 本開示の1つ以上の実施形態に係る図1の方法による製造プロセス中のワークピースの部分断面図を示す。
以下の開示は、提供された主題の異なる特徴を実施するための多くの異なる実施形態又は例を提供する。以下、本開示を簡略化するために、コンポーネントおよび配置の特定の例を説明する。もちろん、これらは、一例に過ぎず、これらに限定するものではない。例えば、以下の説明における第2の特徴の上方又は上の第1の特徴の形成は、第1と第2の特徴が直接接触して形成される実施形態を含んでもよく、また、第1と第2の特徴が直接接触しないように、追加の特徴が第1と第2の特徴の間に形成され得る実施形態を含んでもよい。また、本開示は、様々な例において符号及び/又は文字を繰り返してもよい。この繰り返しは、単純さと明快さを目的としており、それ自体では、説明した様々な実施形態及び/又は構成の間の関係を示すものではない。
さらに、図示されているように、ここで、ある要素又は構造と別の要素又は構造との関係を説明しやすくするために、「下方」、「下」、「下部」、「上方」、「上部」などのような空間的に相対的な用語を使用することができる。空間的に相対的な用語は、図に示されている方向に加えて、使用中又は動作中の装置の異なる方向を包含することを意図している。該装置は、他の方向に配向されてもよく(90度又は他の配向に回転されてもよい)、ここで使用される空間的に相対的な記述子は、同様にそれに応じて解釈され得る。さらに、数又は数の範囲が「約」、「近似」などで記載されている場合、この用語は、特に明記しない限り、記載された数の+/-10%以内の数を包含することを意図している。例えば、用語「約5nm」とは、4.5nm-5.5nmの寸法範囲を包含する。
回路設計では、標準セルは、設計レイアウト全体で一連の設計ルールに従って繰り返されるトランジスタのブロックである。標準セルは、異なる機能に用いられ得る。例えば、標準セルは、スタティックランダムアクセスメモリ(SRAM)セル又は論理演算のためのロジックセルであってよい。標準セルは、1つ以上のP型トランジスタと1つ以上のN型トランジスタとを含んみ得る。トランジスタは、フィンタイプ電界効果トランジスタ(FinFET)又はゲートオールアラウンド(GAA)トランジスタなどの平面トランジスタ又はマルチゲートトランジスタであってよい。バルク基板にトランジスタを製造するには、n型ドーパントがドーピングされたn型ウェルとp型ドーパントがドーピングされたp型ウェルとは、バルク基板に形成され、逆伝導性の活性領域は、それぞれのn型ウェルとp型ウェルとの上に形成される。したがって、P型トランジスタは、n型ウェル(nウェル)上に形成されたP型活性領域を含み、n型トランジスタは、P型ウェル(Pウェル)上に形成されたn型活性領域を含む。n型トランジスタがP型トランジスタに隣接して配置されると、寄生PNPバイポーラトランジスタは、P型活性領域と、P型活性領域の下にあるn型ウェルと、隣接するP型ウェル(時には、このP型ウェルは、基板全体に形成される)との間に形成され得る。同様に、寄生NPNバイポーラトランジスタは、n型活性領域と、n型活性領域の下にあるP型ウェル(このP型ウェルは、基板全体に形成される)と、隣接するn型ウェルとの間に形成され得る。寄生NPNとPNPバイポーラトランジスタとは、ラッチアップされて、ドレイン電源電圧Vddとグランドとを短絡するインバータアンプを形成し、これはデバイス破壊に至る可能性がある。
寄生バイポーラトランジスタによるドレインのグランドへの短絡を防止するために、タップセルを実装し得る。場合によっては、タップセルは、特定のnウェルをVdd(ドレイン電源電圧又は正電源電圧)に結合し、基板上のPウェルをVss(ソース電源電圧又は負電源電圧)に結合するために用いられ得る。いくつかの実装形態では、Vddは、標準セル又はICデバイスの最も正の電圧であり、Vssは、標準セル又はICデバイスの最も負の電圧である。Vssは、接地電圧であってよいか、又は接地されてよい。タップセルは、トランジスタの形状を有し得るが、機能ゲート構造を有していない。タップセルは、ソース/ドレイン領域を介してラッチアップ防止機能を実行する。標準セルのトランジスタとは異なり、タップセルの活性領域は、下地ウェルと異なる伝導性を有していない。例えば、タップセルがnウェル上に形成される場合、それは、p型ドーパントではなく、n型ドーパントがドーピングされた活性領域を有する。タップセルがPウェル上形成される場合、それは、n型ドーパントではなく、p型ドーパントがドーピングされた活性領域を有する。
従来の設計では、タップセルは、ラッチアップに対処し得、その実装は、スペースを占有し、ICチップの機能密度を低下させ得る。例えば、いくつかの従来の設計では、nウェルとPウェルとは、同じ方向に沿って延在し、それぞれ細長い形状を有し、交互に配置されている。これらの従来の設計では、フィン又はチャネル部材の垂直スタックなどの細長い活性領域は、nウェル又はPウェル上に形成され、異なるタイプのドーパントをドーピングし得る。タップセルと標準セルとは同じ活性領域に形成され得るが、ドーピングタイプが異なるため、それらが隣接して配置されていない。これは、タップセルの活性領域が標準セルの異なる伝導性タイプの活性領域に当接すると、標準セルの電気的特性のドリフトを引き起こし、性能を低下させるためのものである。タップセルを隣接する標準セルから分離するために、活性領域の中断が導入される。活性領域が酸化物含有分離フィーチャ(例えば、シャロートレンチアイソレーション又はSTI)に配置され、規定されるため、活性領域は、ODと呼ばれてよく、活性領域の中断は、ODブレークと呼ばれてよい。いくつかの実施形態では、ODブレークは、分離フィーチャの堆積及びソース/ドレインフィーチャの形成前に形成される。ODブレークが分離フィーチャの形成前に形成されたため、分離フィーチャの材料も、ODブレークに堆積する。ODブレークが活性領域に応力を加えるソース/ドレインフィーチャの形成前に形成されたため、ODブレークに隣接する活性領域は、異なる環境に露出し、異なる特性を有し得る。したがって、ODブレークも、標準セルの活性領域がタップセルの他の活性領域により破壊されるレイアウト依存効果(LDE)の形をもたらす。ODブレークによるLDEに対処するために、様々なサイズのダミーセルは、標準セルとODブレークとの間に導入されて、ODブレークと標準セルとの間の遷移として機能し得る。タップセルが実装される場合、タップセル、ODブレーク、ダミーセルは、いずれもICチップ内にスペースを占有したが、機能していないことが分かる。
本開示は、ソース/ドレインフィーチャの下に半導体酸化物フィーチャを形成して、擬似シリコンオンインシュレータ(SOI)構造を得る方法の実施形態を提供する。半導体酸化物フィーチャは、ソース/ドレインフィーチャと基板とを絶縁するため、スペースを占有するタップセル又はガードリングを実装せずにラッチアップを防止する。また、本開示の実施形態は、追加の利点を提供し得る。例えば、半導体酸化物フィーチャは、チャネル領域のベースにアンチパンチスルー(APT)注入領域を通るリークを防止し得る。言い換えると、本開示は、バルクデバイス及びSOIデバイスの利点を享受する構造を提供する。
図1は、半導体デバイスを形成する方法100を示す。以下、方法100の動作は、方法100が実行されるワークピース200の部分断面図である図2~図19を参照しながら説明する。方法100は一例に過ぎず、本開示を方法100に明示されたものに限定することを意図していない。方法100の前、間、後に追加のステップを設けることができ、記載されたいくつかのステップは、方法の追加の実施形態のために置き換え、削除、又は動かすことができる。なお、ここでは、説明を簡単にするために、全てのステップについて詳細に説明していない。製造プロセスが終了すると、ワークピース200を半導体デバイス200に製造する。その意味で、ワークピース200と半導体デバイス200とは、状況に応じて交換可能に用いられ得る。また、本明細書に記載の例示的な半導体デバイスは、追加のトランジスタ、バイポーラジャンクショントランジスタ、抵抗器、コンデンサ、インダクタ、ダイオード、ヒューズ、SRAM及び/又は他の論理回路などを含む他のタイプのデバイスなどの様々な他のデバイス及びフィーチャを含み得るが、本開示の発明概念を容易に理解するために簡略化された。いくつかの実施形態では、例示的なデバイスは、相互接続され得る複数の半導体デバイス(例えば、トランジスタ)を含む。なお、本開示に提供された方法100及び図面におけるプロセスステップは、一例に過ぎず、以下の特許請求の範囲に具体的に記載された内容に限定されるものを超えて限定することを意図していない。
図2~図19は、それぞれ図2AのようなAで終わる図と、図2BのようなBで終わる図とを含む。Aで終わる各図は、ワークピース200の第1のエリア1000の部分断面図を示し、Bで終わる各図は、ワークピース200の第2のエリア2000の部分断面図を示す。以下に説明するように、本開示の方法は、第1のエリア1000と第2のエリア2000とで異なる処理を実施することを可能にする。
図1および図2を参照して、方法100は、ワークピース200を受け取るブロック102を含む。ワークピース200は、その第1のエリア1000と第2のエリア2000との上の複数の交互の半導体層を含む。図2に示すように、ワークピース200は、基板202を含む。いくつかの実施形態では、基板202は、シリコン基板などの半導体基板であってよい。基板202は、半導体基板上に形成された導電層または絶縁層を含む様々な層を含み得る。基板202は、公知のように設計要求に応じて様々なドーピング構成を含み得る。例えば、異なるデバイスタイプ(例えば、n型マルチゲートトランジスタ及びP型マルチゲートトランジスタ)のために設計された領域に、異なるドーピングプロファイル(例えば、nウェル及びPウェル)は、基板202に形成され得る。適切なドーピングは、ドーパントのイオン注入及び/又は拡散プロセスを含んみ得る。基板202は、異なるデバイスタイプを提供する領域を挟む分離フィーチャを有し得る。また、基板202は、ゲルマニウム、炭化ケイ素(SiC)、シリコンゲルマニウム(SiGe)又はダイヤモンドなどの他の半導体を含んみ得る。あるいは、基板202は、化合物半導体および/または合金半導体を含み得る。また、基板202は、エピタキシャル層(エピ層)を任意選択に含み、性能向上のために歪まれ、シリコンオンインシュレータ(SOI)構造を含み、及び/又は他の適切な強化フィーチャを有し得る。方法100の一実施形態では、アンチパンチスルー(APT)注入を実行して、APT注入領域203を形成する。APT注入領域203は、チャネル領域の下に形成され、パンチスルー又は不要な拡散を防止するために機能する。
図2に示したいくつかの実施形態では、エピタキシャルスタック204は、第1のエリア1000と第2のエリア2000との基板202上に形成される。エピタキシャルスタック204は、第2の半導体組成物のエピタキシャル層208により挟まれた第1の半導体組成物のエピタキシャル層206を含む。第1のと第2の半導体組成物は、異なってよい。一実施形態では、エピタキシャル層206は、SiGeであり、エピタキシャル層208は、シリコン(Si)である。しかしながら、異なる酸化速度及び/又はエッチング選択性を有する第1の組成物及び第2の組成物を提供する実施形態を含む他の実施形態が可能である。いくつかの実施形態では、エピタキシャル層206は、SiGeを含み、エピタキシャル層208は、Siを含む。
なお、図2及び後続の図面に示すように、5層のエピタキシャル層206と4層のエピタキシャル層208とは、交互に配置され、これは説明のみを目的とし、特許請求の範囲に具体的に記載されたものを超えて限定することを意図していない。エピタキシャルスタック204に任意の数のエピタキシャル層を形成できることが理解され得る。層数は、デバイス200に必要なチャネルメンバーの数に依存する。いくつかの実施形態では、エピタキシャル層208の数は2~10の間にある。いくつかの実施形態では、全てのエピタキシャル層206は、実質的に均一な第1の厚さを有し、全てのエピタキシャル層208は、実質的に均一な第2の厚さを有し得る。第1の厚さと第2の厚さとは、同一であっても異なってもよい。以下により詳細に説明するように、エピタキシャル層208又はその一部は、後に形成されるマルチゲートデバイスのチャネル部材として機能し得、各エピタキシャル層208の厚さは、デバイス性能の考察に基づいて選択される。チャネル領域内のエピタキシャル層206は、最終的には除去され、後に形成されるマルチゲートデバイスの隣接するチャネル領域間の垂直距離(すなわち、間隔)を規定するように機能し得、各エピタキシャル層206の厚さは、デバイス性能の考察に基づいて選択される。したがって、エピタキシャル層206は、犠牲層206とも呼ばれ、エピタキシャル層208は、チャネル層208とも呼ばれてよい。
例として、エピタキシャルスタック204の層のエピタキシャル成長は、分子ビームエピタキシ(MBE)プロセス、有機金属化学気相堆積(MOCVD)プロセス及び/又は他の適切なエピタキシャル成長プロセスにより実行され得る。いくつかの実施形態では、エピタキシャル層208などのエピタキシャル成長した層は、基板202と同じ材料を含む。いくつかの実施形態では、エピタキシャル層206、208は、基板202と異なる材料を含む。上述したように、少なくともいくつかの例では、エピタキシャル層206は、エピタキシャル成長したシリコンゲルマニウム(SiGe)層を含み、エピタキシャル層208は、エピタキシャル成長したシリコン(Si)層を含む。代替的に、いくつかの実施形態では、エピタキシャル層206と208のいずれかは、ゲルマニウム、炭化ケイ素、ガリウム砒素、リン化ガリウム、リン化インジウム、インジウム砒素及び/又はアンチモン化インジウムなどの化合物半導体、SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及び/又はGaInAsPなどの合金半導体、又はそれらの組み合わせなどの他の材料を含んみ得る。論じたように、エピタキシャル層206、208の材料は、異なる酸化、エッチング選択性を提供することに基づいて選択され得る。いくつかの実施形態では、エピタキシャル層206、208は、実質的にドーパントを含まず(すなわち、約0cm-3~約1x1017cm-3の外因性ドーパント濃度を有する)、例えば、エピタキシャル成長プロセスでは意図的なドーピングが実行されない。
図1及び図2を参照すると、方法100は、第1のフィン構造205Aを第1のエリア1000に形成し、第2のフィン構造205Bを第2のエリア2000に形成するブロック104を含む。ブロック104では、基板202上のエピタキシャルスタック204をパターニングして、第1のエリア1000に第1のフィン構造205Aを形成し、第2のエリア2000に第2のフィン構造205Bを形成する。第1のフィン構造205Aと第2のフィン構造205Bとは、基板202から延在し、X方向に沿って縦方向に延在する。いくつかの実施形態では、パターニングによっても、第1のフィン構造205Aと第2のフィン構造205Bとのそれぞれが、基板202から形成された下部と、エピタキシャルスタック204から形成された上部とを含むように、基板202がエッチングされる。上部は、エピタキシャルスタック204の各エピタキシャル層を含む。第1のと第2のフィン構造205A、205Bは、ダブルパターニング又はマルチパターニングプロセスなどを含む適切なプロセスを用いて製造され得る。一般に、ダブルパターニング又はマルチパターニングプロセスは、フォトリソグラフィと自己整合プロセスを組み合わせて、例えば、単一の直接フォトリソグラフィプロセスを使用して得られるものよりも小さいピッチを有するパターンを作成することを可能にする。例えば、一実施形態では、犠牲層は、基板上に形成され、かつフォトリソグラフィプロセスを使用してパターニングされる。スペーサーは、自己整列プロセスを用いて、パターニングされた犠牲層に沿って形成される。次に、犠牲層を除去した後、残りのスペーサ又はマンドレルは、エピタキシャルスタック204をエッチングすることにより第1のフィン構造205Aと第2のフィン構造205Bとをパターニングするために用いられ得る。エッチングプロセスは、ドライエッチング、ウェットエッチング、反応性イオンエッチング(RIE)及び/又は他の適切なプロセスを含むことができる。
図2に明示していないが、第1のフィン構造205Aと第2のフィン構造205Bとを形成した後、分離フィーチャを隣接する第1のフィン構造205A間及び隣接する第2のフィン構造205Bの間に形成する。分離フィーチャは、シャロートレンチアイソレーション(STI)とも呼ばれてよい。例として、いくつかの実施形態では、ゲート誘電体層は、基板202上に最初に堆積し、フィン構造(例えば、第1のフィン構造205A及び第2のフィン構造205B)間のトレンチに誘電体材料が充填される。いくつかの実施形態では、誘電体層は、シリコン酸化物、窒化シリコン、酸窒化シリコン、フッ素ドープケイ酸塩ガラス(FSG)、Low-k誘電体、それらの組み合わせ及び/又は他の適切な材料を含み得る。様々な例では、誘電体層は、CVDプロセス、準大気圧CVD(SAVCD)プロセス、流動性CVDプロセス、ALDプロセス、物理気相成長(PVD)プロセス及び/又はその他の適切なプロセスにより堆積し得る。次に、堆積した誘電体材料を、例えば、化学機械研磨(CMP)プロセスにより薄くし、平坦化する。さらに、平坦化された誘電体層を、ドライエッチングプロセス、ウェットエッチングプロセス及び/又はそれらの組み合わせにより凹設され、STIフィーチャを形成する。第1のフィン構造205Aと第2のフィン構造205Bとは、STIフィーチャよりも高い。いくつかの実施形態では、誘電体層(及び後に形成されるSTIフィーチャ)は、例えば、1つ以上のライナー層を有する多層構造を含み得る。
引き続き図1及び図2を参照すると、方法100は、ダミーゲートスタック210を第1のエリア1000の第1のフィン構造205Aと第2のエリア2000の第2のフィン構造205Bのチャネル領域10上に形成するブロック106を含む。いくつかの実施形態では、ダミーゲートスタック210が機能ゲート構造のプレースホルダーとして機能し、後の動作で機能ゲート構造により除去し、置き換えられるゲート置き換えプロセス(又はゲートラストプロセス)は採用される。他のプロセス及び構成も可能である。いくつかの実施形態では、ダミーゲートスタック210は、基板202上に形成され、第1のフィン構造205Aと第2のフィン構造205Bとの上に少なくとも部分的に配置される。ダミーゲートスタック210の下にある第1のフィン構造205Aの部分は、第1のフィン構造205A又は第2のフィン構造205Bのチャネル領域10である。また、ダミーゲートスタック210は、チャネル領域10に隣接し、反対側にあるソース/ドレイン(S/D)領域20を規定し得る。
図示した実施形態では、ブロック106では、まず、フィン構造205(第1のフィン構造205Aと第2のフィン構造205Bとを含む)上にダミーゲート誘電体層212を形成する。いくつかの実施形態では、ダミーゲート誘電体層212は、シリコン酸化物、窒化シリコン、high-k誘電体材料及び/又は他の適切な材料を含み得る。様々な例では、ダミーゲート誘電体層212は、CVDプロセス、準大気圧CVD(SAVCD)プロセス、流動性CVDプロセス、ALDプロセス、PVDプロセス、又は他の適切なプロセスにより堆積し得る。例として、ダミーゲート誘電体層212は、後のプロセス(例えば、ダミーゲートスタックの形成)によりフィン構造205への損傷を防止するために用いられ得る。続いて、ブロック106では、ダミー電極層214とハードマスク216とを含むダミーゲートスタック210の、複数の層218、220を含み得る他の部分を形成する。いくつかの実施形態では、ダミーゲートスタック210は、層堆積、パターニング、エッチングなどの様々なプロセスステップ、ならびに他の適切な処理ステップにより形成される。例示的な層堆積プロセスは、低圧CVD、CVD、プラズマ強化CVD(PECVD)、PVD、ALD、熱酸化、電子ビーム蒸着、又は他の適切な堆積技術、又はそれらの組み合わせを含む。例えば、パターニングプロセスは、フォトレジストコーティング(例えば、スピンオンコーティング)、ソフトベーキング、マスク合わせ、露光、露光後ベーキング、フォトレジスト現像、リンス、ドライ(例えば、スピンドライ及び/又はハードベーキング)、他の適切なリソグラフィ技術及び/又はそれらの組み合わせをさらに含み得るリソグラフィプロセス(例えば、フォトリソグラフィ、電子ビームリソグラフィ)を含み得る。いくつかの実施形態では、エッチングプロセスは、ドライエッチング(例えば、RIEエッチング)、ウェットエッチング及び/又は他のエッチング方法を含み得る。いくつかの実施形態では、ダミー電極層214は、多結晶シリコン(ポリシリコン)を含み得る。ハードマスク216は、複数の層を含み得る。いくつかの実施形態では、ハードマスク216は、シリコン酸化物を含み得るパッド酸化物層などの酸化物層218と、窒化シリコン、酸窒化シリコン及び/又は炭化ケイ素を含み得るパッド窒化物層などの窒化物層220とを含む。
さらに図2を参照すると、いくつかの実施形態では、ダミーゲートスタック210を形成した後、ダミーゲート誘電体層212は、フィン構造205のソース/ドレイン領域20から除去される。すなわち、ダミー電極層214で覆われないダミーゲート誘電体層212は、除去される。除去プロセスは、ウェットエッチング、ドライエッチング及び/又はそれらの組み合わせを含み得る。エッチングプロセスは、フィン構造205と、ハードマスク216とダミー電極層214とを実質的にエッチングせずに、ダミーゲート誘電体層212を選択的にエッチングするために選択される。
引き続き図1及び図2を参照すると、方法100は、ゲートスペーサ層222をダミーゲートスタック210上に形成するブロック108を含む。いくつかの実施形態では、ゲートスペーサ層222を形成するためのスペーサ材料は、ダミーゲートスタック210とフィン構造205との上面と側壁を含むワークピース200上にコンフォーマルに堆積して、スペーサ材料層を形成する。「コンフォーマル」という用語は、様々な領域にわたって実質的に均一な厚さを有する層の説明を容易にするために用いられ得る。ゲートスペーサ層222は、単層組成を有し得るか又は複数の層を含み得る。図2に示したいくつかの実施形態では、ゲートスペーサ層222は、第1のゲートスペーサ層222-1と、第2のゲートスペーサ層222-2と、第3のゲートスペーサ層222-3とを含む。これらの実施形態では、第1のゲートスペーサ層222-1と、第2のゲートスペーサ層222-2と、第3のゲートスペーサ層222-3とは、エッチング選択性を導入するために異なる組成を有し得る。第1のゲートスペーサ層222-1と、第2のゲートスペーサ層222-2と、第3のゲートスペーサ層222-3とは、窒化シリコン、ハフニウムシリサイド、酸窒化アルミニウム、酸化ハフニウム、酸化ランタン、酸化アルミニウム、窒化ジルコニウム、炭化ケイ素、酸化亜鉛、シリコンオキシカーボナイトライド、シリコン、酸化イットリウム、炭窒化タンタル、ジルコニウムシリサイド、シリコン炭窒化物、酸化ジルコニウムアルミニウム、酸化チタン、酸化タンタル、又は酸化ジルコニウム、シリコン酸炭化物、シリコン酸化物を含み得る。スペーサ材料層は、CVDプロセス、準大気圧CVD(SACVD)プロセス、流動性CVDプロセス、ALDプロセス、PVDプロセス又は他の適切なプロセスなどのプロセスを用いて、ダミーゲートスタック210とフィン構造205との上に堆積し得る。
図1及び図3を参照すると、方法100は、ソース/ドレイントレンチ228を形成するブロック110を含む。明示されていないが、ブロック110では、フォトリソグラフィプロセス及び少なくとも1つのハードマスクは、動作を実行するために用いられ得る。いくつかの実施形態では、ダミーゲートスタック210とゲートスペーサ層222とで覆われないフィン構造205の部分は、ドライエッチング又は適切なエッチングプロセスによりエッチングされて、ソース/ドレイントレンチ228を形成する。例えば、ドライエッチングプロセスは、酸素含有ガス、フッ素含有ガス(例えば、CF、SF、CH、CHF及び/又はC)、塩素含有ガス(例えば、Cl、CHCl、CCl及び/又はBCl)、臭素含有ガス(例えば、HBr及び/又はCHBR)、ヨウ素含有ガス、他の適切なガス及び/又はプラズマ及び/又はそれらの組み合わせを実施し得る。図3に示したいくつかの実施形態では、フィン構造205の上部は凹設され、ソース/ドレイントレンチ228内の犠牲層206とチャネル層208とを露出させる。いくつかの実装形態では、フィン構造205の下部の少なくとも一部も同様に凹設される。すなわち、ソース/ドレイントレンチ228は、最底部の犠牲層206の下方であって、APT注入領域203内に延在し得る。
図1及び図4を参照すると、方法100は、内部スペーサフィーチャ224を形成するブロック112を含む。ブロック112での動作は、内部スペーサ凹部の形成と、内部スペーサ層の堆積と、堆積した内部スペーサ層の引き戻しとを含む。図4を参照すると、犠牲層206とチャネル層208とがソース/ドレイントレンチ228内に露出した後、露出したチャネル層208が実質的にエッチングされない場合、犠牲層206が選択的で部分的に凹設されて、隣接するチャネル層208間に配置された内部スペーサ凹部(図4では内部スペーサフィーチャ224で充填される)を形成する。チャネル層208が本質的にSiからなり、犠牲層206が本質的にSiGeからなる実施形態では、犠牲層206の選択的な凹設は、SiGe酸化プロセスとそれに続くSiGe酸化物除去プロセスを含み得る。これらの実施形態では、SiGe酸化プロセスは、オゾンを用いることを含み得る。いくつかの実施形態では、選択的な凹設は、選択的な等方性エッチングプロセス(例えば、選択的なドライエッチング又は選択的なウェットエッチングプロセス)であってよく、犠牲層206の凹設程度は、エッチングプロセスの持続時間により制御される。いくつかの実施形態では、選択的なドライエッチングプロセスは、フッ素ガス又はハイドロフルオロカーボンなどの1つ以上のフッ素系エッチング液を用いることを含み得る。図4に示すように、内部スペーサ凹部は、ソース/ドレイントレンチ228からチャネル領域10内へX方向に沿って延在する。いくつかの実施形態では、選択的なウェットエッチングプロセスは、フッ化水素(HF)又はNHOHエッチング液を含み得る。
ブロック112では、内部スペーサ凹部が形成された後、1つ以上の内部スペーサ層は、ワークピース200の第1のエリア1000と第2のエリア2000との上に堆積し得る。図4に示したいくつかの実施形態では、ブロック112では、第1の内部スペーサ層224-1と第2の内部スペーサ層224-2という2つの内部スペーサ層は、堆積する。第1の内部スペーサ層224-1と第2の内部スペーサ層224-2とは、窒化シリコン、ハフニウムシリサイド、酸窒化アルミニウム、酸化ハフニウム、酸化ランタン、酸化アルミニウム、窒化ジルコニウム、炭化ケイ素、酸化亜鉛、シリコンオキシカーボナイトライド、シリコン、酸化イットリウム、炭窒化タンタル、ジルコニウムシリサイド、シリコン炭窒化物、酸化ジルコニウムアルミニウム、酸化チタン、酸化タンタル、又は酸化ジルコニウム、シリコン酸炭化物、シリコン酸化物から選択される材料で形成され得る。一実施形態では、第1の内部スペーサ層224-1は、炭素に富むシリコン炭窒化物で形成され、第2の内部スペーサ層224-2は、シリコン酸化物で形成される。第1の内部スペーサ層224-1と第2の内部スペーサ層224-2とは、CVD、PECVD、LPCVD、ALD又は他の適切な方法により堆積し得る。第1の内部スペーサ層224-1と第2の内部スペーサ層224-2とのそれぞれは、約1nm~約5nmの厚さで形成され得る。
その後、1つ以上の内部スペーサ層は引き戻されて(すなわち、エッチバックされ)、内部スペーサ凹部内に内部スペーサフィーチャ224を形成し得る。いくつかの実施形態では、第2の内部スペーサ層224-2は、ハードマスク216の上面と、ゲートスペーサ層222の上面と、ソース/ドレイントレンチ228内に露出した基板202の部分と、ゲートスペーサ層222上に配置された第1の内部スペーサ層224-1から完全に除去されるまで、等方的で選択的にエッチバックされる。第1の内部スペーサ層224-1の組成は、第1の内部スペーサ層224-1のエッチングレートを遅くしつつ、第2の内部スペーサ層224-2を選択的にエッチングし得るように、第2の内部スペーサ層224-2の組成と異なる。いくつかの実装形態では、ブロック112で実行された等方性エッチングは、フッ化水素、フッ素ガス、水素、アンモニア、三フッ化窒素又は他のフッ素系エッチング液を用いることを含み得る。図4に示すように、内部スペーサ凹部内の引き戻された第2の内部スペーサ層224-2と第1の内部スペーサ層224-1とは、内部スペーサフィーチャ224を構成する。内部スペーサフィーチャ224は、隣接する2つのチャネル層208を部分的に切り離すか又は最底部のチャネル層208をAPT注入領域203から部分的に切り離す。
図1及び図4を参照すると、方法100は、第1のブロッキング層230をワークピース200上に堆積させるブロック114を含む。いくつかの実施形態では、第1のブロッキング層230は、半導体材料のエピタキシャル成長を所定のエリアに制限するために用いられ得るように、エピタキシャル成長に適応できない誘電体材料で形成される。第1のブロッキング層230は、窒化シリコン、ハフニウムシリサイド、酸窒化アルミニウム、酸化ハフニウム、酸化ランタン、酸化アルミニウム、窒化ジルコニウム、炭化ケイ素、酸化亜鉛、シリコンオキシカーボナイトライド、シリコン、酸化イットリウム、炭窒化タンタル、ジルコニウムシリサイド、シリコン炭窒化物、酸化ジルコニウムアルミニウム、酸化チタン、酸化タンタル、又は酸化ジルコニウム、シリコン酸炭化物、シリコン酸化物から選択される材料で形成され得る。一実施形態では、第1のブロッキング層230は、窒化シリコンを含み得る。図4に示すように、第1のブロッキング層230は、第1の内部スペーサ層224-1(ハードマスク216と、ゲートスペーサ層222と、ソース/ドレイントレンチ228内に露出した基板202の部分との上に堆積する)と内部スペーサフィーチャ224との上に堆積し得る。場合によっては、第1のブロッキング層230は、ALDを用いて堆積し得る。
図1及び図5を参照すると、方法100は、第1のブロッキング層230を、その上部対向面上の部分が除去されるように異方的に引き戻すブロック116を含む。図5に示すように、ブロック116での動作の終わりに、ソース/ドレイントレンチ228の底部にある基板202の部分は、チャネル層208が第1のブロッキング層230で覆われたまま、露出して、成長面232を形成する。いくつかの実装形態では、第1のブロッキング層230のエッチバックは、ドライエッチング又は適切なエッチングプロセスにより実行され得る。
図1及び図6を参照すると、方法100は、第1のエピタキシャルフィーチャ234をソース/ドレイン領域20上のソース/ドレイントレンチ228内にエピタキシャルに形成するブロック118を含む。いくつかの実施形態では、第1のエピタキシャルフィーチャ234は、図5に示した成長面232から選択的でエピタキシャルに成長し得る。これらの実装形態では、第1のエピタキシャルフィーチャ234は、半導体材料で形成され、シリコンとゲルマニウムとを含み得る。一実施形態では、第1のエピタキシャルフィーチャ234は、シリコンとゲルマニウムとを含む合金半導体材料を含み、シリコンが55 at%(原子百分率)~80 at%(原子百分率)にあり、ゲルマニウムが20 at%(原子百分率)~45 at%(原子百分率)にある。第1のエピタキシャルフィーチャ234は、図5に示した成長面232などの露出した半導体表面から成長し得、第1のブロッキング層230の表面などの誘電体材料の表面から成長し得ないことが確認された。したがって、第1のブロッキング層230の配置は、第1のエピタキシャルフィーチャ234が成長面232からボトムアップ式で成長することを確実にする。図6に示すように、第1のエピタキシャルフィーチャ234は、基板202の上面から測定された第1の深さD1まで形成され得る。場合によっては、第1の深さD1により、第1のエピタキシャルフィーチャ234の上面は、最底部のチャネル部材208の上面よりも基板202から遠く離れる。
図1、図7及び図8を参照すると、方法100は、第2のエリア2000の第1のエピタキシャルフィーチャ234を、第1の深さD1よりも小さい第2の深さD2に選択的に引き戻すブロック120を任意選択に含み得る。図7に示すように、第2のエリア2000の第1のエピタキシャルフィーチャ234を選択的に引き戻すために、第1の底部反射防止膜(BARC)層236は、第1のエリア1000をマスクするためにを用いられ得る。第1のエリア1000上にBARCマスクを形成するために、BARC材料層は、ワークピース200上に全面的に堆積し、フォトリソグラフィ技術は、BARC材料層を第1のエリア1000上の第1のBARC層236にパターニングするために用いられ得る。例示的なプロセスでは、フォトレジスト層は、スピンオンコーティングを用いてBARC材料層上に堆積する。次に、フォトレジスト層は、プリベークされ、放射線源に露出し、ポストベークされ、現像液で現像されて、パターニングされたフォトレジスト層を形成する。全面的なBARC材料層は、パターニングされたフォトレジスト層をエッチングマスクとして用いてエッチングされて、第1のBARC層236を形成する。第1のBARC層236が第1のエリア1000をマスキングしたため、第2のエリア2000の第1のエピタキシャルフィーチャ234は、次に、エッチングされて、第2のエピタキシャルフィーチャ235を得ることができる。第2のエピタキシャルフィーチャ235は、第1の厚さD1よりも小さい第2の厚さD2を有する。図7に示すように、第2の厚さD2により、第2のエピタキシャルフィーチャ235の上面が最底部のチャネル部材208の底面よりも低い。現在、図8を参照する。図8に示すように、第1のエピタキシャルフィーチャ234の引き戻し後、第1のBARC層236は、第1のエリア1000から除去され得る。
図1及び図9を参照すると、方法100は、第1のエピタキシャルフィーチャ234を酸化するブロック122を含む。いくつかの実施形態では、ブロック122では、ワークピース200は、アニールプロセスを実行して、第1のエピタキシャルフィーチャ234と第2のエピタキシャルフィーチャ235とをそれぞれ第1の半導体酸化物フィーチャ2340と第2の半導体酸化物フィーチャ2350に変え得る。第1のエピタキシャルフィーチャ234と第2のエピタキシャルフィーチャ235とがシリコンゲルマニウム(SiGe)で形成される実施形態では、第1の半導体酸化物フィーチャ2340と第2の半導体酸化物フィーチャ2350とは、シリコンゲルマニウム酸化物で形成される。いくつかの実装形態では、アニールプロセスは、急速熱アニール(RTA)プロセス、レーザスパイクアニールプロセス、フラッシュアニールプロセス、炉アニールプロセスを含み得る。場合によっては、アニールプロセスは、約300℃~約650℃のアニール温度を含み得る。いくつかの実施形態では、ブロック122でのアニールプロセスは、オゾン、水、酸素などの酸化剤を用いることを含み得る。第1のエリア1000では、第1のブロッキング層230の残りの部分は、第1の半導体酸化物フィーチャ2340と最底部のチャネル層208との間、及び第1の半導体酸化物フィーチャ2340とAPT注入領域203との間に配置される。同様に、第2のエリア2000では、第1のブロッキング層230の残りの部分は、第2の半導体酸化物フィーチャ2350と最底部のチャネル層208との間、及び第2の半導体酸化物フィーチャ2350とAPT注入領域203との間に配置される。
第1のエピタキシャルフィーチャ234と第2のエピタキシャルフィーチャ235との組成は、基板202とチャネル層208とに損傷を与えずにそれらが十分に酸化されるように、選択される。上述したように、第1のエピタキシャルフィーチャ234と第2のエピタキシャルフィーチャ235とは、シリコンとゲルマニウムとを含む合金半導体材料を含み得、シリコンが55 at%(原子百分率)~80 at%(原子百分率)にあり、ゲルマニウムが20 at%(原子百分率)~45 at%(原子百分率)にある。シリコン原子濃度が80%を超える場合、シリコンとゲルマニウムの酸化は、ゲルマニウムの存在により十分に触媒されてよく、酸化速度は、第1のエピタキシャルフィーチャ234と第2のエピタキシャルフィーチャ235とを効果的に酸化するのに十分に高くなくてよいことが確認された。しかし、シリコン原子濃度が55%よりも小さい場合、第1のエピタキシャルフィーチャ234と第2のエピタキシャルフィーチャ235とに非常に多くの欠陥が存在する可能性がある。
引き続き図9を参照する。第1のエピタキシャルフィーチャ234と第2のエピタキシャルフィーチャ235とがシリコンゲルマニウム(SiGe)で形成される実施形態では、ゲルマニウム(Ge)は、基板202との界面に蓄積し得る。ゲルマニウム(Ge)の蓄積は、第1の半導体酸化物フィーチャ2340の底面と基板202との間、及び第2の半導体酸化物フィーチャ2350の底面と基板202との間にゲルマニウムフィーチャ2342を形成し得る。
図1及び図10を参照すると、方法100は、第2のブロッキング層238をワークピース上に堆積させるブロック124を含む。場合によっては、第1のブロッキング層230は、ブロック124での動作に至るエッチング及びアニールプロセスのため損傷を受ける可能性がある。下地構造を良く保護するために、第1のブロッキング層230は、適切なエッチングプロセスを用いて選択的に除去され得、第2のブロッキング層238は、新たに堆積する。すなわち、ブロック124では、第1のブロッキング層230は、第2のブロッキング層238に置き換えられる。第2のブロッキング層238の組成と形成が、第1のブロッキング層230と実質的に同様であるため、第2のブロッキング層238の詳細な説明を省略する。第2のブロッキング層238は、第1のエリア1000の第1の半導体酸化物フィーチャ2340と、第2のエリア2000の第2の半導体酸化物フィーチャ2350と、ゲートスペーサ層222と、ハードマスク216と、チャネル層208と、内部スペーサフィーチャ224との上に堆積する。図10に示した実施形態では、第2のブロッキング層238は、第1のエリア1000の第1の半導体酸化物フィーチャ2340と、第2のエリア2000の第2の半導体酸化物フィーチャ2350と、ゲートスペーサ層222と、ハードマスク216と、チャネル層208と、内部スペーサフィーチャ224とに直接的に堆積する。
図1、図11及び図12を参照すると、方法100は、第1のエリア1000の第2のブロッキング層238を選択的に除去するブロック126を含む。図11に示すように、第1のエリア1000の第2のブロッキング層238を選択的に除去するために、第2の底部反射防止膜(BARC)層240は、第2のエリア2000をマスクするために用いられ得る。第2のエリア2000上にBARCマスクを形成するために、BARC材料層は、ワークピース200上に全面的に堆積し、フォトリソグラフィ技術は、BARC材料層を第2のエリア2000上の第2のBARC層240にパターニングするために用いられ得る。例示的なプロセスでは、フォトレジスト層は、スピンオンコーティングを用いてBARC材料層上に堆積する。次に、フォトレジスト層は、プリベークされ、放射線源に露出し、ポストベークされ、現像液で現像されて、パターニングされたフォトレジスト層を形成する。全面的なBARC材料層は、パターニングされたフォトレジスト層をエッチングマスクとして用いてエッチングされて、第2のBARC層240を形成する。第2のBARC層240が第2のエリア2000をマスキングしたため、第1のエリア1000の第2のブロッキング層238は、選択的に除去され、チャネル層208の側壁(側面)を露出させ得る。現在、図12を参照する。第1のエリア1000の第2のブロッキング層238が除去された後、第2のBARC層240は、第2のエリア2000から除去され得る。
図1及び図13を参照すると、方法100は、第1のソース/ドレインフィーチャ242を第1のエリア1000に選択的に堆積させるブロック128を含む。ブロック128に適したエピタキシャルプロセスは、CVD堆積技術(例えば、気相エピタキシ(VPE)及び/又は超高真空CVD(UHV-CVD))、分子ビームエピタキシ(MBE)及び/又は他の適切なプロセスを含む。エピタキシャル成長プロセスは、チャネル層208の組成と相互作用するガス及び/又は液体の前駆体を用い得る。図13A及び図13Bに示した実施形態では、第1のソース/ドレインフィーチャ242は、チャネル層208から成長し、第2のエリア2000の第2のブロッキング層238上に成長しない。第1のソース/ドレインフィーチャ242は、第1のエリア1000の第1の半導体酸化物フィーチャ2340と第1のブロッキング層230とにより基板202から離間される。また、第1のソース/ドレインフィーチャ242は、さらに、内部スペーサフィーチャ224により犠牲層206から離間される。設計の必要性に応じて、第1のソース/ドレインフィーチャ242は、n型又はp型であってよい。第1のソース/ドレインフィーチャ242はn型である場合、Si、GaAs、GaAsP、SiP又は他の適切な材料を含み得る。第1のソース/ドレインフィーチャ242はp型である場合、Si、Ge、AlGaAs、SiGe、ホウ素ドープSiGe(SiGeB)又は他の適切な材料を含み得る。第1のソース/ドレインフィーチャ242は、ドーパントを含むドーピング種を導入することにより、エピタキシャルプロセス中にその場でドーピングされ得る。第1のソース/ドレインフィーチャ242がその場でドーピングされない場合、注入プロセス(すなわち、接合注入プロセス)は、第1のソース/ドレインフィーチャ242をドーピングするために実行される。一実施形態では、第1のソース/ドレインフィーチャ242は、p型であり、ホウ素ドープシリコンゲルマニウム(SiGeB)を含む。
図1及び図14を参照すると、方法100は、第3のブロッキング層244をワークピース200上に堆積させるブロック130を含む。場合によっては、第2のブロッキング層238は、ブロック130での動作に至るプロセスのため損傷を受ける可能性がある。下地構造を良く保護するために、第2のブロッキング層238は、適切なエッチングプロセスを用いて選択的に除去され得、第3のブロッキング層244は、新たに堆積する。すなわち、ブロック130では、第2のブロッキング層238は、第3のブロッキング層244に置き換えられる。第3のブロッキング層244の組成と形成が、第1のブロッキング層230と実質的に同様であるため、第3のブロッキング層244の詳細な説明を省略する。図14Aに示すように、第1のエリア1000では、第3のブロッキング層244は、第1のソース/ドレインフィーチャ242と、ゲートスペーサ層222と、ハードマスク216とに堆積する。図14Bに示すように、第2のエリア2000では、第3のブロッキング層244は、第2の半導体酸化物フィーチャ2350と、ゲートスペーサ層222と、ハードマスク216 222と、ハードマスク216と、チャネル層208と、内部スペーサ層フィーチャ224とに堆積する。
図1、図15及び図16を参照すると、方法100は、第2のエリア2000の第3のブロッキング層244を選択的に除去するブロック132を含む。図15に示すように、第2のエリア2000の第3のブロッキング層244を選択的に除去するために、第3の底部反射防止膜(BARC)層246は、第1のエリア1000をマスクするために用いられ得る。第1のエリア1000上にBARCマスクを形成するために、BARC材料層は、ワークピース200上に全面的に堆積し、フォトリソグラフィ技術は、BARC材料層を第1のエリア1000上の第3のBARC層246にパターニングするために用いられ得る。例示的なプロセスでは、フォトレジスト層は、スピンオンコーティングを用いてBARC材料層上に堆積する。次に、フォトレジスト層は、プリベークされ、放射線源に露出し、ポストベークされ、現像液で現像されて、パターニングされたフォトレジスト層を形成する。全面的なBARC材料層は、パターニングされたフォトレジスト層をエッチングマスクとして用いてエッチングされて、第3のBARC層246を形成する。第3のBARC層246が第1のエリア1000をマスキングしたため、第2のエリア2000の第3のブロッキング層244は、選択的に除去され、チャネル層208の側壁(側面)を露出させ得る。現在、図16を参照する。第2のエリア2000の第3のブロッキング層244が除去された後、第3のBARC層246は、第1のエリア1000から除去され得る。
図1及び図17を参照すると、方法100は、第2のソース/ドレインフィーチャ248を第2のエリア2000に選択的に堆積させるブロック134を含む。ブロック134に適したエピタキシャルプロセスは、CVD堆積技術(例えば、気相エピタキシ(VPE)及び/又は超高真空CVD(UHV-CVD))、分子ビームエピタキシ(MBE)及び/又は他の適切なプロセスを含む。エピタキシャル成長プロセスは、チャネル層208の組成と相互作用するガス及び/又は液体の前駆体を用い得る。図17A及び図17Bに示した実施形態では、第2のエリア2000の第2のソース/ドレインフィーチャ248は、チャネル層208と直接的に接触する。第2のソース/ドレインフィーチャ248は、第2のエリア2000の第2の半導体酸化物フィーチャ2350と第1のブロッキング層230とにより基板202から離間される。第2のソース/ドレインフィーチャ248は、第2のエリア2000のチャネル層208から成長し、第1のエリア1000の第3のブロッキング層244上に成長しない。また、第2のソース/ドレインフィーチャ248は、さらに、内部スペーサフィーチャ224により犠牲層206から離間される。設計の必要性に応じて、第2のソース/ドレインフィーチャ248は、n型又はp型であってよい。第2のソース/ドレインフィーチャ248はn型である場合、Si、GaAs、GaAsP、SiP又は他の適切な材料を含み得る。第2のソース/ドレインフィーチャ248はp型である場合、Si、Ge、AlGaAs、SiGe、ホウ素ドープSiGe(SiGeB)又は他の適切な材料を含み得る。第2のソース/ドレインフィーチャ248は、ドーパントを含むドーピング種を導入することにより、エピタキシャルプロセス中にその場でドーピングされ得る。第2のソース/ドレインフィーチャ248がその場でドーピングされない場合、注入プロセス(すなわち、接合注入プロセス)は、第2のソース/ドレインフィーチャ248をドーピングするために実行される。一実施形態では、第2のソース/ドレインフィーチャ248は、n型であり、シリコン(Si)とリン(P)とを含む。
図1及び図18を参照すると、方法100は、第3のブロッキング層244を除去するブロック136を含む。さらなるプロセスのためにワークピース200を準備するために、第1のエリア1000を覆う残りの第3のブロッキング層244(図17に示す)は、除去される。図18に示すように、ブロック136での動作後、ゲートスペーサ層222と、ハードマスク216の上面と、第1のソース/ドレインフィーチャ242の上面とは、第1のエリア1000に露出し、ゲートスペーサ層222と、ハードマスク216の上面と、第2のソース/ドレインフィーチャ248の上面とは、第2のエリア2000に露出する。
図1及び図19を参照すると、方法100は、さらなるプロセスを実行するブロック138を含む。図19(19A及び19Bを含む)に示すように、このようなさらなるプロセスは、コンタクトエッチストップ層(CESL)250の堆積と、層間誘電体(ILD)層252の堆積と、ハードマスク216を除去する平坦化プロセスと、ダミーゲート電極層214とダミーゲート誘電体層212との除去と、チャネル部材208を形成するためのチャネル層208の解放と、ゲート構造254の形成と、ワークピース200の平坦化とを含み得る。いくつかの例では、CESL 250は、例えば、窒化シリコン層、シリコン酸化物層、酸窒化シリコン層及び/又は他の公知の材料を含む。CESL 250は、ALD、プラズマ増強化学気相成長(PECVD)プロセス及び/又は他の適切な堆積又は酸化プロセスにより形成され得る。次に、ILD層252は、CESL 250上に堆積する。いくつかの実施形態では、ILD層252は、テトラエチルオルトシリケート(TEOS)酸化物、アンドープシリケートガラス、又はボロフォスフォシリケイトガラス(BPSG)、溶融石英ガラス(FSG)、フォスフォシリケートガラス(PSG)、ホウ素ドープシリコンガラス(BSG)などのドープシリコン酸化物及び/又は他の適切な誘電体材料などの材料を含む。ILD層252は、PECVDプロセス又は他の適切な堆積技術により堆積し得る。いくつかの実施形態では、ILD層252の形成後、ワークピース200は、アニールされて、ILD層252の一体性を向上させ得る。
いくつかの例では、ILD層252の堆積後、平坦化プロセスは、余分な誘電体材料を除去するために実行され得る。例えば、平坦化プロセスは、ダミーゲートスタック210の上にあるILD層252(及びCESL 250、存在する場合)の部分を除去し、ワークピース200の上面を平坦化する化学機械平坦化(CMP)プロセスを含む。いくつかの実施形態では、CMPプロセスは、さらに、ハードマスク216を除去し、ダミー電極層214を露出させる。ダミー電極層214の露出は、ダミー電極層214の除去と、ダミーゲート誘電体層212の除去と、チャネル層208の解放とを可能にする。
いくつかの実施形態では、ダミーゲート電極層214とダミーゲート誘電体層212との除去は、第1のエリア1000と第2のエリア2000とのチャネル領域10上のゲートトレンチを形成する。ダミーゲート電極層214とダミーゲート誘電体層212との除去は、ダミーゲート電極層214とダミーゲート誘電体層212との材料に対して選択的となる1つ以上のエッチングプロセスを含み得る。例えば、ダミーゲート電極層214とダミーゲート誘電体層212との除去は、ダミーゲート電極層214とダミーゲート誘電体層212とに対して選択的となる選択的なウェットエッチング、選択的なドライエッチング又はそれらの組み合わせを用いて実行され得る。ダミーゲート電極層214とダミーゲート誘電体層212との除去後、エピタキシャル層206、208の側壁は、ゲートトレンチ内に露出する。
ダミーゲート電極層214とダミーゲート誘電体層212との除去後、方法100は、第1のエリア1000と第2のエリア2000とのチャネル領域10のチャネル層208間の犠牲層206を選択的に除去する動作を含み得る。犠牲層206の選択的な除去は、チャネル層208を解放して、チャネル部材208を形成する。なお、説明を簡単にするために、同じ参照符号208は、チャネル部材208を表すために用いられる。犠牲層206の選択的な除去は、選択的なドライエッチング、選択的なウェットエッチング又は他の選択的なエッチングプロセスにより実施され得る。いくつかの実施形態では、選択的ウェットエッチングは、APMエッチング(例えば、水酸化アンモニウム‐過酸化水素-水の混合物)を含む。いくつかの実施形態では、選択的な除去は、SiGe酸化とそれに続くSiGeOx除去を含む。例えば、酸化は、オゾンクリーンとその後のNHOHなどのエッチング液により除去されたSiGeOxにより提供され得る。
方法100は、ゲート構造254を形成するさらなる動作を含み得る。いくつかの実施形態では、ゲート構造254は、ワークピース200上のゲートトレンチ内に形成され、犠牲層206の除去により残されたスペースに堆積する。これに関して、ゲート構造254は、第1のエリア1000と第2のエリア2000との各チャネル部材208を包む。様々なの実施形態では、各ゲート構造254は、界面層、界面層上に形成されたhigh-kゲート誘電体層及び/又はhigh-kゲート誘電体層上に形成されたゲート電極層を含む。本明細書において使用されて記載される高誘電率ゲート誘電体は、例えば、熱酸化ケイ素の比誘電率(約3.9)より大きな高比誘電率を有する誘電体材料を含む。ゲート構造254内に用いられるゲート電極層は、金属、金属合金又は金属ケイ化物を含み得る。
いくつかの実施形態では、ゲート構造254の界面層は、シリコン酸化物、ハフニウムシリケート、酸窒化シリコンなどの誘電体材料を含み得る。界面層は、化学酸化法、熱酸化法、原子層堆積法(ALD)、化学蒸着法(CVD)、及び/又は他の適切な方法により形成されてよい。ゲート構造254のhigh-kゲート誘電体層は、酸化ハフニウムなどのhigh-k誘電体層を含み得る。代替的に、ゲート構造254のhigh-kゲート誘電体層は、TiO、HfZrO、Ta、HfSiO、ZrO、ZrSiO、LaO、AlO、ZrO、TiO、Ta、Y、SrTiO(STO)、BaTiO(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO(BST)、Al、Si、酸窒化物(SiON)、それらの組み合わせなどのhigh-k誘電体、又は他の適切な材料を含み得る。高誘電率ゲート誘電体層は、ALD法、物理気相成長(PVD)法、CVD法、酸化法、及び/又は他の適切な方法により形成され得る。
ゲート構造254のゲート電極層は、デバイス性能を向上させるために選択された仕事関数を有する金属層(仕事関数金属層)、ライナー層、濡れ層、接着層、金属合金又は金属シリサイドの様々な組み合わせなどの単層又は代替的に多層構造を含み得る。例として、ゲート構造254のゲート電極層は、Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、他の適切な金属材料、又はそれらの組み合わせを含み得る。様々な実施形態では、ゲート構造254のゲート電極層は、ALD、PVD、CVD、電子ビーム蒸着又は他の適切なプロセスにより形成され得る。また、ゲート電極層は、異なる金属層を用い得るN型FET及びP型FETと別々に形成され得る(例えば、異なるn型とP型仕事関数金属層を設ける)。様々な実施形態では、CMPプロセスは、ゲート構造254のゲート電極層から余分な金属を除去して、ゲート構造254の略平面状上面を提供するために実行され得る。ゲート構造254は、チャネル領域10の隣接するチャネル部材208の間に介在する部分を含む。
ブロック138での動作の終わりに、第1のトランジスタ300は、ワークピース200の第1のエリア1000に形成され、第2のトランジスタ400は、ワークピース200の第2のエリア2000に形成される。第1のトランジスタ300および第2のトランジスタ400は、ゲートオールアラウンド(GaA)トランジスタである。設計の必要性に応じて、第1のトランジスタ300および第2のトランジスタ400は、同じ伝導性または異なる伝導性であり得る。一例では、第1の領域1000の第1のトランジスタ300はp型デバイスであり、第2の領域2000の第2のトランジスタ400はn型デバイスである。ブロック120での動作が、第1の領域1000の第1の半導体酸化物フィーチャ2340および第2の領域2000の第2の半導体酸化物フィーチャ2350の異なる深さを実装するために実行される実施形態では、第1のトランジスタ300および第2のトランジスタ400は、異なる数の活性/機能チャネル部材208を有し得る。図19に示されるように、第1の半導体酸化物機構2340および第1の半導体酸化物機構2340を裏打ちする第1のブロッキング層230は、まとめて、第1の底部誘電体フィーチャ2345と呼ばれ得、第2の半導体酸化物フィーチャ2350および第2の半導体酸化物フィーチャ2350を裏打ちする第1のブロッキング層230は、まとめて、第2の底部誘電体フィーチャ2355と呼ばれ得る。第1のトランジスタ300に関して、第1の底部誘電体フィーチャ2345は、第1の領域1000の最底部チャネル部材208を第1のソース/ドレインフィーチャ242から絶縁し、第1のトランジスタ300の最底部チャネル部材208を不活性/非機能にする。第2のトランジスタ400に関して、第2の底部誘電体フィーチャ2355は、最底部チャネル部材208を第2のソース/ドレインフィーチャ248から絶縁しない。結果として、第1のトランジスタ300は、第2のトランジスタ400よりも1つ少ない活性チャネル部材208を有する。明示的に示されていないいくつかの代替の実施形態では、ブロック118で形成される第1のエピタキシャルフィーチャ234は、最底部チャネル層208を覆わないような深さまで形成され、ブロック120での動作が省略される。これらの代替の実施形態では、第1の領域1000および第2の領域2000の最底部チャネル層は、半導体酸化物層および第1のブロッキング層230によって覆われなく、結果として得られる第1のトランジスタ300および第2のトランジスタ400は、同じ数の活性/機能チャネル部材を有する。
特に限定されるものではないが、本開示の1つ又は複数の実施形態は、半導体デバイス及びその形成プロセスに多くの利点を提供する。例えば、本開示による半導体デバイスは、ソース/ドレインフィーチャの下に配置された底部誘電体フィーチャを含む。底部誘電体フィーチャは、ソース/ドレインフィーチャを下にある基板から絶縁することにより、ラッチアップを防止することができる。さらに、底部誘電体フィーチャは、チャネル領域下のAPT注入領域を通じたリークパスを遮断する。さらに、本開示による方法は、単一の基板上に異なる数のチャネル部材を有するGAAトランジスタを形成することを可能にする。底部誘電体フィーチャの実装により、タップセル、ガードリング、またはその他のラッチアップ防止構造を削減または排除して、機能デバイスにより多くのスペースを提供することができる。
一例示的な態様では、本開示は、半導体デバイスに関する。半導体デバイスは、チャネル領域及びチャネル領域に隣接するソース/ドレイン領域を含む活性領域と、チャネル領域の上にあるチャネル部材の垂直スタックと、チャネル部材の垂直スタックの上及び周囲にあるゲート構造と、ソース/ドレイン領域の上にある底部誘電体フィーチャと、底部誘電体フィーチャの上にあるソース/ドレインフィーチャと、底部誘電体フィーチャとソース/ドレイン領域との間に配置されたゲルマニウム層とを含む。
いくつかの実施形態では、底部誘電体フィーチャは、2つの誘電体スペーサフィーチャに挟まれたシリコンゲルマニウム酸化物フィーチャを含む。いくつかの実施形態では、2つの誘電体スペーサフィーチャは、窒化シリコンを含む。場合によっては、シリコンゲルマニウム酸化物フィーチャは、ゲルマニウム層上に直接配置されている。いくつかの実施形態では、チャネル部材の垂直スタックは、複数の内部スペーサフィーチャによって部分的に分離されている。いくつかの実施形態では、2つの誘電体スペーサフィーチャは、複数の内部スペーサフィーチャのうちの少なくとも1つと接触している。場合によっては、チャネル部材の垂直スタックの最底部チャネル部材は、底部誘電体フィーチャに接触している。
別の例示的な態様では、本開示は、半導体デバイスに関する。半導体デバイスは、第1のエリア及び第2のエリアを有する基板、第1のエリア内の第1のトランジスタ、および第2のエリア内の第2のトランジスタを含む。半導体デバイスは、第1のチャネル領域及び第1のチャネル領域に隣接するソース/ドレイン領域を含む第1の活性領域と、第1のチャネル領域の上にあるチャネル部材の第1の垂直スタックと、チャネル部材の第1の垂直スタックの上及び周囲にある第1のゲート構造と、第1のソース/ドレイン領域の上にある第1の底部誘電体フィーチャと、第1の底部誘電体フィーチャの上にある第1のソース/ドレインフィーチャと、第1の底部誘電体フィーチャと第1のソース/ドレイン領域との間に配置された第1のゲルマニウム層とを含む。半導体デバイスは、第2のチャネル領域及び第2のチャネル領域に隣接するソース/ドレイン領域を含む第2の活性領域と、第2のチャネル領域の上にあるチャネル部材の第2の垂直スタックと、チャネル部材の第2の垂直スタックの上及び周囲にある第2のゲート構造と、第2のソース/ドレイン領域の上にある第2の底部誘電体フィーチャと、第2の底部誘電体フィーチャの上にある第2のソース/ドレインフィーチャと、第2の底部誘電体フィーチャと第2のソース/ドレイン領域との間に配置された第2のゲルマニウム層とを含む。第1の底部誘電体フィーチャは、第1の深さを有し、第2の底部誘電体フィーチャは、第1の深さよりも小さい第2の深さを有する。
いくつかの実施形態では、第1の活性領域及び第2の活性領域は、シリコンを含み、第1の底部誘電体フィーチャは、2つの第1の誘電体スペーサフィーチャに挟まれた第1のシリコンゲルマニウム酸化物を含む。第2の底部誘電体フィーチャは、2つの第2の誘電体スペーサフィーチャの間に挟まれた第2のシリコンゲルマニウム酸化物を含む。いくつかの実施形態では、2つの第1の誘電体スペーサフィーチャ及び2つの第2の誘電体スペーサフィーチャは、窒化シリコンを含む。いくつかの実施形態では、第1のシリコンゲルマニウム酸化物フィーチャは、第1のゲルマニウム層上に直接配置され、第2のシリコンゲルマニウム酸化物フィーチャは、第2のゲルマニウム層上に配置されている。いくつかの実施形態では、第1のチャネル部材の垂直スタックは、第1の複数の内部スペーサフィーチャによって部分的に分離され、第2のチャネル部材の垂直スタックは、第2の複数の内部スペーサフィーチャによって部分的に分離されている。場合によっては、第1のチャネル部材の垂直スタックの最底部チャネル部材は、第1の底部誘電体フィーチャに接触し、第2のチャネル部材の垂直スタックの最底部チャネル部材は、第2の底部誘電体フィーチャに接触していない。
さらに別の例示的な態様では、本開示は、方法に関する。方法は、複数の犠牲層によってインターリーブされた複数の半導体層を含むスタックを基板上に形成し、スタックからフィン構造を形成し、フィン構造上にダミーゲートスタックを形成し、ダミーゲートスタック上にゲートスペーサ層を堆積させ、ダミーゲートスタックに隣接するソース/ドレイン凹部を形成し、複数の犠牲層を選択的かつ部分的にエッチングして、複数の内部スペーサ凹部を形成し、複数の内部スペーサ凹部に複数の内部スペーサフィーチャを形成し、基板上に誘電体層を形成し、ソース/ドレイン凹部内の誘電体層の一部を除去して、基板の上面を露出させ、上面にあるソース/ドレイン凹部に底部誘電体フィーチャを形成し、そして底部誘電体フィーチャ上にエピタキシャルフィーチャを形成することを含む。
いくつかの実施形態では、底部誘電体フィーチャを形成することは、上面にシリコンゲルマニウム層をエピタキシャル堆積させ、シリコンゲルマニウム層をアニーリングしてシリコンゲルマニウム酸化物フィーチャを形成することを含む。いくつかの実施態様では、シリコンゲルマニウム層は、約55%~約約80%のシリコンと、約20%~約45%のゲルマニウムとを含む。いくつかの実施態様では、底部誘電体フィーチャを形成することは、さらに、シリコンゲルマニウム層をエピタキシャル堆積させた後、シリコンゲルマニウム層を引き戻すことを含む。いくつかの実施態様では、シリコンゲルマニウム層をアニーリングすることは、さらに、上面とシリコンゲルマニウム酸化物フィーチャとの間にゲルマニウム層を形成することを含む。いくつかの実施態様では、基板は、シリコンを含み、複数の半導体層は、シリコンを含み、複数の犠牲層は、シリコンゲルマニウムを含む。いくつかの実施態様では、複数の内部スペーサフィーチャは、シリコン酸化物、シリコン酸炭化物、又はシリコン炭窒化物を含み、誘電体層は、シリコンナイトライドを含む。
前述は、当業者が本開示の態様をよりよく理解できるように、いくつかの実施形態の特徴を概説したものである。当業者であれば、本明細書で紹介した実施形態の同じ目的を実行するため、及び/又は同じ利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として本開示を容易に使用できることを理解すべきである。また、当業者であれば、そのような同等の構造が本開示の精神及び範囲から逸脱しないこと、及び本開示の精神及び範囲から逸脱することなく、本明細書において様々な変更、置換及び改変を行うことができることを理解すべきである。

Claims (20)

  1. 半導体フィンと、
    チャネル領域及び前記チャネル領域に隣接するソース/ドレイン領域を含む活性領域と、
    前記チャネル領域の上にあるチャネル部材の垂直スタックと、
    前記チャネル部材の垂直スタックの上及び周囲にあるゲート構造と、
    前記ソース/ドレイン領域の上にある底部誘電体フィーチャと、
    前記底部誘電体フィーチャの上にあるソース/ドレインフィーチャと、
    前記底部誘電体フィーチャと前記ソース/ドレイン領域との間に配置されたゲルマニウム層とを含む、半導体デバイス。
  2. 前記底部誘電体フィーチャは、2つの誘電体スペーサフィーチャに挟まれたシリコンゲルマニウム酸化物フィーチャを含む、請求項1に記載の半導体デバイス。
  3. 前記2つの誘電体スペーサフィーチャは、窒化シリコンを含む、請求項2に記載の半導体デバイス。
  4. 前記シリコンゲルマニウム酸化物フィーチャは、前記ゲルマニウム層上に直接配置される、請求項2に記載の半導体デバイス。
  5. 前記チャネル部材の垂直スタックは、複数の内部スペーサフィーチャによって部分的に分離される、請求項2に記載の半導体デバイス。
  6. 前記2つの誘電体スペーサフィーチャは、前記複数の内部スペーサフィーチャのうちの少なくとも1つと接触している、請求項5に記載の半導体デバイス。
  7. 前記チャネル部材の垂直スタックの最底部チャネル部材は、底部誘電体フィーチャに接触している、請求項1に記載の半導体デバイス。
  8. 第1のエリア及び第2のエリアを有する基板、
    前記第1のエリア内の第1のトランジスタであって、
    第1のチャネル領域と、前記第1のチャネル領域に隣接する第1のソース/ドレイン領域とを含む第1の活性領域と、
    前記第1のチャネル領域の上にある第1のチャネル部材の垂直スタックと、
    前記第1のチャネル部材の垂直スタックの上及び周囲にある第1のゲート構造と、
    前記第1のソース/ドレイン領域の上にある第1の底部誘電体フィーチャと、
    前記第1の底部誘電体フィーチャの上にある第1のソース/ドレインフィーチャと、
    前記第1の底部誘電体フィーチャと前記第1のソース/ドレイン領域との間に配置された第1のゲルマニウム層とを含む第1のトランジスタ、及び
    前記第2のエリア内の第2トランジスタであって、
    第2のチャネル領域と、前記第2のチャネル領域に隣接する第2のソース/ドレイン領域とを含む第2の活性領域と、
    前記第2のチャネル領域の上にある第2のチャネル部材の垂直スタックと、
    前記第2のチャネル部材の垂直スタックの上及び周囲にある第2のゲート構造と、
    前記第2のソース/ドレイン領域の上にある第2の底部誘電体フィーチャと、
    前記第2の底部誘電体フィーチャの上にある第2のソース/ドレインパターンと、
    前記第2の底部誘電体フィーチャと前記第2のソース/ドレイン領域との間に配置された第2のゲルマニウム層を含む第2トランジスタ、を含み、
    前記第1の底部誘電体フィーチャは、第1の深さを有し、前記第2の底部誘電体フィーチャは、前記第1の深さよりも小さい第2の深さを有する、半導体デバイス。
  9. 前記第1の活性領域及び前記第2の活性領域は、シリコンを含み、
    前記第1の底部誘電体フィーチャは、2つの第1の誘電体スペーサフィーチャに挟まれた第1のシリコンゲルマニウム酸化物を含み、
    前記第2の底部誘電体フィーチャは、2つの第2の誘電体スペーサフィーチャの間に挟まれた第2のシリコンゲルマニウム酸化物を含む、請求項8に記載の半導体デバイス。
  10. 前記2つの第1の誘電体スペーサフィーチャ及び前記2つの第2の誘電体スペーサフィーチャは、窒化シリコンを含む、請求項9に記載の半導体デバイス。
  11. 前記第1のシリコンゲルマニウム酸化物は、前記第1のゲルマニウム層上に直接配置され、
    前記第2のシリコンゲルマニウム酸化物は、前記第2のゲルマニウム層上に配置される、請求項9に記載の半導体デバイス。
  12. 前記第1のチャネル部材の垂直スタックは、第1の複数の内部スペーサフィーチャによって部分的に分離され、
    前記第2のチャネル部材の垂直スタックは、第2の複数の内部スペーサフィーチャによって部分的に分離される、請求項9に記載の半導体デバイス。
  13. 前記第1のチャネル部材の垂直スタックの最底部チャネル部材は、前記第1の底部誘電体フィーチャに接触し、
    前記第2のチャネル部材の垂直スタックの最底部チャネル部材は、前記第2の底部誘電体フィーチャに接触していない、請求項12に記載の半導体デバイス。
  14. 複数の犠牲層によってインターリーブされた複数の半導体層を含むスタックを基板上に形成し、
    前記スタックからフィン構造を形成し、
    前記フィン構造上にダミーゲートスタックを形成し、
    前記ダミーゲートスタック上にゲートスペーサ層を堆積させ、
    前記ダミーゲートスタックに隣接するソース/ドレイン凹部を形成し、
    前記複数の犠牲層を選択的かつ部分的にエッチングして、複数の内部スペーサ凹部を形成し、
    前記複数の内部スペーサ凹部に複数の内部スペーサフィーチャを形成し、
    前記基板上に誘電体層を形成し、
    前記ソース/ドレイン凹部内の前記誘電体層の一部を除去して、前記基板の上面を露出させ、
    前記上面にある前記ソース/ドレイン凹部に底部誘電体フィーチャを形成し、
    前記底部誘電体フィーチャ上にエピタキシャルフィーチャを形成することを含む、方法。
  15. 前記底部誘電体フィーチャを形成することは、
    前記上面にシリコンゲルマニウム層をエピタキシャル堆積させ、
    前記シリコンゲルマニウム層をアニーリングして、シリコンゲルマニウム酸化物フィーチャを形成することを含む、請求項14に記載の方法。
  16. 前記シリコンゲルマニウム層は、約55%~約80%のシリコンと、約20%~約45%のゲルマニウムとを含む、請求項15に記載の方法。
  17. 前記底部誘電体フィーチャを形成することは、さらに、
    前記シリコンゲルマニウム層をエピタキシャル堆積させた後、前記シリコンゲルマニウム層を引き戻すことを含む、請求項15に記載の方法。
  18. 前記シリコンゲルマニウム層をアニーリングすることは、さらに、前記上面と前記シリコンゲルマニウム酸化物フィーチャとの間にゲルマニウム層を形成することを含む、請求項14に記載の方法。
  19. 前記基板は、シリコンを含み、前記複数の半導体層は、シリコンを含み、前記複数の犠牲層はシリコンゲルマニウムを含む、請求項14に記載の方法。
  20. 前記複数の内部スペーサフィーチャは、シリコン酸化物、シリコン酸炭化物、又はシリコン炭窒化物を含み、
    前記誘電体層は、窒化シリコンを含む、請求項14に記載の方法。
JP2021119652A 2020-07-21 2021-07-20 ラッチアップ防止 Pending JP2022021341A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/935,000 US11245036B1 (en) 2020-07-21 2020-07-21 Latch-up prevention
US16/935,000 2020-07-21

Publications (1)

Publication Number Publication Date
JP2022021341A true JP2022021341A (ja) 2022-02-02

Family

ID=76999651

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021119652A Pending JP2022021341A (ja) 2020-07-21 2021-07-20 ラッチアップ防止

Country Status (5)

Country Link
US (2) US11245036B1 (ja)
EP (1) EP3944330A1 (ja)
JP (1) JP2022021341A (ja)
CN (1) CN113725277A (ja)
TW (1) TW202205436A (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11245036B1 (en) * 2020-07-21 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Latch-up prevention
KR20220090672A (ko) * 2020-12-22 2022-06-30 삼성전자주식회사 반도체 소자
US20230178622A1 (en) * 2021-12-07 2023-06-08 Intel Corporation Gate-all-around integrated circuit structures having depopulated channel structures using directed bottom-up approach
WO2023197202A1 (en) * 2022-04-13 2023-10-19 Huawei Technologies Co.,Ltd. Semiconductor structure for gate all around nanosheet device

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104054181B (zh) * 2011-12-30 2017-10-20 英特尔公司 全包围栅晶体管的可变栅极宽度
US8877608B2 (en) * 2012-07-02 2014-11-04 Shanghai Institute Of Microsystem And Information Technology, Chinese Academy Of Sciences Method for preparing GOI chip structure
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
CN109755290B (zh) * 2017-11-03 2022-07-19 中芯国际集成电路制造(上海)有限公司 纳米线晶体管及其制备方法
US10325820B1 (en) * 2018-01-10 2019-06-18 International Business Machines Corporation Source and drain isolation for CMOS nanosheet with one block mask
US10431651B1 (en) * 2018-04-30 2019-10-01 International Business Machines Corporation Nanosheet transistor with robust source/drain isolation from substrate
US10923598B2 (en) * 2018-11-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and methods of forming the same
US10985279B2 (en) * 2019-03-13 2021-04-20 International Business Machines Corporation Source and drain epitaxy and isolation for gate structures
US11245036B1 (en) * 2020-07-21 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Latch-up prevention

Also Published As

Publication number Publication date
TW202205436A (zh) 2022-02-01
CN113725277A (zh) 2021-11-30
US20220029023A1 (en) 2022-01-27
US11245036B1 (en) 2022-02-08
US20220157994A1 (en) 2022-05-19
EP3944330A1 (en) 2022-01-26

Similar Documents

Publication Publication Date Title
US11862734B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
US11430892B2 (en) Inner spacers for gate-all-around transistors
US11948987B2 (en) Self-aligned backside source contact structure
US11245036B1 (en) Latch-up prevention
US11855224B2 (en) Leakage prevention structure and method
KR102545983B1 (ko) 에피택셜 피처
US20210265349A1 (en) Low Leakage Device
KR102559472B1 (ko) 실리콘 채널 템퍼링
US11917803B2 (en) Method for forming different types of devices
US11855216B2 (en) Inner spacers for gate-all-around transistors
US11854819B2 (en) Germanium hump reduction
US20220367670A1 (en) Semiconductor device having strained channels
TW202145570A (zh) 半導體裝置
TWI835324B (zh) 半導體結構及其形成方法
US20230207653A1 (en) Low resistance contact feature
US20230135084A1 (en) Reduction of damages to source/drain features
TW202407884A (zh) 半導體結構及其製造方法
TW202418405A (zh) 半導體結構及其形成方法
JP2022027710A (ja) 半導体装置のフィン端分離構造
CN115377004A (zh) 半导体结构的形成方法