JP2022014917A - 埋込バイアスパッドを有する半導体デバイス - Google Patents

埋込バイアスパッドを有する半導体デバイス Download PDF

Info

Publication number
JP2022014917A
JP2022014917A JP2021113150A JP2021113150A JP2022014917A JP 2022014917 A JP2022014917 A JP 2022014917A JP 2021113150 A JP2021113150 A JP 2021113150A JP 2021113150 A JP2021113150 A JP 2021113150A JP 2022014917 A JP2022014917 A JP 2022014917A
Authority
JP
Japan
Prior art keywords
bias
material layer
oxide layer
contact member
bias pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021113150A
Other languages
English (en)
Inventor
健 ▲呉▼
Jian Wu
峰 韓
Mine Kan
帥 張
Shuai Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022014917A publication Critical patent/JP2022014917A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Figure 2022014917000001
【課題】破壊電圧の最大値が異なる基板電圧に現れるPMOS及びNMOSの二種類のトランジスタを有する、集積回路全体の集積回路の全体破壊電圧性能を向上させる半導体デバイス及びその製造方法を提供する。
【解決手段】集積回路200は、埋込酸化物層内に位置するバイアスパッド208B、208Cを含む。分離領域227A、227B内のILD材料を延伸して貫通する一つ又は複数のバイアス接触部材218B、218Cは、それぞれバイアスパッド208B、208Cに電気的に接続されている。分離構造は、一つまたは複数のバイアス接触部材218B、218Cを半導体材料層内のトランジスタのドーピング領域から絶縁する。バイアス接触部材218B,218Cは、集積回路の相互接続構造に電気的に接続され電圧源をバイアスパッド208B、208Cに接続するように配置される。
【選択図】図2

Description

本開示は、埋込バイアスパッドを有する半導体デバイスに関する。
集積回路の破壊電圧は、基板と集積回路のトランジスタとの間の埋め込み酸化物層の厚さに関係する。集積回路のトランジスタの破壊電圧を増加させることは集積回路の動作電圧ウィンドウを増加させ、かつ集積回路の機能耐用年数を延長する。
本開示の一実施例によれば、基板の上方に位置する埋め込み酸化物層と、前記埋め込み酸化物層の上方に位置し、複数のドープ領域を含む半導体材料層と、ゲート電極及び前記複数のドープ領域を含むトランジスタと、前記半導体材料層に位置する分離領域と、前記半導体材料層及び前記ゲート電極の上方に位置する層間誘電体(ILD)材料と、前記ILD材料及び前記分離領域を延伸して貫通し、前記埋め込み酸化物層に達する第1バイアス接触部材と、前記第1バイアス接触部材を介して前記埋め込み酸化物層に電気的に接続される相互接続構造と、を備える半導体デバイスを提供している。
本開示の他の実施例によれば、基板の上方に位置する埋め込み酸化物層と、前記埋め込み酸化物層の上方に位置する半導体材料層と、前記半導体材料層に位置するソースウェル及びドレインウェルを有するトランジスタと、前記ソースウェルと前記基板との間の前記埋め込み酸化物層内に位置する第1バイアスパッドと、前記ドレインウェルと前記基板との間の前記埋め込み酸化物層内に位置する第2バイアスパッドと、第1ディープトレンチ分離構造(DTI)リングであって、前記第1バイアスパッドは、前記埋め込み酸化物層内で前記第1DTIリングに囲まれる第1ディープトレンチ分離構造DTIリングと、第2DTIリングであって、前記埋め込み酸化物層内で前記第2バイアスパッドを取り囲み、前記第1DTIリングと前記第2DTIリングは、中央DTIセグメントを共有する第2DTIリングと、を備える半導体デバイスを提供している。
本開示の他の実施例によれば、誘電体材料で第1バイアスパッドを取り囲むことと、前記第1バイアスパッドの上方の半導体材料層にドーパントを添加することと、前記半導体材料層の上面の上方にゲート誘電体材料及びゲート電極材料を堆積することと、前記半導体材料層の上方の第1ゲート電極を分離するように、前記ゲート誘電体材料及び前記ゲート電極材料をエッチングすることと、前記第1ゲート電極と前記半導体材料層の上方に層間誘電体ILD材料を堆積することと、下向きに前記第1バイアスパッドに達する少なくとも一つのバイアス接触部材開口をエッチングすることと、バイアス接触部材材料で前記少なくとも一つのバイアス接触部材開口を充填することと、少なくとも一つのバイアス接触部材を前記半導体デバイスの相互接続構造に電気的に接続することと、を含む半導体デバイスの製造方法を提供している。
いくつかの実施例に係る基板電圧に応じた集積回路の破壊電圧を示すグラフである。 いくつかの実施例に係る集積回路の製造方法のフローチャートである。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の上面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の上面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の上面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。 いくつかの実施例に係る製造工程中の集積回路の断面図である。
以下の開示内容は提供される主題の異なる特徴を実現するための多くの異なる実施例又は例を提供する。以下、部品、値、動作、材料、配置等の具体例を説明し、本開示を簡単にする。当然のことながら、これらは例示に過ぎず限定的ではない。その他の構成要素、値、動作、材料、配置等は可能である。例えば、以下の説明において、第2特徴の上方又は上に第1特徴を形成することは直接接触する方式で第1特徴及び第2特徴を形成する実施例を含むことができ、かつさらに第1特徴と第2特徴との間に付加的な特徴を形成することにより第1特徴と第2特徴が直接接触しない実施例を含むことができる。また、本開示は様々な例において数字及び/又はアルファベットを繰り返し参照することができる。該繰り返しは簡単かつ明瞭な目的であり、かつ自体が議論された様々な実施例及び/又は配置の間の関係を示すものではない。
また、本明細書において空間関連用語(例えば“下方”、“下”、“低い”、“以上”、“上部”等)を使用することができ、図に示された一つの要素又は特徴が他の(複数)要素又は特徴に対する関係を説明しやすい。これらの空間関連用語はデバイスが使用又は操作において図に示された向き以外の異なる向きをカバーすることを意図する。装置は他の方式で指向する(90度回転するか又は他の向きにする)ことができ、かつ本明細書で使用される空間相対記述子は同様に対応して解釈することができる。
トランジスタの下方の基板中の過渡電圧又は制御されない電圧はトランジスタのスイッチング速度に影響を与え、かつノイズを集積回路が生成した信号に導入する。いくつかの集積回路において、トランジスタのスイッチング速度はさらに回路構造の影響を受け、該回路構造は基板の電圧に調整してトランジスタの下方の基板中の過渡的又は制御されない電圧変化を防止する。本開示のいくつかの実施例において、バイアスパッド(biaspad)を用いて電圧を調整し、該バイアスパッドは、集積回路のトランジスタと集積回路の基板との間の埋め込み酸化物層に埋め込まれる。バイアスパッド材料層(例えば、半導体材料層、金属層又は導電性材料)を以下の項目に一致する領域に分割することによりバイアスパッドを形成する:集積回路におけるトランジスタの横方向サイズ、集積回路におけるトランジスタのウェル、又は集積回路の複数のトランジスタ又は他の回路素子を有するセル領域。バイアスパッドは、集積回路の相互接続構造に電気的に接続されている。いくつかの実施例において、バイアスパッドは集積回路の基準電圧(Vss)に電気的に接続される。いくつかの実施例において、バイアスパッドは基準電圧と接地との間の値を有する電圧に電気的に接続される。バイアスパッドは、バイアス接触部材を介して集積回路の相互接続構造に接続されている。バイアス接触部材は半導体材料層(トランジスタのソース及びドレイン領域に用いられるドープウェルを有する)を延伸して貫通し、かつ埋め込み酸化物層の一部を貫通し、バイアスパッドまで達する。バイアス接触部材はバイアスパッドに電圧を伝送するか又は印加し、それによりバイアスパッドの上方のトランジスタに特徴化電気環境を生成するか又は印加する。いくつかの実施例において、印加された電圧は固定電圧である。いくつかの実施例において、バイアスパッドはグランドに電気的に接続される。いくつかの実施例において、基板とバイアスパッドの両方は印加された電圧を受信し、本明細書に記載のように、特徴化電気環境をバイアスパッドの上方のトランジスタに印加する。バイアス接触部材、バイアスパッド及びバイアス接触部材及びバイアスパッドの製造方法は以下の通りである。
図1Aは、いくつかの実施例に係る基板電圧に応じた集積回路の破壊電圧を示すグラフ100である。グラフ100において、N型ドープ結晶トランジスタの破壊電圧傾向線104とP型不純物ドープ結晶トランジスタの破壊電圧傾向線102との比較を描画する。グラフ100の水平軸に沿って基板に印加された電圧を描画し、水平軸の左側が低い値でありかつ右側が高い電圧値である。グラフ100のY軸又は垂直軸にトランジスタ及び集積回路の破壊電圧を描画し、低い破壊電圧は垂直軸の底部にあり、かつ高い破壊電圧は軸の頂部にある。グラフ100において、破壊電圧傾向線上の最高点は、二種類のトランジスタ(例えば、P型又はPMOS及びN型又はNMOS)のそれぞれの破壊電圧の最大値が異なる基板電圧に現れることを示す。集積回路全体の集積回路の全体破壊電圧性能を向上させるために、本開示は構造を説明し、該構造は埋め込み酸化物層内に位置する各バイアスパッドを含み、これらのバイアスパッドに対して、バイアス電圧(例えば、印加された電圧、図1Aにおける基板電圧に類似する)は個体トランジスタに応じて調整される。いくつかの実施例において、複数のバイアスパッドはトランジスタの異なる側の下方の埋め込み酸化物層に位置し、それによりトランジスタ構造におけるNウェル又はPウェルの異なるバイアス破壊値にそれぞれ適応する。
図1Bは、いくつかの実施例に係る集積回路の製造方法140のフローチャートである。方法140は操作142を含み、ここで、集積回路の基板の上方に第1酸化物層を堆積する。操作142の実行は図11Aにおける第1酸化物層1106の堆積に対応し、以下のとおりである。いくつかの実施例において、基板は半導体材料(例えば、シリコン、ドープされたシリコン、GaAs、又は他の半導体材料)である。いくつかの実施例において、基板はPドープ基板である。いくつかの実施例において、基板はNドープ基板である。いくつかの実施例において、基板は半導体材料ではない剛性結晶材料(例えば、ダイヤモンド、サファイア、酸化アルミニウム(Al)など)であり、集積回路は該材料に製造される。いくつかの実施例において、基板はトランジスタのウェル又は集積回路の他の素子との間にリーク電流を受ける。これらの実施例において、半導体材料の上方に絶縁層(例えば、埋め込み酸化物層)を堆積することにより、基板と集積回路のトランジスタとを電気的に分離させる。基板の上方に埋め込み酸化物層を製造することによりリーク電流を減少させ、回路動作期間、及び集積回路のアイドル期間中の集積回路の消費電力を低減する。いくつかの集積回路において、埋め込み酸化物層は基板の上面の上方の単層絶縁材料である。本開示において、埋め込み酸化物層は単独の絶縁材料堆積ステップで堆積された少なくとも二層の絶縁材料を含む。二つの単独の絶縁材料堆積ステップで埋め込み酸化物層を堆積することにより、導電性材料(例えば、バイアスパッド材料)層は第1酸化物層の上方に堆積されかつ第2酸化物層の下方に位置する(以下、操作150を参照)。第1酸化物層はバイアスパッド材料(又は製造後のバイアスパッド、以下の操作158を参照し、分離構造を製造する)は基板及び集積回路の他のアセンブリと電気的に分離される。
いくつかの実施例によれば、第1酸化物層は二酸化ケイ素(SiO)層である。いくつかの実施例において、第1酸化物層は基板上方の無機窒化物層(例えば、窒化ケイ素(Si)など)である。いくつかの実施例において、第1酸化物層は基板の上面の上方に堆積される。いくつかの実施例において、第1酸化物層は例えばアルゴン(Ar)、シラン(SiH)、及び酸素(O)又は水(HO)の組み合わせにより、化学気相堆積(CVD)により基板の上面の上方に堆積される。CVD成膜された酸化物は、意図的にCVD堆積の酸化物を形成するためのドーパント反応ガス混合物に含まない限り、ドーパントを含まない。いくつかの実施例において、例えば急速熱処理(RTP)により基板の上面から第1酸化物層を成長させる。いくつかの実施例において、第1酸化物層のRTP成長は300摂氏度(℃)より大きい温度で、アルゴン、酸素又は水蒸気のうちの一種又は複数種を含む雰囲気中で半導体材料を処理する基板を含む。RTPによる酸化物成長により緻密で均一な酸化物層を形成し、それにより基板とバイアスパッド及び集積回路を電気的に分離させる。RTPが成長した酸化物層は基板の上面に近い上部領域に存在するドーパントを含み、これは基板材料(半導体材料、例えば、シリコン、ドープされたシリコン、GaAs等)がRTP成長の酸化物に結合されるためである。いくつかの実施例において、液体材料を堆積し硬化させることにより酸化物(例えば、スピンオングラス(SOG)、BPSG(ホウ素リンスピンオングラス)、又はFSG(フッ化石英ガラス))を形成することにより集積回路の上面に第1酸化物層を形成する。
いくつかの実施例において、第1酸化物層の厚さは約50オングストローム(Å)から約50ナノメートル(nm)の範囲内にあるが、他の厚さも本開示の範囲内にある。いくつかの半導体材料層に酸化物を成長させるか又は堆積する方法に基づいて、厚さが約50オングストロームより小さい第1酸化物層は十分な電気絶縁能力又は被覆を提供することができない。薄い第1の酸化物層の不完全な被覆は、基板へのリーク電流を引き起こす。絶縁体材料を堆積(例えば化学気相堆積、物理気相堆積(PVD)等の形式により)良好なカバレッジ及び良好な絶縁特性を有する基板に堆積することにより、約50nmの膜厚さを有する第1酸化物層を取得することにより、トランジスタトラップから基板内への漏れ電流を低減し及び/又は除去する。
方法140は、選択可能な操作144を含み、ここで、第1酸化物層の一部が修正(modify)され、それにより操作142が完了した時の第1酸化物層の厚さよりも小さい厚さを有する。操作142の実行は、上述したように、操作140に堆積された第1酸化物層1106の薄化に対応する。いくつかの実施例において、操作142の実行は例えば第1トランジスタ1103Aで実行され、第2トランジスタ1103Bで実行されず、逆も同様である。方法140のいくつかの実施例において、製造プロセス中にトランジスタに印加された電気環境は集積回路全体又は半導体基板(又は半導体ウェハ)で均一(homogeneous)であり、これらの実施例は選択可能な操作144を省略し、第1酸化物層、バイアスパッド、及びバイアスパッドの頂部上方の第2酸化物層(以下)の厚さは集積回路全体又は半導体基板において類似するためである。方法140のいくつかの実施例において、トランジスタに印加された電気環境は集積回路全体又は半導体基板上で不均一(hetgeneous)であり、これらの実施例は一つ又は複数の膜厚さの修正操作を含み、例えば以下の操作144、操作148、及び/又は操作152である。いくつかの実施例によれば、第1酸化物層の厚さは選択可能な操作144の後に100オングストローム以上であり、それにより電圧をバイアスパッド及びバイアスパッドの下方の基板に印加する時に第1酸化物層を破壊することを回避する。いくつかの実施例において、基板とバイアスパッドに逆符号を有する電圧を印加し(例えば、バイアスパッドに対して正であり、かつ基板に対して負である)、これにより第1酸化物層が薄すぎると第1酸化物層を破壊する。
いくつかの実施例において、第1酸化物は集積回路のセル内のトランジスタ全体の下方に薄化され、同一セル内の他のトランジスタの下方に第1酸化物の厚さを変更しない。いくつかの実施例において、第1酸化物はトランジスタの一つのウェルの下方に薄化され、トランジスタの異なるウェルの下方の第1酸化物は第1酸化物の厚さを修正しない。いくつかの実施例において、第1酸化物層の薄化部分及び第1酸化物層の未修正部分はトランジスタの下方で交差し、かつ分離構造を形成することにより分離される。
方法140は操作146を含み、ここで、第1酸化物層の上方にバイアスパッド材料層を堆積する。操作146の実行はバイアスパッド材料層1108の堆積に対応し、以下の図11Aに示すとおりである。前記のように、バイアスパッド材料層は導電性材料を含む。いくつかの実施例において、導電性材料は金属膜である。いくつかの実施例において、金属膜はタングステン、コバルト、チタン、タンタル、ニッケル、又はその合金などを含む。いくつかの実施例によれば、パッド材料層をバイアスするための金属膜はバイアスパッドから半導体材料層及び埋め込み酸化物層の上部を貫通するバイアス接触部材を形成する同じ材料である。いくつかの実施例によれば、バイアスパッド材料層は半導体材料である。いくつかの実施例において、半導体材料は多結晶シリコンである。いくつかの実施例において、半導体材料はIII-V型半導体材料であり、例えば、ガリウム砒素(GaAs)などである。
方法140のいくつかの実施例において、バイアスパッド材料層は金属膜であり、これらの実施例において例えば第1酸化物層の上面の上方にターゲット(target)から材料層をスパッタリングすることにより金属膜を堆積する。そのうちバイアスパッド材料層は半導体材料の方法140の実施例であり、例えば原子層堆積(ALD)、化学気相堆積(CVD)等により半導体材料を堆積する。いくつかの実施例によれば、バイアスパッド材料は純粋な(アンドープの)半導体材料である。いくつかの実施例によれば、バイアスパッド材料は堆積期間にドープされる。いくつかの実施例によれば、バイアスパッド材料はこのような半導体材料である:半導体材料層に金属原子を堆積しかつアニールすることにより金属とシリコン(又は他の半導体材料)原子を互いに拡散させ、該半導体材料に金属シラン層を成長させる。いくつかの実施例によれば、金属シラン層はバイアスパッド全体でバイアスパッドに印加された電圧を伝送することができ、したがってバイアスパッドのドーピングされていないか又は僅かにドープされた半導体材料よりも早くトランジスタの下方に所望の電気的環境を生成する。
いくつかの実施例によれば、バイアスパッド材料層の厚さは約10nm~約100nmの範囲内にあるが、他の厚さも本開示の範囲内にある。厚さが約10nmより小さいバイアスパッド材料は第1酸化物層の上方に完全に被覆されず、それによりトランジスタウェルを有する半導体材料層の下方に不均一な電界を生成する。厚みが約100nmより大きいバイアスパッド材料層は、半導体材料層に電界を印加する上で増加のメリットが与えられていない。しかしながら、バイアスパッド材料層の厚さが増加する(例えば、100nmより大きい)に連れて、バイアスパッド材料層を延伸して貫通する分離構造の充填がより困難になり、かつ分離構造材料に空隙又は隙間が発生する場合がある。
方法140は選択可能な操作148を含み、ここで、バイアス層材料の一部が修正される。選択可能な操作148の実行はバイアスパッド材料層1108の厚さを調整し、以下の図11Aに示すとおりである。いくつかの実施例において、選択可能な操作148の実行により一つのトランジスタ(例えば、第1トランジスタ1103A又は第2トランジスタ1103B、図11Bに示す)のバイアスパッド材料を薄化する。いくつかの実施例において、選択可能な操作148の実行により複数の隣接するトランジスタ(例えば、第1トランジスタ1103A及び第2トランジスタ1103Bの両方、例えば以下の図11Bに示すとおりである)のバイアスパッド材料を薄化する。いくつかの実施例において、該修正はバイアスパッド材料層の一部の厚さを減少させるためである。いくつかの実施例において、該修正は第2酸化物層を堆積する前に、バイアスパッド材料層から分離バイアスパッドを形成するためである(以下、操作150を参照)。いくつかの実施例において、該修正はバイアスパッド材料層の一部の厚さを減少させかつバイアスパッド材料層から分離バイアスパッドの両方を形成することを含む。
本開示のいくつかの実施例において、埋め込み酸化物の一部の厚さを減少させることではなく、バイアス層の厚さを変更することにより、トランジスタのウェルの下方の電気特性を修正する。いくつかの実施例によれば、バイアスパッド材料層の上面の上方にパターン化材料層を印加しパターンをパターン化材料層に転写することにより、バイアスパッド材料層の厚さを修正する。いくつかの実施例によれば、パターン化材料層はフォトレジスト層である。いくつかの実施例によれば、パターン化材料は電子ビーム又は極紫外線(EUV)リソグラフィを用いてパターン化することができる。いくつかの実施例において、パターン化材料層に印加されるパターンはパターン化材料層における開口に対応するパターンを含み、該開口はバイアスパッド材料層が薄化される位置に対応する。
エッチングにより、バイアスパッド材料層を薄くする。いくつかの実施例において、ドライエッチング又はプラズマエッチングプロセスを実行することによりバイアスパッド材料の露出部分を異方的に除去し、バイアスパッド材料の被覆部分が修正されないように保持することにより、バイアスパッド材料層を薄化する。金属又は金属含有のバイアスパッド材料を除去するように構成されたドライエッチング又はプラズマエッチングプロセスはハロゲン化反応物、例えば、塩酸(HCl)、フッ化水素酸(HF)、臭化水素(HBr)、塩素(Cl)、フッ素(F)等を含む。
異方性のドライエッチング又はプラズマエッチングプロセスは等方性エッチングプロセスより垂直な輪郭を有し、それによりパターン化材料層の下方のバイアスパッド材料のサイズを保留し、かつパターン化材料層のアンダーカット(undercut)を回避する。アンダーカットは製造プロセスの間に集積回路内の大量の空隙を引き起こす。いくつかの実施例において、アンダーカットが十分に明らかになり、バイアスパッドとバイアスパッドへのバイアス接触部材との間の電気的接続に影響を与える。
いくつかの実施例において、ウェットエッチング剤を使用してバイアスパッド材料層を薄化する。いくつかの実施例によれば、ウェットエッチング剤は薄化プロセスにおいてより大きな除去均一性を提供する。いくつかの実施例において、パターン化材料層における開口のサイズを修正(縮小)することにより開口をより小さくすることにより、バイアスパッド材料層の薄化期間におけるパターン化材料層のアンダーカットを補償する。いくつかの実施例において、ウェットエッチングの薄化プロセスの間に等方性エッチングによりパターン化材料層のアンダーカットを意図的に結合することにより、薄化されたバイアスパッド、又はバイアスパッド材料層中の溝の所望の寸法(例えば、バイアスパッド材料層により分離構造を形成する前)を実現する。
いくつかの実施例において、ウェットエッチング剤はパターン材料層中の大きな開口又は大きな薄化領域に用いられ、ウェットエッチング剤はパターン化材料層の頂面に薄化プロセスの残留物を残すことがより困難である。バイアスパッド材料層が金属又は金属を含む材料の実施例において、ウェットエッチングにより金属残留物が集積回路を汚染する可能性を低減する。
該方法のいくつかの実施例において、該修正は第2酸化物層を堆積する前に、バイアスパッド材料層から分離バイアスパッドを形成するためである(以下、操作150を参照)。バイアスパッド材料層を各バイアスパッドに分離することにより集積回路設計配置分離構造が破損しやすい回路素子に近接する時に回路アセンブリ(例えば、以下の図10の集積回路1000におけるトランジスタ)を破損することを回避し、又は集積回路の各バイアスパッドの間の分離特性を部分的に又は完全にマスクするか又は遮断する。集積回路1000において、ディープトレンチ分離構造(DTI)1022A及びDTI1022Cはトランジスタ(又はウェル1012A、1012B及び1012C)と分離され、かつ半導体材料層1012及び第2酸化物層1010を延伸して貫通し第1酸化物層1006に達する。しかしながら、DTI1022Bはゲート電極Gの直下に位置し、かつ第2酸化物層1010から第1酸化物層1006まで延伸する。トランジスタ領域内の半導体材料層1012を破壊しない場合にDTI1022Bを形成する可能性がないため、第2酸化物層(以下の操作150を参照)を堆積するか又は半導体材料層(以下の操作154を参照する)を堆積する前に、バイアスパッド材料層を各パッドに分割する。
方法140は操作150を含み、バイアス層材料の上方に第2酸化物層を堆積する。操作150の実行は第2酸化物層1110の堆積に対応し、以下の図11Aに示すとおりである。バイアスパッド材料の上方に、低圧CVD(LPCVD)、プラズマ強化CVD(PECVD)等の化学気相成長(CVD)プロセスにより、第2の酸化物層を堆積する。バイアスパッド材料層は常に半導体材料ではないため、第2酸化物層が成長せず、第1酸化物層が成長しない場合もある。第2酸化物層の厚さは約10nm~約100nmの範囲内にあるが、他の厚さも本開示の範囲内にある。第2酸化物層は埋め込み酸化物層であり、集積回路を含むトランジスタのウェルの半導体材料層と直接接触する(以下の操作154を参照)。第2酸化物層が十分に厚いことにより、バイアス板とトランジスタのウェルとの間の電位保護トランジスタのウェル及びトランジスタのチャネル領域が基板中の過渡状態又は制御されない電圧の影響を受けず、同時にキャリア移動を抑制しないか又は第2酸化物層の破壊を引き起こさない。約10nmより小さい第2酸化物層の厚さが破壊されやすく、約100nmより大きい厚さは第2酸化物層を延伸して貫通するDTIを充填して延伸するという問題、及び下面の操作164に形成されたバイアス接触部材及び基板接触部材の開口を充填するという問題を引き起こす可能性がある。
いくつかの実施例によれば、方法140は選択可能な操作152を含み、そのうち、第2酸化物層の厚さが修正される。選択可能な操作152の実行は以下のような操作154において半導体材料層1112を堆積する前に、第2酸化物層(第2酸化物層1110を参照する)の厚さを減少させることに対応し、以下の図11Aに示すとおりである。いくつかの実施例において、第2酸化物層は平坦でない上面を有し、バイアスパッド材料層及び/又は第1酸化物層の厚さに対する修正は第2酸化物層に移行されるためである。いくつかの実施例において、選択可能な操作152において化学機械研磨(CMP)ステップを実行することにより、第2酸化物層の上面が平らになる(かつ第2酸化物層の第1酸化物又はバイアスパッド材料層の上方に位置する“厚さ”部分の上方の一部の領域をさらに薄くする)。第2酸化物層の平坦でない頂面が集積回路を有するウェルの半導体材料層の平坦でない上面にさらに平行移動する場合、選択可能な操作152を実行する。半導体材料層の平坦でない表面により不均一な切り替え速度又は予測不可能なチャネル長さをもたらす可能性があり、半導体材料層の上面が均一で平坦ではなく、以下の層によりテクスチャ化されるためである。
方法140は操作154を含み、ここで、第2酸化物層の上方に半導体材料層を堆積する。操作154の実行は半導体材料層(例えば、半導体材料層1112)の堆積に対応し、以下の図11A等に示すとおりである。いくつかの実施例において、半導体材料層は例えば純粋なシリコン、ドープシリコン、シリコンゲルマニウム(SiGe)、又はIII-V型半導体、例えばガリウム砒素(GaAs)を含む。いくつかの実施例において、例えばシランガスを使用し、半導体材料の原子層堆積又は化学気相堆積(CVD)により半導体材料層を堆積する。いくつかの実施例において、シリコン層を堆積することにより半導体層を形成し、その後ドーパントに富む半導体材料を堆積し、かつ膜を熱処理してドーパント及びシリコンを互いに拡散させて集積回路の上面にドーパントに富む領域を形成することにより、トランジスタのソース、ドレイン及びチャネルに用いられる。
いくつかの実施例によれば、方法140は操作156を含み、ここで、ドーパントを半導体材料層に添加する。いくつかの実施例において、ドーパントを半導体材料層に添加して集積回路のトランジスタのチャネルに用いられるNウェル、Pウェル及びドリフト領域を形成する。非限定的な例では、ドーパントを第1トランジスタ1103A中のNウェル(例えば、Nウェル1112C)に添加し、又は第2トランジスタ1103B中のNウェル1112Dに添加する。非限定的な例において、ドーパントを第1トランジスタ1103A中のPウェル(例えば、Pウェル1112A)又は第2トランジスタ1103B中のPウェル1112Fに添加する。いくつかの実施例において、例えば以下のプロセスによりドーパントを半導体材料層に添加する:パターン化材料層を印加して半導体材料層のアンドープの部分(例えば、集積回路のソース、ドレイン又はHVNW(高圧Nウェル)以外の領域)をマスクし、パターンをパターン化材料層に転写して半導体層のドーパントを受け取る部分を露出させ、又、イオン源注入ツールからドーパントを注入する。いくつかの実施例において、パターン化材料層を堆積し、パターンをパターン化材料層に転写し、イオン源注入ツールによりドーパントを添加するステップは半導体材料層中の各ドーピング領域に対して個別に実行される。いくつかの実施例において、いくつかのドープ領域を同じパターン化材料層と共に半導体材料層に添加するが、基板を異なる傾斜又は傾斜度に保持して注入されたドーパントを半導体材料層の露出領域の異なる領域にガイドする。いくつかの実施例において、ドーパントを添加してトランジスタのソース又はドレインのNウェルを形成する。いくつかの実施例において、ドーパントを添加してトランジスタのドレイン又はソースのPウェルを形成する。いくつかの実施例において、N型ドーパントを添加して半導体材料層中のトランジスタウェルの間にHVNW(高圧Nウェル)を形成する。いくつかの実施例において、低注入エネルギーでドーパントを添加することにより、トランジスタのソース又はトランジスタのドレインの接触部材直下のトランジスタウェルの上面に軽ドープ領域(LDD領域)を形成する。トランジスタのウェルの上面のLDD領域はキャリア密度を増加させ、トランジスタを“オン”又は活性化状態に切り替えるのに必要な電位を低下させ、かつ動作期間にトランジスタを通過する電流(Ion)を低減する。いくつかの実施例において、ドーパントをPウェル1112A中のLDD領域、例えば、LDD領域1115Aに注入し、図11Fに示すとおりである。
方法140は操作158を含み、ここで、集積回路の分離構造を製造する。以下のように、基板(素子1102参照)の上方に堆積されたいくつかの膜により構造を分離するための開口(図11Bの素子1121A及び1121Bを参照する)をエッチングする。いくつかの開口1121Bは浅い分離構造(図11Cの素子1122B、112Dを参照する)に用いられ、かついくつかの開口1121Aはディープトレンチ分離構造に用いられる(図11Cの素子1120A、1120C、1120Fを参照)。前記のようなディープトレンチ分離構造(DTI)は分離構造であり、それは半導体材料層、第2酸化物層、バイアスパッド材料層を延伸して貫通し、かつ第1酸化物層に入る。いくつかの実施例において、DTIは第1酸化物層を延伸して貫通しかつ第1酸化物層の下方の基板に入る。いくつかの実施例において、DTIは集積回路におけるセル境界の位置に対応する。いくつかの実施例において、DTIは半導体層を取り囲む分離領域(該領域において、バイアス接触部材は半導体材料層を延伸して貫通し、かつ該領域はトランジスタウェルとバイアス接触部材、又は分離領域を延伸して貫通するバイアス接触部材と直接接触する半導体材料を電気的に分離する)の分離壁に対応する。いくつかの実施例において、DTIは一つのセル中のバイアスパッド(第1電圧を有する)と第2(隣接)セル中のバイアスパッド(第2電圧を有する)を電気的に分離する。いくつかの実施例において、DTIはセルにおける一つのトランジスタの下方のバイアスパッドを同じセルにおける第2トランジスタの下方の第2バイアスパッドと電気的に分離することにより、各バイアスパッドが同じセルにおける他のバイアスパッドと異なる電圧を有する。いくつかの実施例において、DTIは単一のトランジスタの下方の複数のバイアスパッドを電気的に分離し、かつDTIは半導体材料層を堆積する前に製造される。
シャロートレンチ分離構造(STI)は半導体材料層の頂部部分に形成され、かつ部分的に(ただし完全ではなく)延伸して半導体材料層を貫通する。集積回路にSTIを使用することにより、導電性材料(例えば、ソース接触部材)とトランジスタのチャネル上方のゲート電極との間の間隔を増加させる。STIは、集積回路のセルにおけるDTIと整合している。
以下のプロセスによりSTI又はDTIを製造する:集積回路製造プロセスの所定の段階で膜スタックの上面の上方にパターン化材料層(フォトレジスト、EUVレジスト、電子ビームマスク材料)を堆積し、パターンをパターン化材料層に転写し、かつパターン化材料層における開口内に分離構造(STI又はDTI)を形成する。いくつかの実施例において、ドライエッチング又はプラズマエッチングプロセスを用いて膜をエッチングすることにより膜スタックに分離構造のための開口を形成する。開口が深くなるにつれて、エッチングプラズマの化学的性質はエッチングされた(一種以上の)材料に応じて変化する。いくつかの実施例において、酸化物層を埋め込むための絶縁材料(例えば、シリカ)はフルオロカーボン、例えば、CF、トリフルオロメタン(CHF)、ジフルオロメタン(CH)及びガス状HFを含む。いくつかの実施例において、エッチングプラズマ中に酸素を含むことにより、エッチングプロセス中に蓄積されたポリマーを除去する。分離及びエッチングの間に、アルゴン等のキャリアガスを使用して化学活性エッチング剤分子の総濃度を調整し、集積回路の表面上のポリマーをバランスさせて分離構造の開口部の輪郭を制御する。分離構造の開口は異方性エッチングプロセス(例えば、高方向性、強いこもりエネルギー又は大加速電圧に関連する)を利用して形成され、それによりストレートな分離構造の側壁を保持し、かつ分離構造充填材料中の空隙又はバッグ(packet)の可能性を低減する。
いくつかの実施例において、STIを製造する前に、セルでDTIを製造する。いくつかの実施例において、半導体材料層を堆積して半導体材料層にトランジスタウェルを形成した後、DTI及びSTIを製造する。いくつかの実施例において、製造プロセスはSTIとDTIを製造する複数回の反復を含み、それによりトランジスタのためのバイアスパッドを生成し、かつバイアスパッドに関連するトランジスタ設計における変化に適応する。
いくつかの実施例によれば、方法140は操作160を含み、そのうち、半導体材料層の上方にゲート電極を製造する。ゲート電極の非限定的な例は図11Eに示され、ここで、素子114G1及び114G2は集積回路1100の第1トランジスタ及び第2トランジスタのドリフト領域及びウェルを覆う。操作160において、ゲート電極(例えば、図11Eのゲート電極114G1及び1114G2)の一部を製造するために、半導体材料層の上面の上方に薄いゲート酸化物層を堆積することにより、チャネル領域(ゲート酸化層の下方にあり、かつソース電極ウェルとドレインウェルとの間)がゲート電極と電気的に分離される。いくつかの実施例において、ゲート酸化物は二酸化ケイ素層(誘電率κは約3.7~3.9である)である。いくつかの実施例において、ゲート酸化物は二酸化ハフニウム(HfO、誘電率κ>12)を含む。いくつかの実施例において、ゲート酸化物は二酸化ハフニウム以外の高k誘電体(κ>3.9)(例えば、ZrO等)である。
いくつかの実施例において、ダミーゲート製造プロセスによりゲート電極を製造し、そのうち、第1層の間の誘電体(ILD)材料のブランケット層(blanketlayer)は半導体材料層の上方のゲート酸化物層の上方に堆積され、第1ILD材料に開口を形成してゲート酸化物層の一部を露出させ、かつ開口部にダミーゲート材料及び複数のライニング材料を堆積する。ダミーゲート製造プロセスのいくつかの実施例において、トランジスタのソース電極ウェル(ソース領域)及びドレインウェル(ドレイン領域)との接触部材を形成する前に、ダミーゲート材料が除去されかつゲート電極材料で充填される。ダミーゲート製造プロセスのいくつかの実施例において、第1ILD材料によりソース及びドレイン接触部材を形成した後、ダミーゲート材料が除去されかつゲート電極材料で充填される。
いくつかの実施例において、ゲート電極材料のブランケット層がゲート酸化物層の上方に堆積され、パターン化材料層がゲート電極材料の上方に堆積され、かつ集積回路におけるトランジスタのチャネル上方のゲート電極の位置に対応する余剰線又は残りの特徴を利用してパターン化を行う。いくつかの実施例において、ゲート電極材料層をエッチングすることにより保護されないゲート電極材料及び保護されないゲート酸化物材料を除去し、かつその中にトランジスタウェル(ソース、ドレイン、HVNW等)を有する半導体材料層を露出させる。いくつかの実施例において、一つ又は複数のスペーサ層はゲート電極のスタック(ゲート電極材料の残りの部分とゲート酸化物の残りの部分)の上方に堆積され、かつエッチバックされてゲート電極材料の残りの部分とゲート酸化物の残りの部分の側部にスペーサ層の残りの部分を残す。
いくつかの実施例において、ゲート電極はフィン型電界効果トランジスタ(FinFET)の一部であり、かつゲート電極は誘電体材料のフィン(そのうちソースウェル、ドレインウェル及びチャネルを有する)の頂部及び側部に連続的に延伸する。いくつかの実施例において、フィン型電界効果トランジスタは誘電体材料層で製造された複数のフィンを含み、これらのフィンは絶縁材料(例えば、上記ダミーゲート製造プロセスを参照して説明された第1ILD)により互いに分離される。いくつかの実施例において、ゲート電極はゲート誘電体層の残りの部分により平坦半導体材料層又は平面半導体材料層と分離された材料線である。
方法140は操作162を含み、ここで、集積回路のゲート電極及びウェルの上方に層間誘電体(ILD)膜が堆積される。集積回路のゲート電極およびウェル上には、ILD膜の堆積に対応して、ILD膜が堆積される。いくつかの実施例において、ILD膜は少なくとも一種の絶縁材料、例えば、シリカ、スピンオンガラス、ホウケイ酸ガラス、又は誘電率κが約4である他の誘電体又は絶縁材料を含む。いくつかの実施例において、ILD膜は誘電率κが約2.5より小さい低κ誘電体材料であり、例えば、SICOH(登録商標)、Blackdiamond(登録商標)、SiLK(登録商標)などである。いくつかの実施例において、ILD膜はCVDプロセスの変形により堆積され、例えば、PECVD(プラズマ強化CVD)、LPCVD(低圧CVD)、LACVD(レーザーアシストCVD)などである。いくつかの実施例において、以下のプロセスによりILD膜を形成する:ウェハ又は基板の頂部の上方に液体材料を堆積し、ウェハ又は基板を回転させて液体材料の膜厚の減少を生成し、液体材料を硬化させることにより例えばILD膜内部の架橋、又は液体材料の重合をトリガーし、又は溶媒又は液体成分の蒸発に伴って脱気効果を生成し、固体材料は後に保持してILD膜を形成する。
方法140は操作164を含み、ここで、ILDにより接触部を製造する。ILDにより接触部を製造する非限定的な例は図11Gにおいて説明され、ここで、接触部1118A-1118Cは下向きにバイアスパッド1108A-1108Cに製造され、ドレイン接触部1116D1は下向きにドレインウェル1112Aに製造され、ソース接触部1116S1は下向きにNウェル1112Cに製造され、及びゲート接触部1116G1はゲート電極1114G1に製造される。トランジスタは異なるグループに形成され、接触部材開口のエッチングプロセスにおいて接触部材開口の底部又は側部上の材料に対する輪郭制御及びエッチングプロセスの選択性を促進する。エッチング用接触部材は、操作162における、堆積されたILD膜の上面上にパターニング材料層を堆積する工程である。エッチング接触部材はさらにパターンをパターン化材料層に転写するステップに関し、そのうち、パターン化材料中の開口は接触部材のエッチングされた(一種又は複数種)材料中の位置に対応する。エッチング接触部材はさらに少なくとも一つのエッチングステップに関し、そのうち、液体又は等イオン性エッチング剤によりパターン化材料中の開口の底部に露出した材料を除去することにより、以下の材料を所定時間露出させ、又は特定材料又は膜がエッチングされた開口の底部に露出するまでである。
いくつかの実施例において、接触部材はトランジスタ接触部材であり、それは半導体デバイス中のトランジスタのソースウェル、ドレインウェル、又はゲート電極に電気的に接続される。いくつかの実施例において、接触部材はバイアス接触部材であり、それは第1ILD膜の上面から下向きにバイアスパッドに延伸し、該バイアスパッドは埋め込み酸化物層内に埋め込まれるか又は第1酸化物層と第2酸化物層との間に挟まれ、かつディープトレンチ分離構造により埋め込み酸化物層内に囲まれ、該ディープトレンチ分離構造はバイアスパッドの周りを取り囲む。いくつかの実施例において、接触部材は基板接触部材であり、それは第1ILD膜の上面から下向きに基板に延伸する。いくつかの実施例において、基板接触部材が交差し、かつ埋込酸化物層におけるバイアスパッドと電気的に接続される。いくつかの実施例において、基板接触部材とバイアスパッドが分離され、かつバイアス接触部材が埋込酸化物層にある。
接触部材に金属又は金属含有化合物、例えば、タングステン、コバルト、ニッケル、チタン、タンタル等、及びその合金が充填される。金属又は金属含有化合物をスパッタリングすることにより、又は接触部材開口の露出側壁及び底部上の原子層に金属含有化合物を堆積し、かつ金属含有化合物の成長を許容しかつ接触部材開口を充填することにより、接触部材を充填する。接触部材開口を充填した後、化学機械研磨ステップを実行することにより第1ILD膜の上面から接触部材金属を除去し、かつ接触部材の先端を互いに分離させる。いくつかの実施例において、接触部材開口を形成するエッチングプロセスを順次実行することにより、例えば浅い接触部材(例えば、トランジスタ接触部材)と深い接触部材(例えば、バイアス接触部材及び基板接触部材)を分離する。
いくつかの実施例によれば、方法100は操作166を含み、ここで、トランジスタ接触部材は集積回路の相互接続構造に接続される。いくつかの実施例において、トランジスタ接触部材は相互接続構造における他の接触部材又は貫通孔に電気的に接続される。いくつかの実施例において、トランジスタ接触部材はトランジスタ接触部材を含む誘電体材料層の上方のILD層中の導電線に電気的に接続される。図11Hに記載の非限定的な例では、導電線1124Aはバイアス接触部材1118B及びドレイン接触部材1116D1に電気的に接続され、かつ導電線1124Bはソース接触部材1116S2をバイアス接触部材1118Cに電気的に接続し、かつ導電線1124A及び1124BはILD層1119内にある。
いくつかの実施例によれば、上記操作は上に示す順序と異なる順序で実行される。いくつかの実施例において、上記操作はその中に他の操作が混合された場合に実行される。いくつかの実施例において、いくつかの上記操作は該方法から省略され、同時に以下の前記構造を生成する。上記方法におけるこのような変化は本開示の範囲を制限せず、かつ当業者であれば理解されるように本明細書に開示された構造を変化させる場合、本開示の範囲内で発生するが、本開示の範囲の自然変化に影響を与えない。
図2は、実施例の集積回路200の断面図である。集積回路200は基板204を含み、そのうち、集積回路の第1セル201Aは第2セル201Bに隣接する。セル境界202は、第1セル201Aと第2セル201Bとの間の遷移点を示す。いくつかの実施例において、第1セル201Aは高圧セルであり、かつ第2セル201Bは低圧セルである。第1の酸化物層206は、基板204の上面に成膜されている。バイアス用パッド材料層208は、第1の酸化物層206上に形成されている。バイアス用パッド材層208は、各バイアス用パッド208A、208B、208C、208Dに分割されている。バイアスパッド208Aは、第2セル201Bに設けられている。バイアス用パッド208B、208C、208Dは、第1のセル201Aに設けられている。各バイアスパッドはトレンチ分離構造(DTI)により分離され、以下のとおりである。
第2酸化物層210は、各バイアスパッド208A~208Dの上面よりも上方に位置している。半導体材料層212は、第2酸化物層210上に形成されている。半導体材料層212は、集積回路200のトランジスタに用いられる複数のウェルを含む。第1トランジスタ203AはPMOSデバイスであり、ここで、ソースウェル212CはNドープウェル212Cであり、ドレインウェル212AはPドープウェルであり、かつチャネル領域はPドリフト領域212Bを含む。第1トランジスタ203Aにおいて、ソースウェル212Cは二つのLDD領域215B及び215Cを含む。LDD領域215BはP型ドーピングプロファイルを有し、かつLDD領域215CのN型ドーパント濃度はウェル212C中のN型ドーパント濃度よりも大きい。ドレインウェル212Aは、P型の不純物ドープLDD領域215Aを有する。また、ソースウェル212Cおよびドレインウェル212Aには、LDD領域とLDD領域との境界でのキャリア密度の増加が促進されるLDD領域が形成されている。ソースウェル212Cには、P型ドープLDD領域215Bが形成され、ゲート電極214G1の直下のチャネル領域に隣接する高キャリア濃度が促進される。
第2トランジスタ203BはNMOSデバイスであり、Pドープソースウェル212F、N型ドープドレインウェル212D、及びチャネル領域を有し、該チャネル領域はN型ドープドリフト領域212Eを含み、該N型ドープドリフト領域212Eはソースウェル212Fとドレインウェル212Dとの間にある。第2トランジスタ203Bは、ドレインウェル212Dに位置するLDD領域215Dと、Pドープソースウェル212Fに位置するLDD領域215Eと、ソースウェル212Fに位置するLDD領域215Fとを含む。Pドープウェル212Fでのドープに比べて、LDD領域215Fはより高濃度のP型ドーパントを有する。LDD領域215Eは、正味のN型ドーパント濃度を有する。ソースウェル212F中のLDD領域215EはP/N接合で高キャリア濃度を生成し、該P/N接合で、LDD領域215EはLDD領域215F及びPドープソースウェル212Eと遭遇する。P/N接合のソースウェル212Fにおける高キャリア濃度は、第2トランジスタ203Bが急速にオンする能力を高める。
シャロートレンチ分離構造(STI)は、半導体材料層212を部分的に延伸して貫通している。ディープトレンチ分離構造(DTI)は、半導体材料層212を完全に延伸して貫通している。いくつかのディープトレンチ分離構造はさらに第2酸化物層210及びバイアスパッド材料層208を延伸して貫通して、各バイアスパッド208A-208Dを作成する。
第1層間誘電体(ILD)膜214は、半導体材料層212の上面よりも上方に位置している。以上の方法140で説明したように、第1ILD膜214は第1セル201Aにおけるトランジスタと第2セル201Bにおけるトランジスタとを電気的に分離し、かつ各トランジスタにおいて、ソース、ドレイン、ゲート電極(及びそれらに電気的に接続される接触部材)を互いに電気的に分離する。集積回路200において、二種類の異なるタイプの接触部材は第1ILD膜214を延伸して貫通する。バイアス接触部材218A、218B及び218Cは第1ILD膜214、半導体材料層212及び第2酸化物層210を延伸して貫通し、各バイアスパッドに電気的に接続される。バイアス接触部材218Aは、第2セル201Bにおけるバイアスパッド218Aと電気的に接続されている。バイアス接触部材218Bは、第1セル201Aにおけるバイアスパッド208Bと電気的に接続されている。バイアス接触部材218Cは、第1セル201Aにおけるバイアスパッド208Cと電気的に接続されている。バイアス接触部材は集積回路200の相互接続構造からトランジスタの下方のバイアスパッドに電位を下向きに伝導することにより、集積回路内のトランジスタのソース及びチャネル領域の周囲の電気的環境を修正する。集積回路200において、バイアスパッド208A、バイアスパッド208B及びバイアスパッド208Cは単一のトランジスタの下方の電気環境を修正するように構成される。いくつかの実施例において、各バイアス接触部材は該バイアス接触部材に接続されたバイアスパッドに異なる電位を伝導する。いくつかの実施例において、各バイアス接触部材は同じ電位をそれに接続されたバイアスパッドに伝導する。
バイアス接触部材218Aは第1ILD膜214、半導体材料層212及び第2酸化物層210を延伸して貫通し、かつ下向きにバイアスパッド208Aまで延伸する。いくつかの実施例において、バイアス接触部材はバイアスパッド208Aの上面に当接する。いくつかの実施例において、バイアス接触部材218Aは下向きにバイアスパッド208Aに延伸する。バイアス接触部材218Aは、STI220AおよびSTI220Bと横方向に離間している。
同様に、バイアス接触部材218B及びバイアス接触部材218Cは第1ILD膜214、半導体材料層212及び第2酸化物層210を延伸して貫通し、かつ下向きにバイアスパッドに延伸する。バイアス接触部材218Bは、STI220BおよびSTI220Cと横方向に離間している。バイアス接触部材218Cは、STI220GおよびSTI220Hと横方向に離間している。
集積回路200はトランジスタ接触部材を含み、該トランジスタ接触部材は第1ILD膜214を貫通して各トランジスタのソース、ドレイン及び電極に下向きに延伸する。例えば、第1トランジスタ203Aにおいて、ソース接触部材216S1は第1ILD膜214を貫通して下向きにNウェル212Cの上面まで延伸し、Nウェル212Cの先端のLDD領域215B及び215Cに接続される。ドレイン接触部材216D1は、ILD膜214を貫通して、ドレインウェル212Aの先端のLDD領域215Aまで下方に延在している。ゲート接触部材216G1は、第1ILD膜を介してゲート電極214G1の上面まで下方に延在している。ゲート電極214G1は、Nウェル212Cの上面と、ドリフト領域212Bの上面と、STI220Dの上面とに当接している。STI220Dは、ゲート電極214G1とPドープドレインウェル212Aと、ドレインウェル212Aの先端のPドープLDD領域215Aとを分離している。
第2トランジスタ203Bのトランジスタ接触部材は以下の通りである。ドレイン接触部材216D2は、第1ILD膜214を介してNウェル212Dの先端のLDD領域215Dまで下方に延びている。ソース接触部材216S2は、第1のILD膜214を介して、ソースウェル212Fの先端のLDD領域215E、215Fまで下方に延びている。ゲート接触部材216G2は、第1ILD膜を介してゲート電極214G2の上面まで下方に延在している。ゲート電極214G2は、STI220F、Nドープドリフト領域212およびソースウェル212Fの上面上に延在している。いくつかの実施例によれば、ゲート電極はソースウェル中のLDD領域の上面の上方に延伸する。
図3は、実施例の集積回路300の断面図である。集積回路300の集積回路200の上記素子の構成や機能に合わせた素子には、100と同じ識別符号が付されている。ここで、集積回路300と集積回路200との相違点について説明する。
集積回路300において、第2セル301Bは第1ILD膜314、半導体材料層312及び第2酸化物層310を貫通して下向きにバイアスパッド308Aまで延伸するバイアス接触部材318Aを含む。バイアス接触部材318Aは、STI320AおよびSTI320Bと横方向に離間している。第1セル301Aにおいて、バイアス接触部材318B及び318Cは集積回路200におけるバイアス接触部材218B及び218Cと異なる位置に位置する。バイアス接触部材318Bはバイアスパッド308Bに到達する前に、第1ILD膜314、STI320C、DTI322C及び第2酸化物層310を延伸して貫通する。同様に、バイアス接触部材318Cはバイアスパッド308Cに到達する前に、第1ILD膜314、半導体材料層312、第2酸化物層310を延伸して貫通する。バイアス接触部材318B及びバイアス接触部材318Cは、半導体材料層312の半導体材料から電気的に分離されたバイアス接触部材である。本開示のいくつかの実施例によれば、半導体材料層を延伸して貫通するバイアス接触部材は半導体材料層の分離領域内のトランジスタと分離される。集積回路200の分離領域227A、227Bを参照する。集積回路300のようないくつかの実施例において、バイアス接触部材をそれらが延伸して分離構造を貫通しかつディープトレンチ分離構造の周囲の絶縁材料により半導体材料と横方向に分離するように位置決めすることにより、バイアス接触部材と集積回路のトランジスタを電気的に分離する。いくつかの実施例によれば、製造業者はバイアス接触部材をディープトレンチ分離構造内に位置決めすることを選択することにより、分離領域(集積回路200における分離領域227Bを参照する)を除去することにより集積回路内の空間を節約し、集積回路のダイ面積を減少させる。いくつかの実施例によれば、集積回路のセル内の各バイアス接触部材はディープトレンチ分離構造内に位置決めされかつ深いトレンチ分離構造を延伸して貫通する。いくつかの実施例によれば、セル中のいくつかのバイアス接触部材が延伸してディープトレンチ分離構造を貫通し、かついくつかのバイアス接触部材が半導体材料層中の分離領域を貫通する。バイアス接触部材の集積回路のセル内での位置決めはバイアス接触部材を位置決めするための空間量及びバイアス接触部材を製造するためのプロセスウィンドウに関連し、すなわちエッチングプロセスは第1ILD膜の誘電体材料、第1ILD膜の下方に露出した半導体材料、及びバイアスパッドの上方の第2酸化物層の半導体材料層の厚さ選択性である。
図4は、本開示の実施例に係る集積回路400の断面図である。集積回路400において、上記集積回路200の素子と類似する機能及び構造を有する素子に200を増加させる同じ識別符号を与え、以下に上記集積回路200と集積回路400との間の差異を説明する。集積回路200において、基板204と集積回路の相互接続構造は電気的に分離される。集積回路400において、基板は基板接触部材424により集積回路の相互接続構造に電気的に接続される。基板接触部材424は、第1ILD膜の上面から基板404まで下方に延びている。いくつかの実施例において、基板接触部材424は基板404の上面に当接する。いくつかの実施例において、基板接触部材424は下向きに基板404に延伸する。基板接触部材424は、DTI422FおよびSTI420Hの誘電体材料により、半導体材料層412中の半導体材料と分離されている。DTI422FおよびSTI420Hは、基板接触部材424の絶縁スリーブとして機能する。基板接触部材424はバイアス接触部材418Cと電気的に分離されるため、基板404及びバイアスパッド408B及び408Cは三つの独立した電圧を印加するために配置される。
図5は、本開示のいくつかの実施例に係る集積回路500の断面図である。集積回路400において、類似する構造及び/又は機能を有する集積回路400の素子に対応する集積回路の素子に100を増加させる同じ識別子符号を付与する。次に、集積回路500と集積回路400との相違点について説明する。集積回路500において、バイアス接触部材524は第1ILD膜514の上面から下向きに基板504に延伸する。集積回路400内の基板接触部材424(半導体材料層412と電気的に分離される)とは異なり、基板接触部材524は基板504に到達する前に、第1ILD膜514の上面から下向きに延伸して半導体材料層512、第2酸化物層510、バイアスパッド508D及び第1酸化物層506を貫通する。バイアス接触部材524はバイアス接触部材518Cと電気的に分離され、かつDTF522Fによりトランジスタ(第1トランジスタ503A及び第2トランジスタ503B)と電気的に分離され、該DTF522Fは基板接触部材524及びバイアス接触部材518Cの両者と横方向に分離される。いくつかの実施例において、基板接触部材はバイアスパッドと分離するが、半導体材料層を貫通して貫通する。いくつかの実施例において、基板接触部材は半導体材料層を貫通し、かつバイアス接触部材は延伸して絶縁スリーブとするDTI構造を貫通する。いくつかの実施例において、全てのバイアス接触部材及び基板接触部材はいずれも分離構造を延伸して貫通し、かつ半導体材料層と分離される。
図6Aは、いくつかの実施例に係る集積回路600の上面図である。いくつかの実施例において、図6Aは集積回路のセルの単一のトランジスタ(例えば図2における第1トランジスタ203A又は図2における第2トランジスタ203Bを参照する)に対応する。集積回路600において、断面線A-A’は分離構造604、セル領域602、分離領域606及びバイアス接触部材608を延伸して貫通する。分離領域606は、複数のバイアス接触部材を含む。バイアス接触部材608は、円柱状又は円柱状の構造を有する柱型又は列型のバイアス接触部材である。セル領域602は、半導体材料層の集積回路600を含むトランジスタのソース、ドレインウェルおよびチャネルの一部である。分離領域606は、素子分離構造604を介してセル領域602から分離された半導体材料層の一部である。
図6Bは集積回路640の断面図であり、その構造は上記集積回路600の構造に対応する。集積回路640は、基板644と、第1酸化物層646と、バイアスパッド材料層648と、第2酸化物層650と、半導体材料層652と、第1ILD膜654とを含む。バイアス接触部材658はバイアスパッド64Aに到達する前に、下向きに延伸して第1ILD膜654を貫通し、分離領域656(集積回路600における分離領域606に対応する)、第2酸化物層650を貫通する。バイアスパッド64Aは、DTI662B(トランジスタウェル659の一方側)とDTI662C(トランジスタウェル659との反対側)の全トランジスタウェル659の下方に延在している。バイアス接触部材658は上記接触部材に相当し、例えば、図2におけるバイアス接触部材218Bである。いくつかの実施例において、DTI662A、662B及び662Cは例えば分離領域606及びセル領域602(トランジスタウェル659に相当)の周辺を取り囲んでリングを形成し、かつ分離構造604の形状と類似する輪郭に沿って、例えば図6Aを参照して説明したとおりである。集積回路600と集積回路640を比較し、集積回路における分離構造604は半導体材料層652内のシャロートレンチ分離構造及びディープトレンチ分離構造に対応し、以下のとおりである:STI660A及びDTI662Aは分離領域606のセル領域602から遠い側に位置する分離構造604に対応し、STI660B及びDTI662Bは分離領域606とセル領域602との間の分離領域604に対応し、かつSTI660C及びDTI662Cはセル領域602の集積回路600における分離領域606から遠い側に位置する分離構造604に対応する。
図7Aは、いくつかの実施例に係る集積回路700の上面図である。集積回路600と同様の機能を有する集積回路700の素子は、100と同じ識別符号を付している。断面線B-B’は、集積回路700を、集積回路600における断面線A-A’と同様の位置に延伸して貫通している。ここで、集積回路700と集積回路600との違いについて説明する。集積回路700において、バイアス接触部材708はバー型(bar-type)バイアス接触部材である。集積回路600内の列型バイアス接触部材608と異なり、バイアス接触部材708はディープトレンチ構造を有し、該ディープトレンチ構造に導電性材料が充填されて印加された電圧を集積回路のセル領域内のバイアスパッドトランジスタに伝送する。いくつかの実施例において、列型バイアス接触部材ではなくバー型バイアス接触部材を含み、バイアス接触部材を製造するためのプロセスウィンドウに依存する。集積回路のいくつかの実施例において、バイアス接触部材の深い開口のためのエッチングプロセスに関連するロード問題(loadingissues)の優先度が低く、これらの実施例はバー型接触部材を含み、集積回路のバイアスパッドと相互接続構造との間の接触部材の数が大きいためである。電気的接続を相互接続構造から下向きにバイアス接触部材に位置決めする時、バー型接触部材はより大きな柔軟性を有する。列型バイアス接触部材は一般的に列型バイアス接触部材位置決め電気的接続の面に対して高い精度を必要とし、かつより厳しいプロセスウィンドウを有し、それにより列型バイアス接触部材に電気的に接続されたサイズが集積回路のセル領域の下方の相互接続構造とバイアスパッドとの間の抵抗を増加させないことを確保する。
図7Bは集積回路740の断面図であり、その構造は上記集積回路700の構造に対応する。集積回路740は、基板744と、第1酸化物層746と、バイアスパッド材料層748と、第2酸化物層750と、半導体材料層752と、第1ILD膜754とを含む。バイアス接触部材758はバイアスパッド74Aに到達する前に、下向きに延伸して第1ILD膜754を貫通し、分離領域756(集積回路600における分離領域606に対応する)、第2酸化物層750を貫通する。集積回路700と集積回路740を比較し、集積回路における分離構造704は半導体材料層752内のシャロートレンチ分離構造及びディープトレンチ分離構造に対応し、以下のとおりである:STI760A及びDTI762Aは分離構造706の距離セル領域702から遠い側に位置する分離構造704に対応し、STI770B及びDTI762Bは分離領域706とセル領域702との間の分離領域704に対応し、かつSTI760C及びDTI762Cはセル領域702の距離集積回路700における分離領域706から遠い側に位置する分離構造704に対応する。
図8Aは、いくつかの実施例に係る集積回路800の上面図である。断面線C-C’は、断面線A-A’の集積回路600を延伸して貫通するのと同じ位置に集積回路800を延伸して貫通する。断面線C-C’は分離構造804Aと分離構造804B、分離領域806、セル領域802を延伸して貫通し、かつバイアス接触部材808に到達する。集積回路800において、バイアス接触部材808は集積回路600内のバイアス接触部材608と類似する柱型バイアス接触部材である。セル領域802は、分離領域806によって完全に囲まれている。分離構造804Aは半導体材料層内の分離領域806に完全に囲まれ、セル領域802と分離領域806との間に位置する。セル領域802は半導体材料層の一部を含み、トランジスタのソースウェル、ドレインウェル及びチャネル領域は部分的に製造される。分離領域806は半導体材料層のセル領域以外の一部を含み、バイアス接触部材及び/又は基板接触部材は該部分を貫通することにより、集積回路の相互接続構造とセル領域802の下方のバイアスパッド又は基板との間に電気的接続を行う。
図8Bは、いくつかの実施例に係る集積回路840の断面図である。集積回路640の素子と同一の構成または機能を有する集積回路840の素子は、200を増加させた同一の識別符号を有する。
集積回路840において、基板844はその上に堆積された第1酸化物層846を有する。バイアスパッド材料層848は、第1酸化物層846上であって、第2酸化物層850の下に位置している。半導体材料層852は、第2酸化物層850と第1ILD膜854との間に位置する。バイアス接触部材808A、808Bは、分離領域56内の半導体材料層852を延伸して貫通している。分離領域856は以下の項によりセル領域802と分離される:セル領域のバイアス接触部材808Aに最も近い側に位置するSTI860B及びDTI842B、及びセル領域802のバイアス接触部材808Bに最も近い側に位置するSTI860D及びSTI86D。分離領域856は以下の項により半導体材料層852の残りの部分と分離される:バイアス接触部材808AのSTI860A及びDTI862A、及びバイアス接触部材808Bの直後のSTI860C及びDTI862C。したがって、セル領域802の全ての側は誘電体材料(底部の第2酸化物層850、半導体材料層852内のSTIとDTI構造、及び頂部側の第1ILD膜854)で囲まれ、トランジスタ接触部材はこの誘電体材料を貫通して延伸する。集積回路840において、DTI862Aは半導体材料層852、第2酸化物層850及びバイアスパッド材料層848を貫通し、第1酸化物層846に下向きに達する。DTI862Cは、DTI862Aと同様に、集積回路840を通過した膜を積層する。DTI862A及びDTI862Cは集積回路800における分離構造804Bの埋め込み部分を含み、かつバイアスパッド84Aとバイアスパッド材料層848の残りの部分を分離する。
図9は、実施例の集積回路900の断面図である。集積回路200の素子と同様の構成または機能を有する集積回路900の素子は、700を増加させた同一の識別符号を有する。集積回路900と集積回路200の素子との違いについて説明する。
集積回路900において、第1トランジスタ903Aと第2トランジスタ903Bは異なる形状のバイアスパッドを有する。第1トランジスタ903Aに対して、第2酸化物層910は第1厚さ908を有し、バイアスパッド908Bはバイアスパッド厚さ930Bを有し、かつ酸化物層906は第1酸化物層厚さ930Cを有する。第2トランジスタ903Bに対して、第2酸化物層910は第2酸化物層の厚さ932Aを有し、第1トランジスタ903Aの下方の第2酸化物層の厚さ930Aより小さい。第2トランジスタ903Bに対して、バイアスパッド908Cはバイアスパッドの厚さ932Bを有し、バイアスパッドの厚さ930Bより大きい。第2トランジスタ903Bの下方に、第1酸化物層906は第1酸化物層の厚さ932Cを有する。集積回路900において、第1酸化物層の厚さ930Cは第1酸化物層の厚さ932Cと同じである。トランジスタの下方のバイアスパッドの厚さ又は第2酸化物層の厚さを製造することは製造業者に機会を提供し、トランジスタのウェルが経験し、バイアス接触部材によりバイアスパッドに伝送された電圧により印加された電界の強度を修正する。いくつかの実施例において、第2酸化物層は同じ厚さ(例えば、第2酸化物層930A及び第2酸化物層932Aは同じ厚さである)を有し、かつ第1酸化物層は異なるトランジスタの間に異なる厚さを有する。いくつかの実施例によれば、トランジスタの下方の膜スタックの厚さを減少させることに関連するステップはバイアスパッド材料を堆積した後に第2酸化物層を堆積する前に実行される(これらのステップは特にパターン化材料層を堆積し、パターンをパターン化材料に転写し、ここで、パターンにおける開口はバイアスパッド材料に対応して薄化される位置、及び液体エッチング剤又はプラズマエッチングを用いてバイアスパッド材料の露出部分をエッチングする)。半導体材料層を堆積する前に平滑で平坦な表面を提供するために、方法140のいくつかのバージョンに基づいて第2酸化物層を堆積することにより、化学機械研磨ステップを実行することにより、第2酸化物層の厚さをセル内又は半導体ウェハ全体の最小の第2酸化物の厚さ(例えば図9における第2酸化物層932Aの厚さ)に対応する値に減少させ、第2酸化物層の突起又は平坦でない上面を有さない。
図10は、いくつかの実施例に係る集積回路1000の断面図である。集積回路1000は上記図6Bに記載の集積回路640と類似するが、図6Bは単一のバイアスパッド64Aを有し、集積回路1000はDTI1024により分離された二つのバイアスパッド1008B及び1008Cを有し、以下のようにさらに説明される。集積回路1000において、第1セル1001Aと第2セル1001Bはセル境界1002Aで遭遇する。セル境界1002Aは、DTI1022Aを延伸して貫通している。セル境界1002Bは、DTI1022Cを延伸して貫通している。トランジスタ1003の下方の膜積層は以下のとおりである:第1酸化物層106は基板1004の上面の上方に堆積される。バイアスパッド材料層1008は、第1酸化物層1006の上面よりも上方に堆積されている。第2の酸化物層1010は、バイアス用パッド材1008の上面よりも上方に配置されている。半導体材料層1012は第2酸化物層1010の上面の上方に堆積され、かつトランジスタ1003のためのドープウェルを含む。シャロートレンチ分離構造(STI)は半導体材料層1012の頂部を貫通するが、第2酸化物層1010の上面まで延伸しない。ディープトレンチ分離構造(DTI)は三種類のバージョンを有する。DTIの第1バージョン(DTI1023A、DTI1023B及びDTI1023C)は半導体材料層1012全体を貫通し、下向きに第2酸化物層1010まで延伸する。DTIの第2バージョン(DTI1022A及びDTI1022C)は半導体材料層1012、第2酸化物層1010及びバイアスパッド材料層1008を貫通し、第1酸化物層1006まで下向きに延伸する。DTIの第3バージョン(DTI1024)は第2酸化物層1010から延伸してバイアスパッド材料層1008を貫通し、下向きに第1酸化物層1006に延伸する。DTI1024は、半導体材料層1012を貫通していない。DTI1024はトランジスタ1003の下に完全にある。DTI1024は低トランジスタ1003(即ちバイアスパッド材料層1008)を二つの分離されたバイアスパッド、すなわちバイアスパッド1008B及びバイアスパッド1008Cに分離する。第1セル1001Aにおいて、バイアス接触部材1018Bは第1ILD膜1014、半導体材料層1012及び第2酸化物層1010を延伸して貫通し、下向きにバイアスパッド1008Bまで延伸する。バイアス接触部材1018Cは第1ILD膜1014、半導体材料層1012及び第2酸化物層1010を延伸して貫通し、下向きにバイアスパッド1008Cまで延伸する。バイアスパッド1008Bとバイアスパッド1008CはDTI1024により互いに分離されかつ電気的に分離されるため、バイアスパッド1008Bとバイアスパッド1008Cはトランジスタ1003の異なる側の下で独立した電圧設定点を受信するように構成される。したがって、バイアスパッド1008BはPドープソースウェル1012Cに強い影響を与えるように配置され、かつバイアスパッド1008Cは電極Gの下方のドープドレインウェル1012及びN型ドープドリフト領域1012Bに強い影響を与えるように配置される。第2のセル1001Bにおけるバイアス接触部材1018Aは、第1のセル1001Aにおけるバイアス接触部材1018Bおよび1018Cおよびバイアスパッド1008Bおよび1008Cから独立した電圧設定点を受けるように配置されている。
図11A~図11Hは、実施例に係る製造工程中の集積回路の断面図である。
図11Aは、いくつかの実施例に係る製造工程中の集積回路1100の断面図である。集積回路1100において、第1セル1101Aはセル境界1102において第2セル1101Bと分離される。第1セル1101Aと第2セル1101Bの両方に対して、基板1104の上方に第1酸化物層1106を堆積する。第1酸化物層1106の堆積は方法140における操作142に対応し、前記のとおりである。第1のセル1101Aおよび第2のセル1101Bの両方の第1の酸化物層1106上には、バイアスパッド材料層1108が成膜されている。バイアスパッド材料層1108の堆積は方法140における操作146に対応し、前記のとおりである。第1のセル1101Aと第2のセル1101Bの両方におけるバイアスパッド材料層1108の上には、第2の酸化物層1110が堆積される。第2酸化物層の堆積は方法140における操作150の実行に対応し、前記のとおりである。第1のセル1101Aおよび第2のセル1101Bの両方の第2の酸化物層1110の上には、半導体材料層1112が成膜されている。半導体材料層1112の堆積は方法140における操作154の実行に対応し、前記のとおりである。酸化物層は例えば化学気相成長(CVD)の変形体により基板に堆積され、ここで、シラン(SiH4)と酸素分子が反応して基板の上面にSiO2膜を形成する。半導体材料層1112は、例えばCVDや、シラン(SiH4)やシリルハライド(例えばSiCl4、SiBr4等)の熱分解による膜のエピタキシャル成長により堆積する。バイアスパッド材料層1108は半導体材料の実施例において、半導体材料層1112を堆積するように堆積する。バイアスパッド材料層1108が金属含有層の実施例では、例えば金属原子を金属ターゲットから第1酸化物層1106上にスパッタリングすることによりトランジスタを基板1104中の電圧の厚さから保護することに適し、膜堆積を行う。
図11Bは、いくつかの実施例に係る製造工程中の集積回路1100の断面図である。図11Aに比べて、図11Bにおいて、パターン化材料層1113は既に半導体材料層1112の上方に堆積される。パターン化材料層1113は露出されかつ現像されることにより、その中に開口1123が形成される。開口1123の下方のブランケット式(blanket)層は既にエッチングされ、それによりディープトレンチ分離構造のための開口(溝)を形成し、これは以下に図11Cに対してさらに説明する。第1タイプの開口1121Aは半導体材料層1112を貫通し、かつ第2酸化物層1110の上面に停止する。短ディープトレンチ分離構造1122A(短DTI)は、第1種の開口1121Aを誘電体材料(例えば、シリカ)で充填することにより形成される。第2タイプの開口1121Bは半導体材料層1112、第2酸化物層1110及びバイアスパッド材料層1108を延伸して貫通して、分離されたバイアスパッドを形成する。第2のタイプの開口1121Bを誘電体材料で埋め込むことにより、長ディープトレンチ分離構造1122B(長DTI)が形成される。いくつかの実施例において、第2タイプの開口1121Bは下向きに第1酸化物層1106に延伸する。いくつかの実施例において、第2タイプの開口1121Bは下向きにバイアスパッド材料層1108に延伸するが、第1酸化物層1106に延伸しない。第2タイプの開口部1121Bの深さは、少なくとも、第2の酸化物層1110および/または半導体材料層のエッチング速度に対する、バイアスパッド材料層用のエッチングプロセスの選択性に関係する。いくつかの実施例において、第2タイプの開口1121Bの深さはさらに第2タイプの開口を形成するためのプロセス条件に関連し、それにより第2タイプの開口の輪郭を実現し、該輪郭は回路設計仕様でのセルの有効面積を減少させない。
図11Cは、本開示のいくつかの実施例に係る製造工程中の集積回路1100の断面図である。図11Bに比べて、図11Cは分離構造溝を含み、例えば、第1タイプの開口1121A及び第2タイプの開口1121Bのそれぞれは、それに誘電体材料が充填されて浅いDTI1122A及び深さDTI1122Bを形成する。誘電体材料で開口を充填した後、ウェハ表面を平坦化し(例えば化学機械研磨(CMP)により)、半導体材料層1112の異なる充填分離構造溝の間の上面を露出させる。
長DTI1122B等の長DTIは、バイアス用パッド同士を分離する。例えば、第2セル1101Bにおけるバイアスパッド1108Aとバイアスパッド1108Bはセル境界1102に跨って分離され、ここで、長DTI1122Bはセル境界1102に位置する。バイアスパッド1108Cは長DTIによりバイアスパッド1108Bから分離され、該長DTIはPMOSトランジスタ(又は第1トランジスタ1103A)とNMOSトランジスタ(又は第2トランジスタ1103B)を分離する。第2種の開口1121Bの他方は、バイアスパッド1108Cとバイアスパッド1108Dとを分離する。
図11Cにおいて、既に半導体材料層の上面の上方にパターン化材料層1121を添加する。パターン化材料層1121における一組の開口1123は、集積回路1100におけるシャロートレンチ分離構造(STI)の位置に対応する。パターン化材料部1121Aは、半導体材料層1112のアクティブエリア(activearea)1112Yをマスクする。パターン化材料部1121Bは、半導体材料層1112のアクティブエリア1112Zをマスクする。アクティブエリア1112Y及び1112Zは図11Cにおいてドープされず、ドーパントは半導体材料層1112にSTI(1120A-1120H)を形成した後に半導体材料層に添加されるためである。いくつかの実施例において、長DTIの頂部でのSTIがセルのアクティブエリアに沿って延伸する。したがって、図11Cにおいて、STI1120B及び1120Eは第1セル1101Aの断面図において異なるように見えるが、実際にはアクティブエリア1112Yの周辺を取り囲みかつバイアスパッド1108Bの周りに延びる単一のSTIである。同様に、DTI1122B及び112Eはアクティブエリア1112Yの周辺及びバイアスパッド1108Bを取り囲んで延伸する。同様に、バイアスパッド1108C及びアクティブエリア1112Zは単一のSTI(例えばSTI1120E及び1120Hで示される)及び単一の長DTI(例えばDTI1122D及び112Fに示す)で囲まれる(図6A、分離構造604、及び図6B、DTI662A及び662Cを参照する)。
STI1120A~1120Hは、半導体材料層の上面を酸化するように形成されている。いくつかの実施例において、酸素富化プラズマを使用して半導体材料層1112のパターン化材料層1113における開口1123内に位置する露出上部を酸化する。いくつかの実施例において、エッチングプロセスを実行することにより、開口1123の底部から半導体材料層1112の上部を除去し、かつ半導体材料層中の開口に誘電体材料が充填され、かつCMPプロセスにより平坦化して半導体材料層を露出させる。
図11Cに比べて、図11Dにおいて、アクティブエリア1112Y及び1112Zは既にP型及びN型ドープ原子を注入し、それによりアクティブエリアのためのドープ領域1112A-1112Fを形成する。第1トランジスタ1103A(PMOSトランジスタ)に対して、Pドープウェル1112AはPドープドリフト領域1112BによりNドープウェル1112Cと分離される。STI1120Dは、Pドープウェル1112Aの上面とPドープドリフト領域1112Bの上面とを分離する。STIは、Pドープドリフト領域1112Bの上面とNドープウェル1112Cの上面とを分離していない。第2トランジスタ1103B(NMOSトランジスタ)に対して、Nドープウェル1112DはNドープドリフト領域1112EによりPドープウェル1112Fから分離される。STI1120Fは、Nドープウェル1112Dの上面と、Nドープドリフト領域1112Eの上面とを分離する。STIは、Nドープドリフト領域1112Eの上面とPドープウェル1112Fの上面とを分離していない。半導体材料層1112中の二つの異なるウェルにドープ領域を添加して方法140における操作156の実行に対応し、前記のとおりである。いくつかの実施例において、操作156の一部のステップは以下のステップを含む:製造トランジスタ(例えば、第1トランジスタ1103A及び第2トランジスタ1103B)の一部として、ソース/ドレイン領域及び/又はLDD領域のためのドーパントを半導体材料層1112に添加し、以下のとおりである。
図11Eは、本開示のいくつかの実施例に係る集積回路1100の断面図である。図11Dに比べて、図11Eは第1トランジスタ1103Aにゲート電極114G1を形成し、第2トランジスタ1103Bにゲート電極1114G2を形成することを示す。半導体材料層1112及びSTI1120A-1120Hの上面の上方にブランケット式ゲート誘電体材料層(図示せず)を堆積し、かつゲート誘電体材料層(図示せず)の上方にゲート電極材料層(例えば、ポリシリコン、シリコンゲルマニウム等)を堆積することにより、ゲート電極1114G1及び1114G2を形成する。ゲート電極材料層の上方にパターン化材料層を堆積し、かつその上にパターンを転移し、次にエッチングプロセスによりゲート誘電体材料層及びゲート電極材料層の露出部分を除去することにより、半導体材料層1112及びSTI1120A-1120Hを露出させ、ゲート電極1114G1及び1114G2を残す。ゲート電極1114G1はSTI1120Dの上面の一部の上方に位置しかつPドープドリフト領域1112Bの上面の上方に位置し、及びNドープウェル1112Cの上面の一部の上方に延在する。ゲート電極1114G2は、STI1120Fの上面の一部の上方であって、Nドープドリフト領域1112Eの上面の上方、及び、Pドープウェル1112Fの上面の一部の上方に延在している。
図11Fは、本開示の実施例に係る製造工程中の集積回路1100の断面図である。図11Eに比べて、図11Fは第1セル1101AにLDD領域115A、1115B及び115Cを形成し、かつLDD領域115D、115E及び1115Fはゲート電極(例えば図11Dを参照して説明したゲート電極114G1及び114G2を参照する)を形成した後に第1セル1101Aに形成される。LDD領域はトランジスタのキャリア密度を向上させることを促進し、かつトランジスタ接触部材の着陸(landing)位置として用いられる。いくつかの実施例によれば、集積回路のLDD領域の追加は方法140における操作156の実行に対応する。いくつかの実施例において、複数のドーピング操作を用いてドーパントを半導体材料層に添加し、例えば、第1ドーパント添加操作にP型ドーパントを添加し、かつ第2ドーパント添加操作にN型ドーパントを添加する。いくつかの実施例において、注入プロセスにおけるドーパント原子のエネルギーを調整することによりドーパントを添加する。注入されたドーパント原子は、LDD領域が位置するドーピングウェルの上部に残存するように、比較的低い注入エネルギーが用いられる。例えば、LDD領域1115AはPドープウェル1112Aの上部に位置し、かつPドープドリフト領域1112Bエンティティと分離される。同様に、LDD領域115B及び115CはNドープウェル1112Cの上部領域に位置し、かつPドープドリフト領域1112Bエンティティと分離される。LDD領域1115AはP型ドープ分布を有し、それはPドープウェル1112Aより高いP型ドーパント濃度を有する。LDD115CはN型ドープ分布を有し、それはNドープウェル1112Cよりも大きいN型ドーパント濃度を有する。LDD115Bは正味のP型ドープ分布を有し、かつLDD115CとNドープウェル1112C内の一側に隣接する。LDD115Bはゲート電極114G1に近接するが、ゲート電極114G1の下方に延在していない。
LDD115DはNドープウェル1112Dの上部領域に位置し、かつLDD領域1115D外部のNドープウェル1112Dよりも高いN型ドーパント濃度を有する。LDD領域115Dは、Nドープドリフト領域1112Eと実体的に分離されている。Nドープドリフト領域はPドープウェル1112F中のLDD領域エンティティと分離される:LDD115E及び1115F。LDD115FはPドープウェル1112Fの上部領域でのPドープLDD領域であり、かつLDD115EはPドープウェル1112Fの上部領域中のLDD117Fに隣接する。LDD115Eは正味のN型ドープ分布を有し、かつPドープLDD115FとPドープウェル1112Fのゲート電極114G2の下方の部分及びゲート電極1114G2の直下のP/N接合を分離する。
ドーパントを半導体材料層中のソース/ドレイン領域又は一つ又は複数のLDD領域に添加する時、半導体材料層(及び半導体材料層を延伸して貫通するDTI)の上面の上方にパターン化材料層を堆積し、一つ又は複数のドープウェルのトランジスタのカバー領域(footprint)内の一部を露出する。ウェル1112AにLDD領域1115A等のLDD領域を添加する場合、注入プロセスにおいて追加のP型ドーパントを添加することにより、LDD領域内にP型ドーパントの局所密度を向上させる。ウェル1112CにLDD領域115Bを形成する場合、P型ドーパントを添加してまずウェル1112Cの頂部領域内の正味のN型ドーパントを残し、次にLDD領域115B内に余剰又は過剰のP型ドーパントを生成する。したがって、いくつかの実施例によれば、第1数量のP型ドーパントをLDD領域1115Aに添加し、かつ第2数量のP型ドーパントをLDD領域115Bに添加し、ここで、P型ドーパントの第2数量はP型ドーパントの第1数量よりも大きく、これはLDD領域が位置するウェルの組成が異なるためである。同様に、N形ドーパントを添加することにより第2トランジスタ1103Bのウェル1112FにLDD領域115Eを形成することに比べて、ドーパントをウェル1112Dの上部領域に位置するLDD領域115Dに添加することはより小さい総量のN型ドーパントを必要とする。ウェル1112CのLDD領域115Cは、ウェル1112Cの上部領域にN型のドーパントを添加することにより形成される。同様に、ウェル1112Fの上部領域にP型ドーパントを添加することによりウェル1112F内のLDD領域1115Fを形成する。
図11Gは、本開示のいくつかの実施例に係る製造工程中の集積回路1100の断面図である。図11Gは、図11Fと比較して、集積回路1100を通過した膜が積層された複数の接触部材を描いている。第1組の接触部材(バイアス接触部材1118A、バイアス接触部材1118B及びバイアス接触部材1118C)は第1ILD膜1114、半導体材料層1112及び第2酸化物層1110を延伸して貫通し、下向きにバイアスパッドまで延伸する。バイアス接触部材1118Aは、バイアスパッド1108Aと電気的に接続されている。バイアス接触部材1118Bは、バイアスパッド1108Bと電気的に接続されている。バイアス接触部材1118Cは、バイアスパッド1108Cと電気的に接続されている。バイアスパッド1108Bは、第1トランジスタ1103A全体の下方に位置している。バイアスパッド1108Cは、第2トランジスタの下に位置している。
第1トランジスタ1103Aのトランジスタ接触部材は、LDD領域1115Aに電気的に接続されたドレイントランジスタ1116Dと、ゲート電極114G1に電気的に接続されたゲート接触部材1116Gと、第1トランジスタ1103Aのウェル1112CにおけるLDD領域115B、1115Cに電気的に接続されたのソース接触部材1116S1と、を有している。第2トランジスタ1103Bはドレイントランジスタ1116D2を有し、それはNドープウェル1112D内の第1ILD膜1114及びLDD領域115Dを延伸して貫通する。ゲート接触部材1116G2は、第1ILD膜を貫通して、電極1114G2まで下方に延びている。ソース接触部材1116S2は、第1ILD膜1114を貫通して、ウェル1112F内のLDD領域115E及びLDD領域1115Fまで延在している。いくつかの実施例によれば、バイアス接触部材は傾斜接触部材の開口と充填接触部材の開口のための単独の操作で製造される。トランジスタ接触部材及びバイアス接触部材の形成は方法140における操作164の実行に対応し、前記のとおりである。
図11Hは、いくつかの実施例に係る集積回路1100の断面図である。図11Gに比べて、図11Hは接触部材の間の相互接続構造の第1部分を示す。導電配線1124Aは、第1のILD膜の上面において、第1のトランジスタ1103Aのバイアス接触部材1118Bからドレイン接触部材1116D1まで延在している。同様に、導電線1124Bは第2トランジスタ1103Bのソース接触部材1116S2からバイアス接触部材1118Cに延伸する。したがって、トランジスタの動作トリガキャリアはソーストランジスタとドレイントランジスタとの間のチャネル領域を介して移動し、かつトランジスタが動作する時、トランジスタの下方のバイアスパッドに電圧又は電荷を印加して記憶する。導電線1124A及び1124Bはブリッジとして機能し、集積回路内の付加的なトランジスタ又はロジック素子がない場合にバイアスパッドの操作を促進する。ILD層1117の上方に堆積されたILD層1119には、導電線1124A、1124Bが位置している。
図12A~図12Dは、いくつかの実施例に係る製造工程中の集積回路の断面図である。
図12Aは、本開示の実施例に係る集積回路1200の断面図である。集積回路1200において、第1酸化物層1206は基板1204の上方に堆積される。集積回路1200において、第1セル1201Aは第1酸化物層の薄化を行い、集積回路の第2セル1201Bはマスク材料層1205により第1酸化物層の薄化から保護される。第1の酸化物層1206の上面には、材料1205が堆積している必要がある。第1セル1201Aにおいて、第1上面部分1206T1及び第2上面部分1206T2はマスク材料1205の開口を介して露出する。第1の上面部1206T1は、第1のトランジスタ1203Aに対応する。第2の上面部1206T2は、第2のトランジスタ1203Bの下方の酸化物層の一部に相当する。エッチングプロセスを用いて第1表面部分1206T1及び第2上面部分1206T2をエッチングすることにより第1酸化物層の薄化を行い、例えば従来の方法140の選択可能な操作144に記載のとおりである。
図12Bは、いくつかの実施例に係る集積回路1220の断面図である。集積回路1220は、図12Aに示した集積回路1200と同様の構成および機能を有する素子と同一の識別番号を有する。次に、集積回路1220と集積回路1200との相違点について説明する。集積回路1220において、方法140の操作144に基づいて、第1トランジスタ1203Aのみが第1上面部分1206T1を露出させることにより第1酸化物の薄化を行い、前記のとおりである。第2のトランジスタ1203Bの第1の酸化物層1206は、マスク材1205によって第1の酸化物の薄膜化の影響から保護される。
図12Cは、本開示のいくつかの実施例に係る集積回路1240の断面図である。集積回路1240において、集積回路1200と類似する構造及び機能を有する素子は同じ識別番号を有する。第1の酸化物層1206の上には、バイアス用のパッド材料1208が堆積している。マスク材層1207は、バイアス用パッド材1208の層上に成膜されている。マスク材層1207の開口は、第1のトランジスタ1203Aおよび第2のトランジスタ1203Bのバイアス層材料部1208T1、1202T2に対応する。バイアスパッド材料層1208の薄化は、方法140における上記選択可能な動作148に従って行われる。一般的に、バイアスパッド材料層の薄化は水性エッチング剤を用いてエッチングするか、又はドライエッチングプロセス又はプラズマエッチングプロセスにより実行される。バイアス層の薄化に伴う化学反応と他の考え因子の更なる詳細については、従来方法140の操作148について説明した。
図12Dは、本開示の実施例に係る集積回路1260の断面図である。集積回路1260の集積回路1240と同様の構成または機能を有する素子は、同一の識別参照を有する。マスク材1207は、バイアスパッド材料層1208の上方に位置する。マスク材料1207は1203Bの断面領域におけるバイアスパッド材料層1208を覆うが、マスク材料1207における開口は第1トランジスタ1203Aのカバー領域におけるバイアス層材料部分1208T1を露出する。いくつかの実施例によれば、集積回路セルにおけるPMOSトランジスタの下方のバイアスパッド材料層が露出されて薄化を行い、大部分のトランジスタにおいて、それがマスク材料で保護される。いくつかの実施例において、NMOSトランジスタの下方のバイアスパッド材料層が露出されて薄化を行い、PMOSトランジスタがマスク材料で保護される。
本開示の一側面は、基板の上方に位置する埋め込み酸化物層と、埋め込み酸化物層の上方に位置し、複数のドープ領域を含む半導体材料層と、ゲート電極及び複数のドープ領域を含むトランジスタと、半導体材料層に位置する分離領域と、半導体材料層及びゲート電極の上方に位置する層間誘電体(ILD)材料と、ILD材料及び分離領域を延伸して貫通し、埋め込み酸化物層に達する第1バイアス接触部材と、第1バイアス接触部材を介して埋め込み酸化物層に電気的に接続される相互接続構造と、を備える半導体デバイスに関する。いくつかの実施例において、半導体デバイスは、埋め込み酸化物層に位置し、導電性材料を含む第1バイアスパッドを更に備える。いくつかの実施例において、第1バイアス接触部材は、分離構造により半導体材料層と分離され、前記分離構造は半導体材料層を延伸して貫通する。いくつかの実施例において、半導体デバイスは、埋め込み酸化物層内に位置する第2バイアスパッドを更に備え、第2バイアスパッドは、第2トランジスタと基板との間に位置し、第2バイアスパッドは、前記埋め込み酸化物層内でディープトレンチ分離構造(DTI)により前記第1バイアスパッドと電気的に分離され、前記第2バイアスパッドは、第2バイアス接触部材を介して集積回路の相互接続構造に電気的に接続される。いくつかの実施例において、半導体デバイスは、第2トランジスタと、前記第2トランジスタの下方に位置するバイアスパッドと、前記第2バイアスパッドに電気的に接続される第2バイアス接触部材と、更に備え、前記第1バイアスパッドは、第1バイアスパッドの厚さを有し、かつ前記第2バイアスパッドは、前記第1バイアスパッドの厚さよりも小さい第2バイアスパッドの厚さを有する。いくつかの実施例では、前記半導体材料層において、前記第1バイアス接触部材及び前記第2バイアス接触部材はそれぞれ前記半導体材料層における分離構造により前記半導体材料層と分離される。いくつかの実施例において、半導体デバイスは、前記ILD材料、前記半導体材料層及び前記埋め込み酸化物層を延伸して貫通し、前記基板に到達し、かつ前記ILD材料の上方の集積回路の相互接続構造に電気的に接続される第2バイアス接触部材を更に備える。半導体デバイスのいくつかの実施例において、第2バイアス接触部材はディープトレンチ分離構造(DTI)により半導体材料層と分離される。いくつかの実施例において、半導体デバイスは、分離領域を延伸して貫通する複数の柱型バイアス接触部材を更に備える。いくつかの実施例において、第1バイアス接触部材は、集積回路の分離領域を延伸して貫通しかつ第1バイアスパッドに電気的に接続されるバー型バイアス接触部材を更に備える。いくつかの実施例において、分離領域は集積回路の第1トランジスタ全体に沿って延伸する。いくつかの実施例において、第1バイアスパッドは相互接続構造により電圧源に電気的に接続される。
本開示の一側面は、基板の上方に位置する埋め込み酸化物層と、前記埋め込み酸化物層の上方に位置する半導体材料層と、前記半導体材料層に位置するソースウェル及びドレインウェルを有するトランジスタと、前記ソースウェルと前記基板との間の前記埋め込み酸化物層内に位置する第1バイアスパッドと、前記ドレインウェルと前記基板との間の前記埋め込み酸化物層内に位置する第2バイアスパッドと、第1ディープトレンチ分離構造(DTI)リングであって、前記第1バイアスパッドは、前記埋め込み酸化物層内で前記第1DTIリングに囲まれる第1ディープトレンチ分離構造DTIリングと、第2DTIリングであって、前記埋め込み酸化物層内で前記第2バイアスパッドを取り囲み、前記第1DTIリングと前記第2DTIリングは、中央DTIセグメントを共有する第2DTIリングと、を備える半導体デバイスに関する。いくつかの実施例において、第1バイアスパッドと第2バイアスパッドは異なる電圧を受信するように配置される。いくつかの実施例において、トランジスタは中央DTI部と層間誘電体(ILD)材料との間に位置する。
本開示のいくつかの側面は、埋め込み酸化物層の誘電体材料で第1バイアスパッドを取り囲むことと、前記第1バイアスパッドの上方の半導体材料層にドーパントを添加することと、前記半導体材料層の上面の上方にゲート誘電体材料及びゲート電極材料を堆積することと、前記半導体材料層の上方のゲート電極を分離するように、前記ゲート誘電体材料及び前記ゲート電極材料をエッチングすることと、前記ゲート電極と前記半導体材料層の上方に層間誘電体(ILD)材料を堆積することと、下向きに前記第1バイアスパッドに達する少なくとも一つのバイアス接触部材開口をエッチングすることと、バイアス接触部材材料で前記少なくとも一つのバイアス接触部材開口を充填することと、少なくとも一つのバイアス接触部材を前記半導体デバイスの相互接続構造に電気的に接続することと、を含む半導体デバイスの製造方法に関する。該方法のいくつかの実施例において、酸化物層を埋め込む誘電体材料で第1バイアスパッドを取り囲むことは、基板の上方に第1酸化物層を堆積することと、第1酸化物層の上方にバイアスパッド材料層を堆積することと、バイアスパッド材料層に第2酸化物層を堆積することと、第2酸化物層及びバイアスパッド材料層を貫通してディープトレンチ分離構造の開口をエッチングする操作と、誘電体材料でディープトレンチ分離構造の開口を充填し、ここで、ディープトレンチ分離構造は半導体材料層の一部を取り囲んで延伸する操作とにより第1バイアスパッドとバイアスパッド材料層の残りの部分を分離することと、を更に備える。いくつかの実施例において、半導体材料層にドーパントを添加することは、さらに、トランジスタのソースウェル及びドレインウェルにドーパントを添加することを含み、かつ基板接触部材開口をエッチングすることをさらに含み、該基板接触部材開口は第1ILD膜から基板に下向きに達し、又、導電性材料で基板接触部材開口を充填する。いくつかの実施例において、該方法はさらに、誘電体材料で埋め込み酸化物層内の第2バイアスパッドを囲み、該第2バイアスパッドは第1バイアスパッドとは異なるトランジスタの下方に位置することを備える。いくつかの実施例において、第1バイアスパッドは、第1バイアスパッドの厚さを有し、かつ第2バイアスパッドは第2バイアスパッドの厚さを有し、かつ該方法はさらに、第1バイアスパッドの厚さを第2バイアスパッドの厚さと異なるように修正することを備える。
以上はいくつかの実施例の特徴を概説し、それにより当業者は本開示の各態様をよりよく理解することができる。当業者であれば理解すべきことは、彼らは本開示を設計するか又は他のプロセス及び構造を修正することにより本明細書に紹介された実施例の同じ目的及び/又は本明細書に紹介された実施例の同じ利点の基礎を実現することができることを理解すべきである。当業者であれば、このような同等の構造は本開示の精神及び範囲から逸脱せず、かつそれらは本開示の精神及び範囲から逸脱することなく本明細書において様々な変更、置換及び変更を行うことができることを認識すべきである。
例1は、基板の上方に位置する埋め込み酸化物層と、前記埋め込み酸化物層の上方に位置し、複数のドープ領域を含む半導体材料層と、ゲート電極及び前記複数のドープ領域を含むトランジスタと、前記半導体材料層に位置する分離領域と、前記半導体材料層及び前記ゲート電極の上方に位置する層間誘電体(ILD)材料と、前記ILD材料及び前記分離領域を延伸して貫通し、前記埋め込み酸化物層に達する第1バイアス接触部材と、前記第1バイアス接触部材を介して前記埋め込み酸化物層に電気的に接続される相互接続構造と、を備える半導体デバイスである。
例2は、前記埋め込み酸化物層に位置し、導電性材料を含む第1バイアスパッドを更に備える例1に記載の半導体デバイスである。
例3は、前記埋め込み酸化物層内に位置する第2バイアスパッドを更に備え、前記第2バイアスパッドは、第2トランジスタと前記基板との間に位置し、前記第2バイアスパッドは、前記埋め込み酸化物層内でディープトレンチ分離構造(DTI)により前記第1バイアスパッドと電気的に分離され、前記第2バイアスパッドは、第2バイアス接触部材を介して前記半導体デバイスの相互接続構造に電気的に接続される例2に記載の半導体デバイスである。
例4は、前記半導体材料層において、前記第1バイアス接触部材及び前記第2バイアス接触部材はそれぞれ前記半導体材料層における分離構造により前記半導体材料層と分離される例3に記載の半導体デバイスである。
例5は、前記第1バイアスパッドは、前記相互接続構造により電圧源に電気的に接続される例2に記載の半導体デバイスである。
例6は、前記第1バイアス接触部材は、分離構造により前記半導体材料層と分離され、前記分離構造は前記半導体材料層を延伸して貫通する例1に記載の半導体デバイスである。
例7は、第2トランジスタと、前記第2トランジスタの下方に位置するバイアスパッドと、前記第2バイアスパッドに電気的に接続される第2バイアス接触部材と、更に備え、前記第1バイアスパッドは、第1バイアスパッドの厚さを有し、かつ前記第2バイアスパッドは、前記第1バイアスパッドの厚さよりも小さい第2バイアスパッドの厚さを有する例1に記載の半導体デバイスである。
例8は、前記ILD材料、前記半導体材料層及び前記埋め込み酸化物層を延伸して貫通し、前記基板に到達し、かつ前記ILD材料の上方の前記半導体デバイスの相互接続構造に電気的に接続される第2バイアス接触部材を更に備える例1に記載の半導体デバイスである。
例9は、前記第2バイアス接触部材はディープトレンチ分離構造(DTI)により前記半導体材料層と分離される例8に記載の半導体デバイスである。
例10は、前記分離領域を延伸して貫通する複数の柱型バイアス接触部材を更に備える例1に記載の半導体デバイスである。
例11は、前記分離領域は前記半導体デバイスの集積回路の第1トランジスタ全体に沿って延伸する例10に記載の半導体デバイスである。
例12は、前記第1バイアス接触部材はさらに、前記半導体デバイスの分離領域を延伸して貫通しかつ前記第1バイアスパッドに電気的に接続されるバー型バイアス接触部材を備える例1に記載の半導体デバイスである。
例13は、基板の上方に位置する埋め込み酸化物層と、前記埋め込み酸化物層の上方に位置する半導体材料層と、前記半導体材料層に位置するソースウェル及びドレインウェルを有するトランジスタと、前記ソースウェルと前記基板との間の前記埋め込み酸化物層内に位置する第1バイアスパッドと、前記ドレインウェルと前記基板との間の前記埋め込み酸化物層内に位置する第2バイアスパッドと、第1ディープトレンチ分離構造DTIリングであって、前記第1バイアスパッドは、前記埋め込み酸化物層内で前記第1DTIリングに囲まれる第1ディープトレンチ分離構造DTIリングと、第2DTIリングであって、前記埋め込み酸化物層内で前記第2バイアスパッドを取り囲み、前記第1DTIリングと前記第2DTIリングは、中央DTIセグメントを共有する第2DTIリングと、を備える半導体デバイスである。
例14は、第1電圧源及び第2電圧源を有し、ここで、前記第1バイアスパッドは前記第1電圧源に電気的に接続され、かつ前記第2バイアスパッドは前記第2電圧源に電気的に接続され、ここで、前記第1電圧源及び前記第2電圧源は異なる電圧を有する例13に記載の半導体デバイスである。
例15は、前記トランジスタは前記中央DTI部と層間誘電体(ILD)材料との間に位置する例13に記載の半導体デバイスである。
例16は、誘電体材料で第1バイアスパッドを取り囲むことと、前記第1バイアスパッドの上方の半導体材料層にドーパントを添加することと、前記半導体材料層の上面の上方にゲート誘電体材料及びゲート電極材料を堆積することと、前記半導体材料層の上方の第1ゲート電極を分離するように、前記ゲート誘電体材料及び前記ゲート電極材料をエッチングすることと、前記第1ゲート電極と前記半導体材料層の上方に層間誘電体(ILD)材料を堆積することと、下向きに前記第1バイアスパッドに達する少なくとも一つのバイアス接触部材開口をエッチングすることと、バイアス接触部材材料で前記少なくとも一つのバイアス接触部材開口を充填することと、少なくとも一つのバイアス接触部材を前記半導体デバイスの相互接続構造に電気的に接続することと、を含む半導体デバイスの製造方法である。
例17は、酸化物層を埋め込む誘電体材料で第1バイアスパッドを取り囲むことは、基板の上方に第1酸化物層を堆積することと、第1酸化物層の上方にバイアスパッド材料層を堆積することと、バイアスパッド材料層に第2酸化物層を堆積することと、第2酸化物層及びバイアスパッド材料層を貫通してディープトレンチ分離構造の開口をエッチングする操作と、誘電体材料でディープトレンチ分離構造の開口を充填し、ここで、ディープトレンチ分離構造は半導体材料層の一部を取り囲んで延伸する操作とにより第1バイアスパッドとバイアスパッド材料層の残りの部分を分離することと、を更に備える例16に記載の半導体デバイスの製造方法である。
例18は、半導体材料層にドーパントを添加することは、さらに、トランジスタのソースウェル及びドレインウェルにドーパントを添加することと、基板接触部材開口をエッチングし、該基板接触部材開口は第1ILD材料から基板に下向きに達することと、導電性材料で基板接触部材開口を充填することと、を備える例16に記載の半導体デバイスの製造方法である。
例19は、前記ゲート誘電体材料及び前記ゲート電極材料をエッチングすることはさらに前記半導体材料層の上方の第2ゲート電極を分離することを含み、かつ前記方法はさらに、誘電体材料で第2バイアスパッドを囲み、前記第2バイアスパッドは前記第2ゲート電極の下方に位置することとを含む例16に記載の半導体デバイスの製造方法である。
例20は、前記第1バイアスパッドは第1バイアスパッドの厚さを有し、かつ前記第2バイアスパッドは第2バイアスパッドの厚さを有し、かつ前記方法はさらに、前記第1バイアスパッドの厚さを前記第2バイアスパッドの厚さと異なるように修正することを備える例16に記載の半導体デバイスの製造方法である。


Claims (10)

  1. 基板の上方に位置する埋め込み酸化物層と、
    前記埋め込み酸化物層の上方に位置し、複数のドープ領域を含む半導体材料層と、
    ゲート電極及び前記複数のドープ領域を含むトランジスタと、
    前記半導体材料層に位置する分離領域と、
    前記半導体材料層及び前記ゲート電極の上方に位置する層間誘電体ILD材料と、
    前記ILD材料及び前記分離領域を延伸して貫通し、前記埋め込み酸化物層に達する第1バイアス接触部材と、
    前記第1バイアス接触部材を介して前記埋め込み酸化物層に電気的に接続される相互接続構造と、を備える半導体デバイス。
  2. 前記埋め込み酸化物層に位置し、導電性材料を含む第1バイアスパッドを更に備える請求項1に記載の半導体デバイス。
  3. 前記埋め込み酸化物層内に位置する第2バイアスパッドを更に備え、
    前記第2バイアスパッドは、第2トランジスタと前記基板との間に位置し、
    前記第2バイアスパッドは、前記埋め込み酸化物層内でディープトレンチ分離構造DTIにより前記第1バイアスパッドと電気的に分離され、
    前記第2バイアスパッドは、第2バイアス接触部材を介して前記半導体デバイスの相互接続構造に電気的に接続される請求項2に記載の半導体デバイス。
  4. 前記半導体材料層において、前記第1バイアス接触部材及び前記第2バイアス接触部材はそれぞれ前記半導体材料層における分離構造により前記半導体材料層と分離される請求項3に記載の半導体デバイス。
  5. 前記第1バイアスパッドは、前記相互接続構造により電圧源に電気的に接続される請求項2に記載の半導体デバイス。
  6. 前記第1バイアス接触部材は、分離構造により前記半導体材料層と分離され、前記分離構造は前記半導体材料層を延伸して貫通する請求項1に記載の半導体デバイス。
  7. 第2トランジスタと、前記第2トランジスタの下方に位置するバイアスパッドと、前記第2バイアスパッドに電気的に接続される第2バイアス接触部材と、更に備え、
    前記第1バイアスパッドは、第1バイアスパッドの厚さを有し、かつ前記第2バイアスパッドは、前記第1バイアスパッドの厚さよりも小さい第2バイアスパッドの厚さを有する請求項1に記載の半導体デバイス。
  8. 前記ILD材料、前記半導体材料層及び前記埋め込み酸化物層を延伸して貫通し、前記基板に到達し、かつ前記ILD材料の上方の前記半導体デバイスの相互接続構造に電気的に接続される第2バイアス接触部材を更に備える請求項1に記載の半導体デバイス。
  9. 基板の上方に位置する埋め込み酸化物層と、
    前記埋め込み酸化物層の上方に位置する半導体材料層と、
    前記半導体材料層に位置するソースウェル及びドレインウェルを有するトランジスタと、
    前記ソースウェルと前記基板との間の前記埋め込み酸化物層内に位置する第1バイアスパッドと、
    前記ドレインウェルと前記基板との間の前記埋め込み酸化物層内に位置する第2バイアスパッドと、
    第1ディープトレンチ分離構造DTIリングであって、前記第1バイアスパッドは、前記埋め込み酸化物層内で前記第1DTIリングに囲まれる第1ディープトレンチ分離構造DTIリングと、
    第2DTIリングであって、前記埋め込み酸化物層内で前記第2バイアスパッドを取り囲み、前記第1DTIリングと前記第2DTIリングは、中央DTIセグメントを共有する第2DTIリングと、を備える半導体デバイス。
  10. 誘電体材料で第1バイアスパッドを取り囲むことと、
    前記第1バイアスパッドの上方の半導体材料層にドーパントを添加することと、
    前記半導体材料層の上面の上方にゲート誘電体材料及びゲート電極材料を堆積することと、
    前記半導体材料層の上方の第1ゲート電極を分離するように、前記ゲート誘電体材料及び前記ゲート電極材料をエッチングすることと、
    前記第1ゲート電極と前記半導体材料層の上方に層間誘電体ILD材料を堆積することと、
    下向きに前記第1バイアスパッドに達する少なくとも一つのバイアス接触部材開口をエッチングすることと、
    バイアス接触部材材料で前記少なくとも一つのバイアス接触部材開口を充填することと、
    少なくとも一つのバイアス接触部材を前記半導体デバイスの相互接続構造に電気的に接続することと、を含む半導体デバイスの製造方法。





JP2021113150A 2020-07-07 2021-07-07 埋込バイアスパッドを有する半導体デバイス Pending JP2022014917A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202010644848.3 2020-07-07
CN202010644848.3A CN113451305A (zh) 2020-07-07 2020-07-07 具有掩埋偏置焊盘的半导体器件

Publications (1)

Publication Number Publication Date
JP2022014917A true JP2022014917A (ja) 2022-01-20

Family

ID=77103770

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021113150A Pending JP2022014917A (ja) 2020-07-07 2021-07-07 埋込バイアスパッドを有する半導体デバイス

Country Status (5)

Country Link
US (3) US11355518B2 (ja)
EP (1) EP3937242A1 (ja)
JP (1) JP2022014917A (ja)
CN (1) CN113451305A (ja)
TW (1) TWI747733B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230335355A1 (en) * 2018-12-27 2023-10-19 Texas Instruments Incorporated Liquid metal mems switch

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112997B1 (en) * 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US20060043428A1 (en) * 2004-08-27 2006-03-02 Kabushiki Kaisha Toshiba Semiconductor devices and optical semiconductor relay devices using same
JP4274113B2 (ja) * 2004-12-07 2009-06-03 セイコーエプソン株式会社 半導体装置の製造方法
JP4644577B2 (ja) * 2005-09-30 2011-03-02 セイコーエプソン株式会社 半導体装置および半導体装置の製造方法
JP2010103236A (ja) 2008-10-22 2010-05-06 Panasonic Corp 窒化物半導体装置
US9799675B2 (en) * 2014-04-02 2017-10-24 International Business Machines Corporation Strain engineering in back end of the line
US20210273006A1 (en) 2018-07-17 2021-09-02 Sony Corporation Imaging element and imaging device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230335355A1 (en) * 2018-12-27 2023-10-19 Texas Instruments Incorporated Liquid metal mems switch

Also Published As

Publication number Publication date
TWI747733B (zh) 2021-11-21
US20230395606A1 (en) 2023-12-07
TW202203400A (zh) 2022-01-16
US11355518B2 (en) 2022-06-07
US11973083B2 (en) 2024-04-30
CN113451305A (zh) 2021-09-28
EP3937242A1 (en) 2022-01-12
US20220013542A1 (en) 2022-01-13
US20220271058A1 (en) 2022-08-25

Similar Documents

Publication Publication Date Title
US9337304B2 (en) Method of making semiconductor device
US9589845B1 (en) Fin cut enabling single diffusion breaks
CN102738246B (zh) 具有金属栅电极的肖特基二极管及其形成方法
KR20140020712A (ko) 전계 효과 트랜지스터의 게이트 전극
US11532519B2 (en) Semiconductor device and method
US20230387246A1 (en) Methods of forming gate structures with uniform gate length
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
US20230395606A1 (en) Method of making semiconductor device having buried bias pad
US20220059411A1 (en) Method for fabricating semiconductor device with porous dielectric structure
US11302567B2 (en) Shallow trench isolation forming method and structures resulting therefrom
TW202217979A (zh) 半導體裝置
JP2022027717A (ja) ナノ-fet用のイオン注入
US20230163191A1 (en) Semiconductor Device and Method of Forming the Same
KR102571375B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US20240170341A1 (en) Semiconductor device and method of manufacture
US11322603B2 (en) Anti-punch-through doping on source/drain region
US20230155002A1 (en) Metal gate fin electrode structure and method
US20230352580A1 (en) Three-dimensional transistor device having conformal layer
TW202345221A (zh) 半導體裝置及其形成方法
TW202418584A (zh) 具有由埋入內間隔物隔開之雙隔離區的奈米片
TW202327088A (zh) 半導體結構
TW202230606A (zh) 半導體裝置
TWI521709B (zh) 半導體結構及積體電路之製造方法