JP2022027717A - ナノ-fet用のイオン注入 - Google Patents

ナノ-fet用のイオン注入 Download PDF

Info

Publication number
JP2022027717A
JP2022027717A JP2021125617A JP2021125617A JP2022027717A JP 2022027717 A JP2022027717 A JP 2022027717A JP 2021125617 A JP2021125617 A JP 2021125617A JP 2021125617 A JP2021125617 A JP 2021125617A JP 2022027717 A JP2022027717 A JP 2022027717A
Authority
JP
Japan
Prior art keywords
nanostructure
channel
region
nano
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021125617A
Other languages
English (en)
Inventor
育樟 林
Yu-Chang Lin
俊峰 聶
Chun-Feng Nieh
恵政 張
Huicheng Chang
育佳 楊
Yee-Chia Yeo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022027717A publication Critical patent/JP2022027717A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】最小素子サイズを小さくするデバイス、トランジスタ及びそれらの形成方法を提供する。【解決手段】ナノ電界効果トランジスタは、ナノ電界効果トランジスタの1つ又は複数のナノ構造55用のチャネル領域の両端にドープチャネル接合を含む。チャネル接合は、ソース/ドレイン領域92に凹部が製造されると同時に、リセスプロセスと注入プロセスを繰り返すことによって形成される。注入されたドープチャネル接合は、ドープチャネル接合の所望の横方向分散を達成するように制御される。【選択図】図1

Description

本願は、2020年7月31日に提出された米国仮出願第63/059、218号の優先権を主張しており、同願は全体として参照により本明細書に組み込まれる。
本発明は、ナノ-FET用のイオン注入に関する。
半導体デバイスは、例えばパーソナルコンピュータ、携帯電話、デジタルカメラや他の電子機器など、様々な電子用途で使用されている。半導体デバイスは、一般に、絶縁又は誘電体層、導電層、及び半導体材料層を半導体基板上に順次堆積し、フォトリソグラフィーを用いて各材料層をパターニングして回路部品及び要素を形成することによって製造される。
半導体工業は、最小素子サイズを継続的に小さくすることで各種電子部品(例えば、トランジスタ、ダイオード、抵抗器、コンデンサなど)の集積密度を高めつつあり、それにより、より多くの部品を特定の領域に集積することを可能とする。ただし、最小素子サイズを小さくするに伴い、解決すべき他の問題が生じる。
本開示の様々な態様は、添付の図面を参照して以下の詳細な説明から最も理解される。なお、業界での標準的な実用に応じて、様々な素子が縮尺に応じて作成されていない。実際には、議論を明確にするために、各素子のサイズを任意に増減させることがある。
いくつかの実施形態に係るナノ構造電界効果トランジスタ(ナノ‐FET又はナノシートFET)の一例の立体図を示す。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図であり、様々な実施形態に係る、注入領域の様々な配置をさらに示している。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。 いくつかの実施形態に係る、ナノ-FETの製造における中間段階の断面図である。
以下の開示は、提供される主題の様々な機能を実装するために、複数の異なる実施形態又は例を提供する。以下、本開示を簡略化するために、コンポーネントと配置の具体例を説明する。もちろん、これらは一例に過ぎず、これらに限定されることを意図していない。例えば、以下の説明において、第1の素子が第2の素子の上又は第2の素子に形成されるとは、第1の素子と第2の素子とが直接接触して形成されている実施形態を含んでもよいし、第1の素子と第2の素子とが直接接触しないように第1の素子と第2の素子との間に付加的な素子が形成されている実施形態を含んでもよい。また、本開示は、様々な例において、参照数字又は文字を繰り返してもよい。この繰り返しは、簡潔さ及び明確さのためのものであり、その自体が各種の実施形態及び/又は構成の間の関係を示すものではない。
さらに、「の下(beneath)」、「以下(below)」、「下部(lower)」、「の上(above)」、「上部(upper)」などの空間相対用語は、図示するようなある要素又は素子と別の要素又は素子との関係を説明する説明を容易にするために使用されてもよい。上記空間相対用語は、図に示された方向に加えて、使用又は操作されるデバイスの異なる方向を包含することを意図している。装置は他の方向(90度又は他の方向に回転され)で方向付けられてもよく、ここで使用される空間相対記述もそれに応じて解釈することができる。
実施形態は、ナノFETのチャネル領域をナノ注入イオンにより確実にドープできる方法を有利に提供する。上記ドーププロセスは、スタックチャネル領域の両端に横方向チャネル接合を提供し、それによりチャネル抵抗を低減させる。実施形態のプロセスは、ナノ-FETの各ナノ構造のチャネル接合幅をカスタマイズすることができる。上記ソース/ドレイン領域の後続の形成のために凹部を形成する際に、複数の凹部及び角度注入プロセスを使用して、上記トランジスタゲートの下のナノシートチャネルの端部にドーパントイオンを選択的に注入する。複数の凹部及び注入プロセスの使用は、上記チャネル接合の横方向の注入深さ又は横方向分散を制御する能力を提供する。
図1は、いくつかの実施形態に係るナノ-FET(例えば、ナノワイヤFET、ナノシートFETなど)の一例の立体図を示す。上記ナノ-FETは、基板50(例えば、半導体基板)のフィン66上のナノ構造55(例えば、ナノシート、ナノワイヤなど)を備え、上記ナノ構造55は上記ナノ-FETのチャネル領域として機能する。上記ナノ構造55は、p型ナノ構造、n型ナノ構造、又はそれらの組み合わせを含んでもよい。分離領域68は、隣接するフィン66の間に配置され、上記フィン66は、隣り合う分離領域68の間の上に又は分離領域68の間から突出可能である。本明細書で説明されているように上記分離領域68は上記基板50から分離されて記載/図示されているが、「基板」という用語は、個々の半導体基板、又は半導体基板と分離領域との組み合わせを意味することができる。さらに、上記フィン66の底部が、上記基板50を有する単一の連続した材料として図示されているが、上記フィン66及び/又は上記基板50の底部は、単一の材料又は複数の材料を含むことができる。この文脈では、上記フィン66とは、隣り合う分離領域68の間に延在する部分を指す。
ゲート誘電体層100は、上記フィン66の上面の上に位置し、上記ナノ構造55の上面、側壁及び底面に沿っている。ゲート電極102は、上記ゲート誘電体層100の上に位置する。エピタキシャルソース/ドレイン領域92は、上記ゲート誘電体層100と上記ゲート電極102の反対側でのフィン66に配置される。
図1は、後の図で使用される参照断面をさらに示している。断面A-A’は、ゲート電極98の縦軸に沿っており、例えばナノ-FETのエピタキシャルソース/ドレイン領域92間の電流の流れ方向に垂直な方向に沿っている。断面B-B’は、断面A-A’に垂直であり、ナノ-FETのフィン66の縦軸に平行であり、例えば上記ナノ-FETのエピタキシャルソース/ドレイン領域92間の電流方向に沿っている。断面C-C’は、断面A-A’に平行であり、上記ナノ-FETのエピタキシャルソース/ドレイン領域を貫通して延びている。以下の図では、わかりやすくするためにこれらの参照断面を参照する。
本明細書で議論されるいくつかの実施形態は、ゲートラストプロセスを使用して形成されるナノ-FETの文脈で議論される。他の実施形態では、ゲートファーストプロセスを使用することができる。さらに、いくつかの実施形態は、フィン型電界効果トランジスタ(FinFET)で使用される態様を考慮する。
図2~26Cは、いくつかの実施形態に係るナノ-FETの製造の中間段階の断面図である。図2~図5、図6A、図19A、図20A、図21A、図22A、図23A、図24A、図25A、及び図26Aは、図1に示す参照断面A-A’を示している。図6B、7B、8B、9B、10B、11B、12B、13B、14B、15A、15B、15C、15D、15E、15F、15G、15H、15I、15J、15K、15L、15M、15N、15O、15P、15Q、15R、15S、15T、15U、16B、17B、17C、18B、18D、19B、20B、21B、22B、23B、24B、25B、及び26Bは、図1に示す参照断面B-B’を示している。図7A、8A、9A、10A、11A、12A、13A、14A、16A、17A、18A、18C、19C、24C、25C及び26Cは、図1に示す参照断面C-C’を示している。
図2では、基板50が提供されている。上記基板50は、例えば、バルク半導体、絶縁体上半導体(SOI)基板などの半導体基板であってもよく、(例えば、p型又はn型ドーパントで)ドープされていてもよく、又はアンドープされていてもよい。上記基板50は、シリコンウエハなどのウエハであってもよい。一般に、SOI基板は、絶縁体層上に形成された半導体材料の層である。上記絶縁体層は、例えば、注入酸化物(BOX)層、酸化シリコン層などであってもよい。上記絶縁体層は、基板、典型的にはシリコン基板又はガラス基板上に提供される。多層基板又は傾斜基板のような他の基板も使用することができる。いくつかの実施形態では、上記基板50の半導体材料は、シリコン;ゲルマニウム;炭化ケイ素、ヒ化ガリウム、リン化ガリウム、リン化インジウム、ヒ化インジウム、及び/又はアンチモン化インジウムを含む化合物半導体;シリコンゲルマニウム、ガリウム砒素リン、アルミニウムインジウム砒素、アルミニウムガリウム砒素、ガリウムインジウム砒素、リン化ガリウムインジウム、及び/又はリン化ガリウムインジウム砒素を含む合金半導体;又はこれらの組み合わせを含んでもよい。
上記基板50は、n型領域50Nとp型領域50Pとを有する。上記n型領域50Nは、n型ナノ-FETなどNMOSトランジスタのようなn型デバイスを形成するために使用することができ、上記p型領域50Pは、p型ナノ-FETなどPMOSトランジスタのようなp型デバイスを形成するために使用することができる。上記n型領域50Nは、(ディバイダ20によって示されるように)p型領域50Pから物理的に分離されてもよく、任意の数のデバイス素子(例えば、他の活性デバイス、ドープ領域、分離構造など)は、上記n型領域50Nと上記p型領域50Pとの間に配置することができる。1つのn型領域50Nと1つのp型領域50Pとが示されているが、n型領域50Nとp型領域50Pとは任意の数で提供されてもよい。
さらに図2では、多層積層体64が上記基板50上に形成されている。上記多層積層体64は、第1半導体層51A~C(第1半導体層51と総称)と第2半導体層53A~C(第2半導体層53と総称)との交互層を含む。図示のために、以下でより詳細に説明するように、上記第2半導体層53は除去され、上記第1半導体層51はパターン化されて上記p型領域50P内にナノ-FETのチャネル領域を形成する。さらに、上記第1半導体層51は除去され、上記第2半導体層53はパターン化されて、上記n型領域50N内にナノ-FETのチャネル領域を形成する。でも、いくつかの実施形態では、上記第1半導体層51は除去され、上記第2半導体層53はパターン化されて、上記n型領域50N内にナノ-FETのチャネル領域を形成し、上記第2半導体層53は除去され、上記第1半導体層51はパターン化されて、上記p型領域50P内にナノ-FETのチャネル領域を形成してもよい。他の実施形態では、上記第1半導体層51は除去され、上記第2半導体層53はパターン化されて、上記n型領域50N及び上記p型領域50Pの両方内にナノ-FETのチャネル領域を形成してもよい。他の実施形態では、上記第2半導体層53は除去され、上記第1半導体層51はパターン化されて、上記n型領域50N及び上記p型領域50Pの両方内にナノ-FETのチャネル領域を形成してもよい。
上記多層積層体64は、図示のために、上記第1半導体層51と上記第2半導体層53とをそれぞれ3層含むものとして示されている。いくつかの実施形態では、上記多層積層体64は、任意の数の上記第1半導体層51及び上記第2半導体層53を含んでもよい。上記多層積層体64の各層は、化学気相堆積(CVD)、原子層堆積(ALD)、気相エピタキシー(VPE)、分子線エピタキシー(MBE)などのプロセスを用いてエピタキシャル成長することができる。種々の実施形態では、上記第1半導体層51は、シリコンゲルマニウム、純ゲルマニウムなどのp型ナノ-FETに適した第1半導体材料で形成され、上記第2半導体層53は、シリコン、シリコンカーボン、シリコンリンなどのn型ナノ-FETに適した第2半導体材料で形成されてもよい。いくつかの実施形態では、上記n型ナノ-FET及び上記p型ナノ-FETの両方に同様の材料を用いてもよい。上記多層積層体64は、図示のために、p型ナノ-FETに適した最下層半導体層を有するものとして示されている。いくつかの実施形態では、多層積層体64は、上記最下層がn型ナノ-FETに適した半導体層であるように形成されてもよい。
上記第1半導体材料と上記第2半導体材料は、互いに高いエッチング選択性を有する材料であってもよい。これにより、上記n型領域50Nにおける上記第2半導体材料からなる第2半導体層53を大きく除去することなく上記第1半導体材料からなる第1半導体層51を除去することができ、それにより、上記第2半導体層53をパターン化してn型ナノ-FETのチャネル領域を形成することを可能とする。同様に、上記第1半導体材料からなる第1半導体層51を大きく除去することなく、上記第2半導体材料からなる第2半導体層53を除去することができ、それにより、上記第1半導体層51をパターン化してp型ナノ-FETのチャネル領域を形成することを可能とする。
図3を参照して、いくつかの実施形態によれば、フィン66が上記基板50内に形成され、ナノ構造55が上記多層積層体64内に形成される。いくつかの実施形態では、上記ナノ構造55及び上記フィン66はそれぞれ、上記多層積層体64及び上記基板50内にトレンチをエッチングすることにより上記多層積層体64及び上記基板50内に形成されてもよい。上記エッチングは、反応性イオンエッチング(RIE)、ニュートラルビームエッチング(NBE)などの任意の許容可能なエッチングプロセス、又はこれらの組み合わせであってもよい。上記エッチングは異方性であってもよい。上記多層積層体64をエッチングすることにより上記ナノ構造55を形成することにより、上記第1半導体層51から第1ナノ構造52A~C(第1ナノ構造52と総称)を画定し、上記第2半導体層53から第2ナノ構造54A~C(第2ナノ構造54と総称)を画定することができる。上記第1ナノ構造52及び上記第2ナノ構造54はさらに、ナノ構造55と総称してもよい。
上記フィン66及び上記ナノ構造55は、任意の適切な方法でパターニングされ得る。例えば、上記フィン66及び上記ナノ構造55は、ダブルパターニング又はマルチパターニングプロセスを含む1つ又は複数のフォトリソグラフィープロセスを用いてパターニングされてもよい。一般に、ダブルパターニング又はマルチパターニングプロセスは、フォトリソグラフィーと自己整列のプロセスを組み合わせて、例えば単一の直接フォトリソグラフィープロセスを使用して得られるピッチよりも小さいピッチのパターンを作成することを可能にする。例えば、一実施形態では、基板上に犠牲層が形成され、フォトリソグラフィープロセスを用いてパターニングされる。自己整列プロセスを用いて、パターン化犠牲層の隣にスペーサが形成される。その後、上記犠牲層は除去され、残りのスペーサは上記フィン66をパターニングすることに用いられ得る。
図3は、図示のために、上記n型領域50Nと上記p型領域50P内のフィン66の幅が略同一であることを示している。いくつかの実施形態では、上記n型領域50N内のフィン66の幅は、上記p型領域50P内のフィン66の幅よりも大きく、又は薄くてもよい。さらに、上記フィン66及び上記ナノ構造55の各々は、一貫した幅を有するように示されているが、他の実施形態では、上記フィン66及び/又は上記ナノ構造55は、上記基板50に向かう方向に沿って各フィン66及び/又はナノ構造55の幅が連続的に増大するようにテーパ状の側壁を有してもよい。これらの実施形態では、各上記ナノ構造55は、異なる幅を有し、形状が台形であってもよい。
図4では、シャロートレンチ分離(STI)領域68が上記フィン66に隣接して形成されている。上記STI領域68は、上記基板50、上記フィン66及び上記ナノ構造55上に、及び隣接するフィン66の間に絶縁材料を堆積することによって形成することができる。上記絶縁材料は、酸化ケイ素などの酸化物、窒化物など、又はそれらの組み合わせであってもよく、高密度プラズマCVD(HDP-CVD)、流動性CVD(FCVD)など、又はそれらの組み合わせによって形成されてもよい。任意の許容可能なプロセスによって形成されるその他の絶縁材料も利用可能である。示される実施形態では、上記絶縁材料は、FCVDプロセスによって形成された酸化シリコンである。上記絶縁材料が形成された後、アニールプロセスを行うことができる。一実施形態では、上記絶縁材料は、余分な絶縁材料が上記ナノ構造55を覆うように形成される。上記絶縁材料が単一の層として図示されているが、いくつかの実施形態は複数の層を利用してもよい。例えば、いくつかの実施形態では、上記基板50、上記フィン66、及び上記ナノ構造55の表面に沿って最初にライナーを形成することができる(別途記載されていない)。その後、上記のような充填材をライナー上に形成することができる。
その後、除去プロセスを上記絶縁材料に適用して、上記ナノ構造55上の余分な絶縁材料を除去する。いくつかの実施形態では、化学機械研磨(CMP)、エッチバックプロセス、及びこれらの組み合わせなどの平坦化プロセスを利用することができる。上記平坦化プロセスは上記ナノ構造55を露出させ、上記平坦化プロセスの終了後に上記ナノ構造55の上面と上記絶縁材料とが水平になるようにする。
その後、上記絶縁材料をリセスして上記STI領域68を形成する。上記n型領域50N及びn型領域50P内のフィン66の上部が、隣り合うSTI領域68の間から突出するように上記絶縁材料がリセスされている。さらに、上記STI領域68の上面は、図示のように平面、凸面、凹面(例えば傾斜面)、又はこれらの組み合わせを有してもよい。上記STI領域68の上面は、適当なエッチングにより平坦、凸状、及び/又は凹状に形成してもよい。上記STI領域68は、例えば、上記絶縁材料の材料に対して選択性を有する(例えば、上記フィン66及び上記ナノ構造55の材料よりも速い速度で上記絶縁材料をエッチングする)など、許容可能なエッチングプロセスを用いてリセスされてもよい。例えば、酸化物は、例えば、希釈したフッ化水素(dHF)酸を用いて除去することができる。
図2~図4に関して上述したプロセスは、上記フィン66及び上記ナノ構造55の形成方法の一例に過ぎない。いくつかの実施形態では、上記フィン66及び/又は上記ナノ構造55は、マスク及びエピタキシャル成長プロセスを使用して形成することができる。例えば、上記基板50の上面に誘電体層を形成することができ、この誘電体層を介してトレンチをエッチングして下層の基板50を露出させることができる。エピタキシャル構造をトレンチ内にエピタキシャル成長させることができ、上記エピタキシャル構造が上記誘電体層から突出して上記フィン66及び/又は上記ナノ構造55を形成するするように上記誘電体層をリセスすることができる。上記エピタキシャル構造は、上述した交互半導体材料、例えば、上記第1半導体材料及び上記第2半導体材料を含んでもよい。上記エピタキシャル構造がエピタキシャル成長されるいくつかの実施形態では、エピタキシャル成長された材料は、成長中にその場でドープされてもよく、それにより、その場ドープと注入ドープとを併用することができるが、前注入及び/又は後注入を回避することができる。
さらに、図示だけを目的として、上記第1半導体層51(及び生成された第1ナノ構造52)及び上記第2半導体層53(及び生成された第2ナノ構造54)については、ここで上記p型領域50P及び上記n型領域50Nに同一材料を含むように図示、説明する。従って、いくつかの実施形態では、上記第1半導体層51及び上記第2半導体層53の一方又は両方は、上記p型領域50P及び上記n型領域50Nにおいて異なる材料で形成したり、異なる順序で形成したりすることができる。
さらに、図4では、上記フィン66、上記ナノ構造55、及び/又は上記STI領域68内に適切なウェル(個別に示されていない)を形成することができる。異なるウェルタイプを有する実施形態では、上記n型領域50N及び上記p型領域50P用の異なる注入ステップは、フォトレジスト又は他のマスク(別個に示されていない)を使用して達成され得る。例えば、上記n型領域50N及び上記p型領域50Pのうち、上記フィン66及び上記STI領域68上にフォトレジストを形成することができる。上記フォトレジストはターニングされて上記p型領域50Pを露出させる。上記フォトレジストはスピンオン技術を用いて形成することができ、許容可能なフォトリソグラフィー技術を用いてパターニングすることができる。上記フォトレジストがパターニングされた後、上記p型領域50Pにn型不純物注入が行われ、上記フォトレジストはマスクとして機能し上記n型領域50Nへのn型不純物注入を実質的に防止することができる。上記n型不純物は、リン、ヒ素、アンチモンなどであってもよく、約1013原子/cmから約1014原子/cmの濃度でこの領域に注入されている。注入後、上記フォトレジストは、例えば許容可能なアッシングプロセスによって除去される。
上記p型領域50Pの注入後又は注入前に、上記p型領域50P及び上記n型領域50N内のフィン66、ナノ構造55及びSTI領域68の上にフォトレジスト又は他のマスク(別途図示せず)を形成する。上記フォトレジストはパターニングされて、上記n型領域50Nを露出させる。上記フォトレジストはスピンオン技術を用いて形成することができ、受容可能なフォトリソグラフィー技術を用いてパターニングすることができる。上記フォトレジストがパターニングされた後、n型領域50Nにp型不純物を注入することができ、上記p型領域50Pはマウスとして機能し、p型領域へのp型不純物の注入を実質的に防止することができる。上記p型不純物はホウ素、フッ化ホウ素、インジウムなどであってもよく、約1013原子/cmから約1014原子/cmの濃度でこの領域に注入されている。注入後、上記フォトレジストは、例えば許容可能なアッシングプロセスによって除去され得る。
上記n型領域50N及び上記p型領域50Pの注入後に、注入損傷を修復し、注入されたp型及び/又はn型不純物を活性化するためにアニールを行うことができる。いくつかの実施形態では、上記エピタキシャルフィンの成長材料は、成長中にその場でドープされてもよく、それにより、その場ドープと注入ドープとを併用することができるが、注入を回避することができる。
これらの注入は、その後上記p型ナノ-FET及び上記n型ナノ-FETのチャネル領域となるナノ構造55の領域に不純物を注入することを含んでもよい。なお、これらの注入は、ナノ構造55全体及びその後に形成されるチャネル領域において実質的に均一であり得る。逆に、本明細書に記載された実施形態は、接合中のドーパント濃度を増加させ、チャネル抵抗をさらに減少させるために、上記チャネル領域に接合を形成する方法を提供する。詳細は以下に説明する。
図5では、ダミー誘電体層70が上記フィン66及び/又は上記ナノ構造55に形成されている。上記ダミー誘電体層70は、例えば、酸化シリコン、窒化シリコン、これらの組み合わせなどであってもよく、許容可能な技術に従って堆積又は熱成長されてもよい。ダミーゲート層72が上記ダミー誘電体層70上に形成され、マスク層74が上記ダミーゲート層72上に形成される。上記ダミーゲート層72は、上記ダミー誘電体層70上に堆積され、その後、例えばCMPによって平坦化されてもよい。上記マスク層74は、上記ダミーゲート層72上に堆積されてもよい。上記ダミーゲート層72は、導電性又は非導電性の材料であってもよく、アモルファスシリコン、多結晶シリコン(ポリシリコン)、多結晶シリコン-ゲルマニウム(poly-SiGe)、金属窒化物、金属ケイ化物、金属酸化物、及び金属を含む群から選択されてもよい。上記ダミーゲート層72は、物理気相堆積(PVD)、CVD、スパッタリング堆積、又は選択された材料を堆積するための他の技術によって堆積することができる。上記ダミーゲート層72は、分離領域のエッチングから高いエッチング選択性を有する他の材料から構成されてもよい。上記マスク層74は、例えば、窒化シリコン、酸窒化シリコンなどを含むことができる。この例では、上記n型領域50N及び上記p型領域50Pにわたって1層の上記ダミーゲート層72及びマスク層74が形成されている。なお、図示だけを目的として、上記ダミー誘電体層70は上記フィン66及び上記ナノ構造55のみを覆うように示されている。いくつかの実施形態では、上記ダミー誘電体層70は、上記ダミー誘電体層70が上記STI領域68を覆うように堆積されてもよく、それにより、上記ダミー誘電体層70は上記ダミーゲート層72と上記STI領域68との間で延在している。
図6A~26Cは、一実施形態のデバイスの製造における様々な追加ステップを示している。図7A、8A、14A、16A、17A、18A、18C、19A、19C、20A、21A、24C、25C及び26Cは、上記n型領域50N又は上記p型領域50Pのいずれかの特徴を示している。図6A及び図6Bにおいて、上記マスク層74(図5参照)は、許容可能なフォトリソグラフィー及びエッチング技術を用いてパターニングされて、マスク78を形成することができる。その後、上記マスク78のパターンを上記ダミーゲート層72及び上記ダミー誘電体層70に転写して、上記ダミーゲート76及び上記ダミーゲート誘電体71をそれぞれ形成することができる。上記ダミーゲート76は、フィン66の各チャネル領域を覆う。上記マスク78のパターンを用いて各ダミーゲート76を隣接するダミーゲート76から物理的に分離することができる。上記ダミーゲート76は、各フィン66の長手方向に対して実質的に垂直な長手方向を有していてもよい。
図7A及び図7Bでは、第1スペーサ層80及び第2スペーサ層82がそれぞれ図6A及び図6Bに示す構造上に形成されている。その後、上記第1スペーサ層80及び上記第2スペーサ層82はパターニングされて、自己整列ソース/ドレイン領域を形成するためのスペーサとして機能する。図7A及び図7Bでは、上記STI領域68の上面;上記フィン66、上記ナノ構造55及びマスク78の上面及び側壁;上記ダミーゲート76及び上記ダミーゲート誘電体71の側壁に上記第1スペーサ層80が形成されている。上記第2スペーサ層82は、上記第1スペーサ層80上に堆積される。上記第1スペーサ層80は、熱酸化又はCVD堆積、ALD堆積などの技術を用いて、酸化シリコン、窒化シリコン、酸化窒化シリコンなどから形成されてもよい。上記第2スペーサ層82は、上記第1スペーサ層80とエッチングレートが異なる材料、例えば酸化シリコン、窒化シリコン、酸窒化ケイ素などで形成してもよく、CVD、ALDなどにより堆積されてもよい。
上記第1スペーサ層80の形成後であって、上記第2スペーサ層82の形成前に、低濃度ドープソース/ドレイン(LDD)領域(別途図示せず)に対する注入を行うことができる。異なるデバイスタイプの実施形態では、上述の図4で論じた注入の実施形態と同様に、上記p型領域50Pを露出させながら、上記p型領域50P上にマスクを形成することができ、上記p型領域50P内の露出したフィン66及びナノ構造55に適切なタイプ(例えば、p型)の不純物を注入することができる。上記マスクはその後除去することができる。その後、上記n型領域50Nを露出させた状態で上記p型領域50P上にフォトレジストなどのマスクを形成し、上記n型領域50N内の露出したフィン66及びナノ構造55に適当なタイプの不純物(例えばn型)を注入することができる。上記マスクはその後除去することができる。上記n型不純物は、前述したn型不純物のいずれであってもよく、一方、上記p型不純物は、前述したp型不純物のいずれであってもよい。低濃度ドープソース/ドレイン領域は、約1×1015原子/cmから約1×1019原子/cmの範囲の不純物濃度を有してもよい。アニールは、注入損傷を修復し、注入の不純物を活性化するために使用することができる。
図8A及び図8Bでは、上記スペーサ層80及び上記第2スペーサ層82がエッチングされて、第1スペーサ層81及び第2スペーサ層83を形成する。以下でより詳細に説明するように、上記第1スペーサ81及び第2スペーサ83は、後に形成されるソースドレイン領域を自己整列するとともに、後の処理の間に上記フィン66及び/又は上記ナノ構造55の側壁を保護するために機能する。上記第1スペーサ層80及び上記第2スペーサ層82は、等方性エッチングプロセス(例えば、ウェットエッチングプロセス)、異方性エッチングプロセス(例えば、ドライエッチングプロセス)など、適切なエッチングプロセスを用いてエッチングすることができる。いくつかの実施形態では、上記第2スペーサ層82の材料は、上記第1スペーサ層80の材料とは異なるエッチングレートを有するので、上記第2スペーサ層82をパターニングする際に、上記第1スペーサ層80はエッチストップ層として機能し、上記第1スペーサ層80をパターニングする際に、上記第2スペーサ層82はマスクとして機能する。例えば、図8Aに示すように、上記第2スペーサ層82は異方性エッチングプロセスを用いてエッチングされてもよく、このようなプロセスでは、上記第1スペーサ層80はエッチストップ層として機能し、上記第2スペーサ層82の残りの部分は第2スペーサ層83を形成する。その後、図8Aに示すように、上記第2スペーサ層83は上記第1スペーサ層80の露出部分をエッチングするときにマスクとして機能し、それにより第1スペーサ層81を形成する。
図8Aに示すように、上記フィン66及び/又は上記ナノ構造55の側壁に上記第1スペーサ層81及び上記第2スペーサ層83が配置されている。図8Bに示すように、いくつかの実施形態では、上記マスク78、上記ダミーゲート76、及び上記ダミーゲート誘電体71に隣接する上記第1スペーサ層80の上から除去することができ、上記第1スペーサ層81は、上記マスク78、上記ダミーゲート76、及び上記ダミー誘電体層70の側壁に配置される。他の実施形態では、上記第2スペーサ層82の一部は、上記マスク78、上記ダミーゲート76、及びダミーゲート誘電体71に隣接する第1スペーサゲート層80の上に保持されてもよい。
なお、上述した開示は、一般に、スペーサ及びLDD領域を形成するプロセスを説明している。他のプロセス及び順序を使用することもできる。例えば、より少ない又は追加のスペーサを利用することができ、異なるステップの順序を利用することができ(例えば、上記第1スペーサ81は、上記第2スペーサ層82を堆積する前にパターニングすることができる)、追加のスペーサは形成及び除去することができ、及び/又はこのようなことが可能である。さらに、n型デバイスとp型デバイスとは異なる構造及びステップを用いて形成することができる。
図9A、9B、10A、10B、11A、11B、12A、12B、13A、13B、14A及び14Bにおいて、一連の注入及びリセスステップは、上記ナノ-FETのチャネル領域となる箇所にイオンを注入するために実施され、イオンを注入することにより、チャネル接合が形成され、このチャネル接合は、チャネル抵抗を低減させ、その後に形成されるソース/ドレイン領域とチャネル領域との間の抵抗を低減させることができる。言い換えれば、上記チャネル接合は、後に形成されるソース/ドレイン領域と上記チャネル領域との間の界面間の抵抗を低減するために使用される。
異なるタイプデバイスの実施形態では、上記n型領域50N内のデバイスは、上記p型領域50P内のデバイスとは別個に処理することができる。図4で説明した注入と同様に、上記p型領域50Pを露出させた状態で、上記p型領域50Nの上にフォトレジストなどのマスクを形成することができる。次に、上記p型領域50Pに図14A及び図14Bの第1凹部86が形成されるまで、上記p型領域50Pに一連の注入及びリセスステップを行うことができる。上記マスクはその後除去することができる。その後、上記n型領域50Nを露出させた状態で、上記p型領域50P上にフォトレジスト等のマスクを形成することができる。その後、上記n型領域50Nに図14A及び図14Bの第1凹部86が形成されるまで、上記n型領域50Nに一連の注入及びリセスステップを行うことができる。上記マスクはその後除去することができる。いくつかの実施形態では、上記n型領域50Nは処理されて図14A及び図14Bの凹部86を形成し、次いで上記p型領域50Pは処理されてもよい。このプロセスを用いると、1つのマスクを使用して、別のデバイス領域を保護しながら、1つのデバイス領域を処理することができる。他の実施形態は、他のシークエンシングを利用して上記n型領域50N及び上記p型領域50Pを処理してもよく、例えば、複数のマスクを用いて第1ナノ構造52及び/又は第2ナノ構造54のグループを一緒に処理することを含む。
図9A及び図9Bは、上記n型領域50Nへの注入プロセス59N及び上記p型領域50Pへの注入プロセス59Pを示している。使用されるn型不純物は、上述した任意のn型不純物であってもよく、ヒ素、リン及びアンチモンを含むことができる。使用されるp型不純物は、上述した任意のp型不純物であってもよく、ホウ素、BF、インジウム、及びガリウムを含むことができる。
上記注入プロセス59N及び上記注入プロセス59Pは、例えば、注入エネルギー、注入角度、注入温度、及び注入持続時間を含む設定可能なプロセス条件を使用して行うことができる。一般に、使用されるドーパント、目標注入濃度、及び所望の注入深さ(縦方向及び横方向)に応じて調整することができる。上記注入プロセス59N及び上記注入プロセス59Pは、一部が上記p型領域50P内のチャネル接合56C及び上記n型領域50N内のチャネル接合58Cを含む注入領域57Cを生成する。注入後、上記第1ナノ構造52及び上記第2ナノ構造54の一部が除去され、上記第1ナノ構造52及び上記第2ナノ構造54の他の部分が残されて、チャネル領域として機能する。上記注入プロセス59N及び上記注入プロセス59Pは、上記第1スペーサ81及び上記ダミーゲート電極76の下方で延在するチャネル接合58Cを形成する。したがって、チャネル接合58Nのサイズは、最終的に、注入イオンの横方向分散によって決定される(例えば、図10BのLS参照)。したがって、所望の横方向分散量を達成するためにプロセス条件を選択することができ、以下、これについてさらに議論する。
上記注入プロセス59N及び上記注入プロセス59Pのそれぞれについて、上記注入角度は、他の角度が考慮されて使用されてもよいが、約3度から15度の間の傾斜及び0度から360度の間の回転角度を有していてもよい。傾斜注入角度は、上記第1スペーサ81の下にチャネル接合を提供するように設定されてもよい。上記注入プロセス59N及び上記注入プロセス59Pは、他の温度が考慮されて使用されてもよいが、室温程度(約20℃)~約450℃の間の温度範囲で行ってもよい。上記注入プロセス59N及び上記注入プロセス59Pは、他の継続時間が考慮されて使用されてもよいが、約10秒~300秒の間の継続時間で行ってもよい。注入エネルギーは、使用されるドーパントに依存する。注入プロセス59Nでは、ヒ素が約3keV~15keVの間のエネルギーを用いて、リンが約2keV~10keVの間のエネルギーを用いて、アンチモンが約4keV~17keVの間のエネルギーを用いて注入されてもよい。注入プロセス59Pでは、ホウ素が約1.5keV~8keVの間のエネルギーを用いて、BFが約2.5keV~12keVの間のエネルギーを用いて、インジウムが約4keV~50keVの間のエネルギーを用いて、ガリウムが約4keV~17keVの間のエネルギーを用いて注入されてもよい。使用される他のドーパント(及び他のプロセス条件)に応じて、他のドーパントや他の注入エネルギーが使用されてもよいことが理解されるべきである。達成させたチャネル接合のピーク注入濃度は、ヒ素、リン、ホウ素及びBFについては、約1×1018cm-3~1×1022cm-3の間であり、アンチモン、インジウム及びガリウムについては約1×1018cm-3~1×1021cm-3の間であってもよい。
上記注入プロセス59Nに続いて、注入領域57Cは、上記第1スペーサ81の下に延在するナノ構造54C内に形成されたチャネル接合58Cを含む。また、上記注入プロセス59Nは、上記注入領域57Cの一部として上記ナノ構造52Cにドーパントを注入することもできる。その後のプロセスで上記ナノ構造54Cの露出した部分を除去すると、上記ナノ構造52Cの対応する部分も除去され、上記ナノ構造52C内に上記注入領域57C(及び注入ドーパント)の部分が残され得る。
いくつかの実施形態では、図9A及び9Bに示すように、上記ナノ構造54Cの露出部分を除去して上記ナノ構造52Cを明らかにした後、上記ナノ構造52Cで上記注入プロセス59Pを行う。これらの実施形態では、注入領域57Cは、上記第1スペーサ81の下及び上記ダミーゲート誘電体71の下のナノ構造54Cを覆うエリアを含むことができる。他の実施形態では、上記ナノ構造52C、及び54Cの両方にイオンを注入するのに十分なプロセス条件を用いて、上記注入プロセス59Pが行われる。これらの実施形態は、上記注入実施プロセス59Nの代わりに注入プロセス59Pを用いて示される上記n型領域50Nから説明される。その後、上記注入領域57Cは、上記チャネル接合56C及び上記被覆ナノ構造54Cの一部を含む。
上記注入プロセス59Pに続いて、注入領域57C、及び57Bは、上記第1スペーサ81の下に延在するナノ構造52C内に形成されたチャネル接合56Cを含む。また、上記注入プロセス59Pは、上記ナノ構造54Bにドーパントを注入して、上記注入領域57Bを形成し、及び/又はナノ構造54Cにドーパントを注入して、注入領域57Cを形成してもよい。
図10A及び図10Bは、上記エピタキシャルソース/ドレイン領域92が形成される上記第1ナノ構造52及び上記第2ナノ構造54をリセスするためのドライエッチングプロセス及び表面洗浄プロセスを示している(図18A及び図18B参照)。上記ドライエッチングは任意の適切なエッチング液を用いて行われ、上記n型領域50N内のナノ構造52C、及び54Cの露出部分と、上記p型領域50P内のナノ構造52C、及び54Bの露出部分とを除去することができる。上記n型領域50N内のナノ構造54Cをエッチングする際に、上記下層のナノ構造52Cはエッチストップとして機能したり、タイミングエッチングは使用されたりすることができる。上記n型領域50N又はp型領域50P内のナノ構造52Cをエッチングする際に、上記ナノ構造54Bは、エッチストップとして機能したり、タイミングエッチングは利用されたりすることができる。上記p型デバイス領域内のナノ構造52Cをエッチングした後、いくつかの実施形態では、上記露出したナノ構造54Bはエッチングされて上記ナノ構造52Bを露出させ、エッチストップとして機能したり、タイミングエッチングは使用されたりすることができる。上記ドライエッチングプロセスは、ゲートスペーサ81の下のチャネル接合56C、58C又は注入領域57Cを横方向にエッチングすることなく、上記イオン注入によって損傷したナノ構造52C、及び54Cの損傷領域を除去する。
各ドライエッチングの後に、表面洗浄プロセスを使用して、上記ドライエッチングによって生成された残留物や副産物を除去することができる。上記ドライエッチングに適した適切なエッチング液は、XeF2、BrF、ClF、CF、SiF、NFなど、又はこれらの組み合わせを含んでもよい。HF、HNO=、O、HSO:HO(硫酸-過酸化水素混合物(SPM)、たとえば比率1:4)、NH=OH:H:HO(水酸化アンモニウム-過酸化水素-水混合物(APM)、たとえば比率0.25:1:5)、及び、HCl:H:HO(塩酸-過酸化水素-水混合物(HPM)、たとえば比率1:1:5)、又はこれらの組み合わせなど、対応する表面洗浄用の化化学物質、混合物又は溶液をそれぞれ使用することができる。
いくつかの実施形態では、上記イオン注入、ドライエッチング、及び表面洗浄プロセスは、それぞれ、別個の処理チャンバ内で行ってもよい。他の実施形態では、各プロセスチャンバはクラスタツールの一部であってもよい。これらの実施形態では、上記クラスタツールは、各ツール処理チャンバにわたって特定の環境条件を維持するように構成されてもよい。例えば、真空レベルは、上記イオン注入、ドライエッチング、及び表面洗浄プロセスの間で維持されてもよい。上記クラスタツールは、マスキング層、パターニング感光材料などの塗布プロセスを提供する処理チャンバをさらに有してもよい。これらのプロセスのうちの1つ又は複数は、別個のツールで行ってもよい。
上記ドライエッチング及び表面洗浄後、注入領域57Cは、上記ナノ構造52C、及びナノ構造54Cのうち上記第1スペーサ81の下にある注入されたエリアを含み、このエリアにはチャネル接合56C、及び58Cが含まれる。上記チャネル接合56C、及び58Cの幅又は横方向分散LSは、開口の縁(例えば、図14A、及び14Bの第1凹部86の一部又はチャネル接合56C、及び58Cの側壁)から、ドーパント濃度閾値である約1×1018cm-3に対応する横方向の深さまで延在し、その後、上記ドーパント濃度は対数的にナノ構造54C、及び52C内にさらに減少する。いくつかの実施形態では、上記横方向分散LSは、約3nm~約5nmの間にある。上記チャネル接合56C、及び58Cはイオン注入によって損傷されることがあるが、表面洗浄プロセスの後又は後段階に行われ得る後続のアニールプロセスによって修復又は再結晶化され得る。
図11A及び11Bでは、第2注入プロセス59N及び59Pが示されている。上記n型領域50Nでは、上記第2注入プロセス59Nは上記ナノ構造54Bにドーパントを注入してチャネル接合58Bを形成し、上記p型領域50Pでは、上記ナノ構造52Bにドーパントを注入してチャネル接合56Bを形成する。注入領域57(例えば、57A、57B、及び57C)は、図9A、9Bに示すものと同様に、これらのチャネル接合56B、58Bと、破線エリアとを含む。上記第2注入プロセス59N及び59Pは、図9A及び9Bに関して上述したものと同様のプロセス及び材料を使用して行ってもよく、ここでは重複を省略する。第2注入プロセス59N及び59Pで使用される注入ドーパントは、図9A及び9Bの第1注入プロセス59N及び59Pで使用されるものと同じ又は異なる種類であってもよい。さらに、上記第2注入プロセス59N及び59Pで使用されるプロセス条件、例えば、エネルギーレベル、傾斜、回転、持続時間及び温度などは、所望のチャネル接合を達成するために、上記第1注入プロセス59N及び59Pでにおいて使用されるプロセス条件とは異なってもよい。
図12A及び図12Bは、図10A及び図10Bに関して上述したものと同様に、エピタキシャルソース/ドレイン領域92(図18A及び図18B参照)が形成される上記第1ナノ構造52及び上記第2ナノ構造54をリセスするためのドライエッチングプロセス及び表面洗浄プロセスを示している。任意の適切なエッチング液を用いて上記ドライエッチングを行うことにより、上記n型領域50N内のナノ構造52B及び54Bの露出部分と、上記p型領域50P内のナノ構造52B及び54Aの露出部分とを除去することができる。上記チャネル接合56B、及び58Bの幅又は横方向分散LSは、約3nm~5nmの間であってもよい。上記チャネル接合56B、及び58Bは上記イオン注入によって損傷されることがあるが、表面清浄化プロセスの後又は後段階に行われ得る後続のアニールプロセスによって修復又は再結晶化されてもよい。
図13A及び13Bでは、上記第3注入プロセス59N及び59Pが示されている。上記n型領域50Nでは、上記第2注入プロセス59Nは上記ナノ構造54Bにドーパントを注入してチャネル接合58Bを形成し、上記p型領域50Pでは、上記第2注入プロセス59Pは上記ナノ構造52Bにドーパントを注入してチャネル接合56Bを形成する。注入領域57(例えば、57A、57B、及び57C)は、図9A及び9Bに示すものと同様に、これらのチャネル接合56B、58Bと、破線エリアとを含む。上記第3注入プロセス59N及び59Pは、図9A及び9Bに関して上述したのと同様のプロセス及び材料を使用して行ってもよいので、重複を省略する。上記第3注入プロセス59N及び59Pで使用される注入ドーパントは、図9A及び9Bの第1注入プロセス59N及び59Pで使用されるものと同じ又は異なる種類のもの、及び/又は図11A及び11Bの第2注入プロセス59N及び59Pで使用されるものと同じ又は異なる種類のものとすることができる。さらに、上記第3注入プロセス59N及び59Pで使用されるプロセス条件、例えば、エネルギーレベル、傾斜、回転、継続時間及び温度などは、所望のチャネル接合を達成させるために、上記第1注入プロセス59N、及び59P及び/又は上記第2注入プロセス59N及び59Pで使用されるものとは異なっていてもよい。より多くのナノ構造及び追加の注入ステップを有する実施形態では、上記ドーパントの種類は、他のナノシートで使用されるものと同じであっても、異なっていてもよい。
図14A及び図14Bは、図10A及び図10Bに関して上述したものと同様に、上記エピタキシャルソース/ドレイン領域92(図18A及び図18B参照)が形成される上記第1ナノ構造52及び上記第2ナノ構造54をリセスするためのドライエッチングプロセス及び表面洗浄プロセスを示している。任意の適切なエッチング液を用いて上記ドライエッチングを行うことにより、上記n型領域50N内のナノ構造52A、及び54Aの露出部分と、上記p型領域50P内のナノ構造52Aの露出部分とを除去することができる。上記チャネル接合56A、58Aの幅又は横方向分散LSは、約3nm~約5nmの間であってもよい。上記ドライエッチングプロセス及び表面洗浄プロセスは、上記基板50の一部をエッチングし続けてもよく、それにより、上記ナノ構造55の間に第1凹部86を形成する。上記チャネル接合部56A、及び58Aは上記イオン注入によって損傷されることがあるが、表面洗浄プロセスの後又は後段階に行われ得る後続のアニールプロセスによって修復又は再結晶化されてもよい。
図15A~図15Uは、上記ナノ構造55の生成されたチャネル接合56及び58の様々な実施形態を示している。これらの図は、上記n型領域50Nのチャネル接合58を明確に示しているが、上記p型領域50Pに適用した場合と同じ概念を理解するために同じ図を用いることが容易に理解できる。上述したように、上記イオン注入プロセス、すなわち注入プロセス59N、59Pのプロセス条件が設定可能であるため、生成されたチャネル接合56、及び58は、上記ナノ構造55ごとに異なる横方向分散(LS)を有するように構成されてもよい。結合すると、異なるチャネル接合LSは異なる接合型(JT)を生成する。図15A~図15Uはそれぞれ、3枚のナノ構造構成の異なる接合型を示している。当業者は、これらを2枚のナノ構造構成又は4枚以上のナノ構造構成に修正することができることを理解する。接合型ごとに追加のマスキングプロセスを利用することにより、これらの異なる接合型を同じデバイス領域に結合することができる。以下の説明が、第1注入距離を第2注入距離とほぼ同じ距離として説明する場合、いくつかの実施形態では、上記第1距離は、上記第2距離の15%以内である。他の実施形態では、上記第1距離が上記第2距離と実質的に同じであることは、上記第1距離だけ注入するためのプロセス条件が上記第2距離だけ注入するためのプロセス条件と同じであることを意味する。
図15Aを参照して、上記接合型JTの形状は底面が上面よりも幅広である台形である。上記接合型JTは、2つのチャネル接合58の両端の間のアンドープチャネル長Lによって決定される。例えば、図15Aでは、上記チャネル接合58Cの横方向分散LSは、上記チャネル接合58Bの横方向分散LSよりも大きく、後者は、上記チャネル接合58Aの横方向分散LSよりも大きい。これらのチャネル接合58のそれぞれの間の対応するアンドープチャネル長Lは、横方向分散LSと逆であり、それにより、上記アンドープチャネル長Lは上記アンドープチャネル長Lよりも小さく、後者は上記アンドープチャネル長Lよりも小さい。このようにして生成される上記アンドープチャネル長の形状は台形である。上記p型領域50Pと上記チャネル接合56についても同様の接合型JTを実現することができる。
異なる接合型は、可変かつ設定可能な横方向分散LSを有するナノシート接合を提供する能力を証明する。上記制御可能な接合は、例えば、DCブースト、ショートチャネル効果を含むデバイス特性のカスタムデバイス制御、及びリーク制御を提供するように構成されてもよい。
図15Bでは、上記接合型JTの形状は逆台形である。上記アンドープチャネル長Lは上記ナノ構造54B内の上記アンドープチャネル長Lよりも大きい。上記ナノ構造54B内のアンドープチャネル長Lは、上記ナノ構造54A内のアンドープチャネル長Lよりも大きい。上記チャネル接合58Cの横方向分散LSは、上記チャネル接合58Bの横方向分散LSよりも小さい。上記チャネル接合58Bの横方向分散LSは、上記チャネル接合58Aの横方向分散LSよりも小さい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Cでは、上記接合型JTの形状は時間ガラス形状である。上記アンドープチャネル長Lは上記ナノ構造54B内のアンドープチャネル長Lよりも大きい。上記ナノ構造54Bアンドープチャネル長Lは、上記ナノ構造54A内のアンドープチャネル長Lよりも小さい。上記アンドープチャネル長L、及びLはほぼ同じである。上記チャネル接合58Cの横方向分散LSは、上記チャネル接合58Bの横方向分散LSよりも小さい。上記チャネル接合58Bの横方向分散LSは、上記チャネル接合58Aの横方向分散LSよりも大きい。横方向分散LSとLSはほぼ同じである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Dでは、上記接合型JTの形状は矩形である。上記アンドープチャネル長L、L、及びLはほぼ同じである。上記横方向分散LS、LS、及びLSはほぼ同じである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Eでは、上記接合型JTの形状は逆時間ガラス形状である。上記アンドープチャネル長Lは上記ナノ構造54B内のアンドープチャネル長Lよりも小さい。上記ナノ構造54B内のアンドープチャネル長Lは、上記ナノ構造54A内のアンドープチャネル長Lよりも大きい。上記アンドープチャネル長LとLはほぼ同じである。上記チャネル接合58Cの横方向分散LSは、上記チャネル接合58Bの横方向分散LSよりも大きい。上記チャネル接合58Bの横方向分散LSは、上記チャネル接合58Aの横方向分散LSよりも小さい。上記横方向分散LSとLSはほぼ同じである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Fでは、上記接合型JTの形状はスパイク形状である。上記アンドープチャネル長Lは上記アンドープチャネル長Lとほぼ同じである。上記アンドープチャネル長Lは、上記アンドープチャネル長L及び上記アンドープチャネル長Lよりも小さい。上記横方向分散LSは、上記横方向分散LSとほぼ同じである。上記横方向分散LSは、上記横方向分散LS、及びLSよりも大きい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Gでは、上記接合型JTの形状は逆スパイク形状である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lとほぼ同じである。上記アンドープチャネル長Lは、上記アンドープチャネル長L及び上記アンドープチャネル長Lよりも小さい。上記横方向分散LSは上記横方向分散LSとほぼ同じである。上記横方向分散LSは、上記横方向分散LS及びLSよりも大きい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Hでは、上記接合型JTの形状は逆漏斗形状である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lとほぼ同じである。上記アンドープチャネル長Lは、上記アンドープチャネル長L及びアンドープチャネル長Lよりも大きい。上記横方向分散LSは、上記横方向分散LSとほぼ同じである。上記横方向分散LSは、上記横方向分散LS及びLSよりも小さい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Iでは、上記接合型JTの形状は漏斗形状である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lとほぼ同じである。上記アンドープチャネル長Lは、上記アンドープチャネル長L及び上記アンドープチャネル長Lよりも長い。上記横方向分散LSは、上記横方向分散LSとほぼ同じである。上記横方向分散LSは、上記横方向分散LS及びLSよりも小さい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15J~図15Uは、いくつかのナノ構造が注入プロセス59N又は59Pのようなイオン注入を省略するさらなる構成を示している。したがって、上記第1ナノ構造52及び/又は54のうちの1つ又は複数は、上記チャネル接合56及び/又は58を有しなくてもよい。言い換えれば、上記第1ナノ構造52及び/又は54のうちの1つ又は複数はチャネル接合56及び/又は58を有してもよく、一方、上記第1ナノ構造52及び/又は54のうちの1つ又は複数はチャネル接合56及び/又は58を有していなくてもよい。以下の議論の目的のために、上記ナノ構造54の1つが「アンドープ」と記載されている場合、これは、特定のナノ構造(例えば、ナノ構造54B)用の注入プロセス59N及び59Pを使用してドープされていないことを意味することが理解できる。ドーパントはまだ存在するかもしれないが、上記チャネル接合56及び/又は58中の濃度よりも低い濃度であるため、チャネル接合として機能しない。
図15Jでは、上記接合型JTの形状は台形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lよりも小さい。上記ナノ構造54Bはドープされていないままである。上記横方向分散LSは、上記横方向分散LSよりも大きい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Kでは、上記接合型JTの形状は逆台形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lよりも大きい。上記ナノ構造54Bはドープされていないままである。上記横方向分散LSは、上記横方向分散LSよりも小さい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Lでは、上記接合型JTの形状は逆台形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lよりも大きい。上記ナノ構造54Cはドープされていないままである。上記横方向分散LSは上記横方向分散LSより小さい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Mでは、上記接合型JTの形状は台形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lよりも小さい。上記ナノ構造54Cはドープされていないままである。上記横方向分散LSは上記横方向分散LSよりも大きい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Nでは、上記接合型JTの形状は矩形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lとほぼ同じである。上記ナノ構造54Cはドープされていないままである。上記横方向分散LSは、上記横方向分散LSとほぼ同じである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Oでは、上記接合型JTの形状は逆台形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lよりも長い。上記ナノ構造54Aはドープされていないままである。上記横方向分散LSは、上記横方向分散LSよりも小さい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Pでは、上記接合型JTの形状は台形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lよりも小さい。上記ナノ構造54Aはドープされていないままである。上記横方向分散LSは、上記横方向分散LSよりも大きい。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Qでは、上記接合型JTの形状は矩形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lとほぼ同じである。上記ナノ構造54Aはドープされていないままである。上記横方向分散LSは、上記横方向分散LSとほぼ同じである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Rでは、上記接合型JTの形状は矩形であり、1つのナノ構造55、ナノ構造54Bのみがドープされてチャネル接合58Bを形成する。上記ナノ構造54A、及び54Cはアンドープのままである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Sでは、上記接合型JTの形状は矩形であり、1つのナノ構造55、ナノ構造54Cのみがドープされてチャネル接合58Cを形成する。上記ナノ構造54B、及び54Aはアンドープのままである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Tでは、上記接合型JTの形状は矩形であり、1つのナノ構造55、ナノ構造54Aのみがドープされてチャネル接合58Aを形成する。上記ナノ構造54B、及び54Cはアンドープのままである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図15Uでは、上記接合型JTの形状は矩形である。上記アンドープチャネル長Lは、上記アンドープチャネル長Lとほぼ同じである。上記ナノ構造54Bはドープされていないままである。上記横方向分散LSは、横方向分散LSとほぼ同じである。上記p型領域50P及び上記チャネル接合56についても同様の接合型JTを実現することができる。
図16A及び図16Bでは、第1凹部86によって露出された第1半導体材料からなるナノ構造55(例えば、第1ナノ構造52)の層の側壁をエッチングして、上記n型領域50Nに側壁凹部88を形成し、そして、第1凹部86によって露出された第2半導体材料からなるナノ構造55(例えば、第2ナノ構造54)の層の側壁をエッチングして、上記p型領域50Pに側壁凹部88を形成する。図16Bでは、上記第1ナノ構造52及び上記第2ナノ構造54の側壁凹部88内の側壁は直線状に示されているが、上記側壁は凹状であっても凸状であってもよい。上記側壁は例えばウェットエッチングなどの等方性エッチングプロセスでエッチングしてもよい。上記第1半導体材料に対して選択性を有するエッチング液を使用して上記第1ナノ構造52をエッチングするときに、マスク(図示せず)を使用して上記p型領域50Pを保護することができ、それにより、上記n型領域50Nのうち上記第2ナノ構造54及び上記基板50は第1ナノ構造52よりもエッチングされないままである。同様に、上記第2半導体材料に選択性を有するエッチング液を用いて上記第2ナノ構造54をエッチングするときに、マスク(図示せず)を使用して上記n型領域50Nを保護することができ、それにより、上記p型領域50Pのうち上記第1ナノ構造52及び上記基板50は第2ナノ構造54よりもエッチングされないままである。一実施形態では、上記第1ナノ構造52は、例えばSiGeを含み、上記第2ナノ構造54は、例えばSi又はSiCを含み、上記n型領域50N内の第1ナノ構造52の側壁のエッチングには、水酸化テトラメチルアンモニウム(TMAH)、水酸化アンモニウム(NHOH)などを用いたドライエッチングプロセス、上記p型領域50P内の第2ナノ構造54の側壁のエッチングには、フッ化水素や他のフッ素系のエッチング液などを用いたドライエッチングプロセスを用いることができる。
いくつかの実施形態では、上記n型領域50N内の第1ナノ構造52の側壁及び上記p型領域50P内の第2ナノ構造54をリセスした後、いくつかの実施形態では、上記第1ナノ構造52及び上記第2ナノ構造54の側壁は、上記注入領域57(図14A及び図14B参照)の側面深さよりも小さくてもよい。これらの実施形態では、上記第1ナノ構造52の側壁及び上記第2ナノ構造の隣接する対向側壁凹部88は、上記注入領域57の一部を含む。これにより、注入イオン(ドーパント)はリセスされた第1ナノ構造52及び第2ナノ構造54の側壁で観察することができる。他の実施形態では、上記第1ナノ構造52及び上記第2ナノ構造54の側壁をリセスさせながら、上記注入領域57を上記第1ナノ構造52及び上記第ナノ構造54から除去することができる。
図17A~図17Cでは、上記側壁凹部88に第1インナースペーサ90が形成されている。上記第1インナースペーサ90は、図16A及び図16Bに示される構造上にインナースペーサ層(別途図示せず)を堆積することによって形成されてもよい。上記第1インナースペーサ90は、後に形成されるソース/ドレイン領域とゲート構造との間の分離素子として機能する。以下でより詳細に説明するように、凹部86にはソース/ドレイン領域が形成され、一方、上記n型領域50N内の第1ナノ構造52と上記p型領域50P内の第2ナノ構造54とが対応するゲート構造に置き換えられる。
上記インナースペーサ層は、CVD、ALDなどのコンフォーマル堆積プロセスによって堆積されてもよい。上記インナースペーサ層は、窒化ケイ素や酸窒化ケイ素のような材料を備えてもよいが、約3.5未満のk値を有する低誘電率(low-k)材料のような任意の適切な材料を利用することができる。次に、上記インナースペーサ層を異方性エッチングして上記第1インナースペーサ90を形成することができる。上記第1インナースペーサ90の外側壁は、上記n型領域50N内の第2ナノ構造54の側壁と面一であり、上記p型領域50P内の第1ナノ構造52の側壁と面一であるように示されているが、上記第1インナースペーサ90の外側壁は、上記第2ナノ構造54及び/又は上記第1ナノ構造52の側壁を超えて延在するか、又はこれらからリセスされてもよい。
また、図17Bでは、上記第1インナースペーサ90の外側壁は直線状として示されているが、上記第1インナースペーサ90の外側壁は凹状であっても凸状であってもよい。一例として、図17Cは、上記第1ナノ構造52の側壁が凹状であり、上記第1インナースペーサ90の外壁が凹状であり、上記第1インナースペーサが上記n型領域50N内の第2ナノ構造54の側壁からリセスされている実施形態を示している。上記第2ナノ構造54の側壁が凹状であり、上記第1インナースペーサ90の外壁が凹状であり、第1インナースペーサが上記p型領域50P内の第1ナノ構造52の側壁からリセスされている実施形態も示されている。上記インナースペーサ層は、RIE、NBE等の異方性エッチングプロセスによってエッチングされてもよい。上記第1インナースペーサ90は、後に形成されるソース/ドレイン領域(例えば、エピタキシャルソース/ドレイン領域92、以下、図18A~図18Dに関して説明)に対する後続のエッチングプロセス、例えばゲート構造を形成するためのエッチングプロセスの損傷を防止するために使用されてもよい。
上述したように、上記第1インナースペーサ90は、上記第1ナノ構造52の側壁凹部88及び上記第2ナノ構造54の側壁凹部88に残留し得るドーパントを有していなくてもよい。しかし、その後のプロセスにより、上記n型領域50Nの注入領域57及び上記p型領域50Pの注入領域57のそれぞれのドーパントが、上記第1ナノ構造52及び/又は上記第2ナノ構造54の側壁から第1インナースペーサ90内に拡散する可能性がある。これにより、対応するn型ドーパントが上記n型領域50N内の第1インターナルスペーサ90内に見つけることができ、p型ドーパントが上記p型領域50P内の第1インターナルスペーサ90内見つけることができる。対応するドーパントのピーク濃度は、上記第1ナノ構造52又は上記第2ナノ構造54の側壁と上記第1インナースペーサ90との間の界面90iで見られ得る。上記界面90iでのドーパント濃度は、上記界面からの任意の横方向から勾配低下してもよく、すなわち、上記界面90iから上記第1インナースペーサ90に横方向に深く、上記界面90iから上記第1ナノ構造52又は第2ナノ構造54上記に横方向に深くなるように低下することができる。
図18A~18Dでは、上記第1凹部86内にエピタキシャルソース/ドレイン領域92が形成されている。いくつかの実施形態では、上記エピタキシャルソース/ドレイン領域92は、上記n型領域50N内の第2ナノ構造54及び上記p型領域50P内の第1ナノ構造52に応力を加えることで、性能を向上させることができる。図18Bに示すように、上記エピタキシャルソース/ドレイン領域92は上記第1凹部86内に形成されており、それにより、隣り合うエピタキシャルソース/ドレイン領域92の対の間に上記ダミーゲート76が配置される。いくつかの実施形態では、上記エピタキシャルソース/ドレイン領域92を上記ダミーゲート76から分離するために第1スペーサ81が使用され、上記エピタキシャルソース/ドレイン領域92を上記ナノ構造55から適切な横方向距離だけ分離するために第1インナースペーサ90が使用され、それにより、上記エピタキシャルソース/ドレイン領域92はそれによって生成されるナノ-FETの、後に形成されるゲートと短絡しない。
上記n型領域50N、例えばNMOS領域内のエピタキシャルソース/ドレイン領域92、は、上記p型領域50P、例えばPMOS領域をマスキングすることにより形成することができる。次に、上記n型領域50N内の第1凹部86内に上記エピタキシャルソース/ドレイン領域92をエピタキシャル成長させる。上記エピタキシャルソース/ドレイン領域92は、上記n型ナノ-FETに適した任意の許容可能な材料を含んでもよい。例えば、上記第2ナノ構造54がシリコンである場合、上記エピタキシャルソース/ドレイン領域92は、シリコン、炭化ケイ素、リンドープ炭化ケイ素、リン化ケイ素など、上記第2ナノ構造54に引張ひずみを加える材料を含んでもよい。上記エピタキシャルソース/ドレイン領域92は、上記ナノ構造55のそれぞれの上面から立ち上がる表面を有し、そして小平面を有していてもよい。
上記p型領域50P、例えばPMOS領域内のエピタキシャルソース/ドレイン領域92は、上記n型領域50N、例えばNMOS領域をマスキングすることにより形成することができる。次に、上記p型領域50P内の第1凹部86内に上記エピタキシャルソース/ドレイン領域92をエピタキシャル成長させる。上記エピタキシャルソース/ドレイン領域92は、上記p型ナノ-FETに適した任意の許容可能な材料を含んでもよい。例えば、上記第1ナノ構造52がシリコンゲルマニウムである場合、上記エピタキシャルソース/ドレイン領域92は、シリコンゲルマニウム、ボロンドープシリコンゲルマニウム、ゲルマニウム、ゲルマニウムスズなどのような第1ナノ構造52に圧縮歪みを与える材料を含んでもよい。上記エピタキシャルソース/ドレイン領域92はまた、上記ナノ構造55のそれぞれの上面から立ち上がる表面を有し、そして小平面を有していてもよい。
上記エピタキシャルソース/ドレイン領域92、上記第1ナノ構造52、上記第2ナノ構造54及び/又は上記基板50は、低濃度ドープソース/ドレイン領域を形成する前述プロセスと同様に、ドーパントを注入して上記ソース/ドレイン領域を形成し、その後、アニールすることができる。上記ソース/ドレイン領域は、約1×1019原子/cm~約1×1021原子/cmの間の不純物濃度を有してもよい。ソース/ドレイン領域のn型及び/又はp型不純物は、前述したいずれかの不純物であってもよい。いくつかの実施形態では、上記エピタキシャルソース/ドレイン領域92は、成長中にその場でドープされてもよい。
上記エピタキシャルソース/ドレイン領域92を上記n型領域50N及び上記p型領域50Pに形成するエピタキシャルプロセスの結果として、上記エピタキシャルソース/ドレイン領域92の上面は、上記ナノ構造55の側壁を超えて横方向に外向きに広がる小平面を有する。いくつかの実施形態では、これらの小平面は、図18Aに示すように、同じNSFETの隣接するエピタキシャルソース/ドレイン領域92を併合させる。他の実施形態では、図18Cに示すように、上記エピタキシャルプロセスが完了した後、隣接するエピタキシャルソース/ドレイン領域92は分離されたままである。図18に示す実施形態では、上記第1スペーサ81はSTI領域68の上面に形成して上記エピタキシャル成長を阻止してもよい。いくつかの他の実施形態では、上記第1スペーサ81は、上記ナノ構造55の側壁を覆い、上記エピタキシャル成長をさらに阻止してもよい。他のいくつかの実施形態では、上記第1スペーサ81を形成するためのスペーサエッチングを調整してスペーサ材料を除去し、上記エピタキシャル成長領域を上記STI領域68の表面まで延長させることが可能である。
上記エピタキシャルソース/ドレイン領域92は、1つ又は複数の半導体材料層を備えてもよい。例えば、上記エピタキシャルソース/ドレイン領域92は、第1半導体材料層92A、第2半導体材料層92B、及び第3半導体材料層92Cを備えてもよい。エピタキシャルソース/ドレイン領域92には、任意の数の半導体材料層を使用してもよい。上記第1半導体材料層92A、上記第2半導体材料層92B、及び上記第3半導体材料層92Cはそれぞれ、異なる半導体材料から形成されてもよく、異なるドープ濃度にドープされてもよい。いくつかの実施形態では、上記第1半導体材料層92Aは、上記第2半導体材料層92Bよりも小さく、上記第3半導体材料層92Cよりも大きいドーパント濃度を有することができる。上記エピタキシャルソース/ドレイン領域92が3つの半導体材料層を備える実施形態では、上記第1半導体材料層92Aを堆積し、上記第2半導体材料層92Bを上記第1半導体材料層92A上に堆積し、上記第3半導体材料層92Cを上記第2半導体材料層92B上に堆積することができる。
図18Dは、上記n型領域50N内の第1ナノ構造52の側壁及び上記p型領域50P内の第2ナノ構造54の側壁が凹状であり、上記第1インナースペーサ90の外壁が凹状であり、上記第1インナースペーサ90が上記第2ナノ構造54及び上記第1ナノ構造52の側壁からそれぞれリセスされている実施形態を示している。それぞれ図12Dに示すように、上記エピタキシャルソース/ドレイン領域92は、上記第1インナースペーサ90と接触して形成され、上記n型領域50N内の第2ナノ構造54の側壁を越えて延在し、上記p型領域50P内の第1ナノ構造52の側壁を越えて延在してもよい。
図19A~図19Cでは、図6A、図18B、及び図18Aに示す構造(図7A~図18Bのプロセスは、図6Aに示す断面を変更するものではない)の上に第1層間誘電体(ILD)96がそれぞれ堆積されている。上記第1ILD96は、誘電体材料から形成することができ、CVD、プラズマ強化型CVD(PECVD)又はFCVDのような任意の適切な方法で堆積することができる。誘電材料は、リンケイ酸塩ガラス(PSG)、ホウケイ酸塩ガラス(BSG)、ホウ素ドープリンケイ酸塩ガラス(BPSG)、アンドープケイ酸塩ガラス(USG)などを含んでもよい。任意の許容可能なプロセスによって形成された他の絶縁材料が使用されてもよい。いくつかの実施形態では、コンタクトエッチストップ層(CASL)94が、上記第1ILD96と、上記エピタキシャルソース/ドレイン領域92、上記マスク78、及び上記第1スペーサ81との間に配置される。上記CESL94は、窒化ケイ素、酸化ケイ素、酸窒化ケイ素などの誘電体材料を含んでもよく、上層の第1ILD96の材料とは異なるエッチングレートを有する。
図20A及び図20Bでは、上記第1ILD96の上面が上記ダミーゲート76又は上記マスク78の上面と水平になるようにCMPなどの平坦化プロセスを行ってもよい。また、上記平坦化プロセスにより、上記ダミーゲート76でのマスク78と、上記マスク78の側壁に沿った第1スペーサ81の部分とを除去することもできる。平坦化プロセス後、上記ダミーゲート76、上記第1スペーサ81及び上記第1ILD96の上面は、プロセスばらつきの範囲内で水平状態となる。これにより、上記ダミーゲート76の上面が上記第1ILD96を介して露出する。いくつかの実施形態では、上記マスク78は保持されてもよく、このような場合、上記平坦化プロセスは、上記第1ILD96の上面を上記マスク78の上面及び上記第1スペーサ81の上面と面一にする。
図21A及び21Bでは、上記ダミーゲート76及び上記マスク78(存在する場合)は1つ又は複数のエッチングステップによって除去され、それにより第2凹部98が形成される。上記第2凹部98内のダミーゲート誘電体層71の部分も除去される。いくつかの実施形態では、上記ダミーゲート76及び上記ダミーゲート誘電体層71は、異方性ドライエッチングプロセスによって除去される。例えば、上記エッチングプロセスは、反応性ガス(es)を用いて、上記ダミーゲート76を上記第1ILD96又は上記第1スペーサ81よりも速い速度で選択的にエッチングするドライエッチングプロセスを含んでもよい。各第2凹部98は、後に完成するナノ-FETにおいてチャネル領域として機能するナノ構造55の一部を露出及び/又は被覆する。上記チャネル領域として機能するナノ構造55の部分は、上記エピタキシャルソース/ドレイン領域92の隣り合う対の間に配置される。除去中は、上記ダミーゲート76をエッチングする際に、上記ダミーゲート誘電体層71はエッチストップ層として使用することができる。その後、上記ダミーゲート76を除去した後、上記ダミーゲート誘電体層71を除去することができる。
図22A及び図22Bでは、上記n型領域50N内の第1ナノ構造52と上記p型領域50P内の第2ナノ構造54が除去されて、上記第2凹部98を延ばす。上記第1ナノ構造52は、上記p型領域50P上にマスク(図示せず)を形成し、上記第1ナノ構造52の材料に対して選択性を有するエッチング液を用いてウェットエッチングなどの等方性エッチングプロセスを行うことにより除去されてもよく、一方、上記第2ナノ構造54、上記基板50、上記STI領域68は上記第1ナノ構造52よりもエッチングされないままである。上記第1ナノ構造52が例えばSiGeを含み、上記第2ナノ構造54A~54Cが例えばSi又はSiCを含む実施形態では、水酸化テトラメチルアンモニウム(TMAH)、水酸化アンモニウム(NHOH)などは、上記n型領域50N内の第1ナノ構造52を除去するために使用することができる。
上記p型領域50P内の第2ナノ構造54は、上記n型領域50N上にマスク(図示せず)を形成し、上記第2ナノ構造54の材料に対して選択性を有するエッチング液を用いてウェットエッチングなどの等方性エッチングプロセスを行うことにより除去されてもよく、一方、上記第1ナノ構造52、上記基板50、上記STI領域68は、上記第2ナノ構造54よりもエッチングされないままである。上記第2ナノ構造54が例えばSiGeを含み、上記第1ナノ構造52が例えばSi又はSiCを含む実施形態では、フッ化水素、他のフッ素系のエッチング液などは、上記p型領域50P内の第2ナノ構造54を除去するために使用することができる。
上記n型領域50N内の第1ナノ構造52と上記p型領域50P内の第2ナノ構造54とを除去した後、残りの第2ナノ構造54と上記第1ナノ構造52との間に跨るスペーサ90をそれぞれドープしてもよい。以上のように、除去されたナノ構造55中のドーパントは上記スペーサ90内に拡散することがある。上記スペーサ90中のドーパントの濃度は、上記ソース/ドレイン領域92に対向するスペーサ側で最大となり、上記ソース/ドレイン領域92に向かって濃度が勾配して低下する。
図23A及び図23Bでは、置換ゲート用のゲート誘電体層100及びゲート電極102が形成されている。上記ゲート誘電体層100は、上記第2凹部98内にコンフォーマルに堆積される。上記n型領域50Nでは、上記基板50の上面及び側壁、並びに上記第2ナノ構造54の上面、側壁及び底面に上記ゲート誘電体層100を形成することができ、上記p型領域50Pでは、上記基板50の上面及び側壁、並びに上記第1ナノ構造52の上面、側壁及び底面に上記ゲート誘電体層100を形成することができる。上記ゲート誘電体層100はまた、上記第1ILD96、上記CESL94、上記第1スペーサ81及び上記STI領域68の上面に堆積してもよい。
いくつかの実施形態によれば、上記ゲート誘電体層100は、酸化物、金属酸化物など、又はそれらの組み合わせなどの1つ又は複数の誘電体層を備える。例えば、いくつかの実施形態では、上記ゲート誘電体は、酸化シリコン層と、上記酸化シリコン層上の金属酸化物層とを備えてもよい。いくつかの実施形態では、上記ゲート誘電体層100は高k誘電体材料を含み、これらの実施形態では、上記ゲート誘電体層100は約7.0より大きいk値を有し、ハフニウム、アルミニウム、ジルコニウム、ランタン、マンガン、バリウム、チタン、鉛、及びこれらの組み合わせの金属酸化物又はケイ酸塩を含んでもよい。上記ゲート誘電体層100の構造は、上記n型領域50Nと上記p型領域50Pとで同一であっても異なっていてもよい。上記ゲート誘電体層100の形成方法は、分子線堆積(MBD)、ALD、PECVDなどを含むことができる。
上記ゲート電極102は、それぞれ上記ゲート誘電体層100上に堆積され、上記第2凹部98の残りの部分を充填する。上記ゲート電極102は、窒化チタン、酸化チタン、窒化タンタル、炭化タンタル、コバルト、ルテニウム、アルミニウム、タングステン、これらの組み合わせ、又はこれらの多層のような金属含有材料を含んでもよい。例えば、単一層のゲート電極102が図23A及び図23Bに示されているが、上記ゲート電極102は、任意の数のライナー層、任意の数の仕事関数調整層、及び充填材料を含んでもよい。上記ゲート電極102を構成する層の任意の組み合わせは、隣接する第2ナノ構造54の間及び上記ナノ構造54Aと基板50との間のn型領域50Nに堆積されてもよく、隣接する第1ナノ構造52の間のp型領域50Pに堆積されてもよい。
上記n型領域50N及び上記p型領域50P内のゲート誘電体層100は各領域におけるゲート誘電体層100が同一材料で形成されるように、同時に形成されてもよく、上記ゲート電極102は、各領域におけるゲート電極102が同一材料で形成されるように同時に形成されてもよい。いくつかの実施形態では、各領域におけるゲート誘電体層100は、上記ゲート誘電体層100が異なる材料及び/又は異なる層数であるように異なるプロセスで形成されてもよく、及び/又は、各領域におけるゲート電極102は、上記ゲート電極102が異なる材料及び/又は異なる層数であるように異なるプロセスで形成されてもよい。異なるプロセスを使用する場合には、異なるマスキングステップを使用して、適切な領域をマスキングして露出させることができる。
上記第2凹部98を充填した後、CMPなどの平坦化プロセスを行って、上記ゲート誘電体層100の余分な部分及び上記ゲート電極102の材料を除去することができ、ここで、上記ゲート電極102の余分な部分が上記第1ILD96の上面に位置する。それにより、上記ゲート電極102及び上記ゲート誘電体層100の残りの材料の部分は、生成されたナノ-FETの置換ゲート構造を形成する。上記ゲート電極102及び上記ゲート誘電体層100は、「ゲート構造」と総称してもよい。
図24A~図24Cでは、上記ゲート構造(上記ゲート誘電体層100及び対応する上層のゲート電極102を含む)がリセスされ、それによって、上記ゲート構造の直上と上記第1スペーサ81の対向する部分との間に凹部が形成されている。窒化シリコン、酸窒化シリコンなどの1つ又は複数の層を備えるゲートマスク104を凹部内に充填した後、平坦化プロセスを行って上記第1ILD96上に延在する誘電体材料の余分な部分を除去する。その後に形成されるゲートコンタクト(例えば、図26A及び図26Bに関して後述するゲートコンタクト114)は、上記ゲートマスク104を貫通してリセスされたゲート電極102の上面に接触する。
図24A~24Cにさらに示すように、第2ILD106が、上記第1ILD96上及び上記ゲートマスク104上に堆積される。いくつかの実施形態では、上記第2ILD106はFCVDで形成された流動性膜である。いくつかの実施形態では、上記第2ILD106は、PSG、BSG、BPSG、USGなどの誘電体材料で形成され、CVD、PECVDなどの任意の適切な方法によって堆積されてもよい。
図25A~図25Cでは、上記第2ILD106、上記第1ILD96、上記CESL94、及び上記ゲートマスク104がエッチングされて、上記エピタキシャルソース/ドレイン領域92及び/又は上記ゲート構造の表面を露出させる第3凹部108を形成する。上記第3凹部108は、RIE、NBEなどの異方性エッチングプロセスを用いてエッチングすることにより形成することができる。いくつかの実施形態では、上記第3凹部108は、第1エッチングプロセスによって上記第2ILD106及び上記第1ILD96を貫通してエッチングされ、第2エッチングプロセスによって上記ゲートマスク104を貫通してエッチングされ、その後、第3エッチングプロセスを用いて上記CESL94を貫通してエッチングされてもよい。上記第2ILD106上にフォトレジストなどのマスクを形成してパターニングし、上記第1エッチングプロセス及び上記第2エッチングプロセスから上記第2ILD106の部分をマスクすることができる。いくつかの実施形態では、上記エッチングプロセスがオーバーエッチングされてもよく、その結果、上記第3凹部108は、上記エピタキシャルソース/ドレイン領域92及び/又は上記ゲート構造内に延び、上記第3凹部108の底部は、上記エピタキシャルソース/ドレイン領域92及び/又は上記ゲート構造に水平となり(例えば、同じレベルにあるか、又は上記基板から同じ距離)又はそれらよりも低い(例えば、上記基板に近い)。図25Bは、上記第3凹部108が同じ断面で上記エピタキシャルソース/ドレイン領域92及び上記ゲート構造を露出することを示しているが、様々な実施形態では、上記エピタキシャルソース/ドレイン領域92及び上記ゲート構造を異なる断面で露出することができ、それによって、その後に形成されるコンタクト短絡の危険性を低減させる。上記第3凹部108を形成した後、上記エピタキシャルソース/ドレイン領域92上にケイ化物領域110を形成する。いくつかの実施形態では、下層のエピタキシャルソース/ドレイン領域92の半導体材料(例えば、シリコン、シリコンゲルマニウム、ゲルマニウム)と反応し得る金属、例えばニッケル、コバルト、チタン、タンタル、白金、タングステン、他の貴金属、他の耐火性金属、希土類金属、又はそれらの合金などを上記エピタキシャルソース/ドレイン領域92の露出部分上に最初に堆積して、ケイ化物又はゲルマニウム領域を形成し、その後、熱アニールプロセスを行って上記ケイ化物領域110を形成することによって、上記ケイ化物領域110は形成されてもよい。その後、上記堆積された金属の未反応部分は、例えばエッチングプロセスによって除去される。上記ケイ化物領域110はケイ化物領域と呼ぶが、ケイ化物領域110は、ゲルマニウム化物領域であってもよいし、シリコンゲルマニウム化物領域(例えば、ケイ化物とゲルマニウム化物とを備える領域)であってもよい。一実施形態では、上記ケイ化物領域110はTiSiを備え、約2nm~約10nmの間の厚さを有する。
次に、図26A~Cでは、上記第3凹部108内にコンタクト112、及び114(コンタクトプラグとも呼ばれる)が形成されている。上記コンタクト112及び114は、それぞれ、バリア層、拡散層、及び充填材料などの1つ又は複数の層を含んでもよい。例えば、いくつかの実施形態では、上記コンタクト112及び114は、それぞれ、バリア層116及び導電性材料118を含み、下層の導電性素子(例えば、図示の実施形態では、ゲート電極102及び/又はケイ化物領域110)に電気的に接続される。上記ゲートコンタクト114は、上記ゲート電極102に電気的に結合され、ゲートコンタクトと呼ばれてもよく、上記コンタクト112は、ケイ化物領域110に電気的に結合され、ソース/ドレインコンタクトと呼ばれてもよい。上記バリア層116は、チタン、窒化チタン、タンタル、窒化タンタルなどを含んでもよい。上記導電性材料118は、銅、銅合金、銀、金、タングステン、コバルト、アルミニウム、ニッケルなどであってもよい。上記第2ILD106の表面から余分な材料を除去するためにCMPなどの平坦化プロセスを行ってもよい。
実施形態は利点を実現することができる。例えば、上記ナノ構造にチャネル接合を形成することができ、それにより、全体的なチャネル抵抗を低減することができる。さらに、多段イオン注入プロセスの使用は、ナノ構造ごとに調整可能な横方向分散を達成する能力を提供する。多段トレンチ及び注入システムの使用は、ナノFETのゲートの下のチャネル領域に他のナノシートと異なる手段で各ナノシートをドープする能力を提供する。各ナノシートはアンドープチャネル幅を有することができ、それにより、各ナノシートが特定の横方向分散、イオン濃度及びドーパントの種類を有するように構成され得る。各ナノシートは、個別に構成され得るので、選択されたナノ構造の注入を完全に省略することを含む、それぞれ異なる技術の詳細を有する。
一実施形態は、両端に第1ドープチャネル接合を含む第1ナノ構造を含むデバイスである。上記デバイスは、上記第1ナノ構造上の第2ナノ構造をさらに含み、上記第2ナノ構造は、両端に第2ドープチャネル接合を含む。上記デバイスは、上記第1ナノ構造及び上記第2ナノ構造上に配置され、上記第1ナノ構造と上記第2ナノ構造との間に延在するゲート構造をさらに含む。上記デバイスは、上記ゲート構造に隣接し、上記第1ナノ構造及び上記第2ナノ構造に接触するソース/ドレイン領域をさらに含む。一実施形態では、上記デバイスは、上記第1ナノ構造の両端に配置され、上記第1ナノ構造と上記第2ナノ構造との間に延在し、上記ゲート構造のゲート誘電体と上記ソース/ドレイン領域との間に挿入されたインナースペーサをさらに含む。一実施形態では、上記インナースペーサは、ピーク濃度が上記インナースペーサと上記ゲート誘電体との界面にある第1ドーパントを含む。一実施形態では、上記第1ドープチャネル接合は、3nm~5nmの間の第1横方向分散を有する。一実施形態では、上記第2ドープチャネル接合は、第1横方向分散とは異なる第2横方向分散を有する。一実施形態において、上記第1ドープチャネル接合中のドーパントの第1濃度は、1×1018cm-3~1×1022cm-3の間である。
別の実施形態は、第1ナノ構造と、上記第1ナノ構造上の第2ナノ構造とを含むトランジスタであって、上記第1ナノ構造又は上記第2ナノ構造の少なくとも一方は、各端の第1ドープチャネル接合と、上記第1ドープチャネル接合の間の第1アンドープチャネル長を含む。上記トランジスタは、上記第1ナノ構造と上記第2ナノ構造上に配置され、上記第1ナノ構造と上記第2ナノ構造との間に延在するゲート構造をさらに含む。上記トランジスタは、上記ゲート構造に隣接し、上記第1ナノ構造と上記第2ナノ構造に接触するソース/ドレイン領域をさらに含む。一実施形態では、上記第1ナノ構造又は第2ナノ構造の少なくとも他方は、各端の第2ドープチャネル接合と、上記第2ドープチャネル接合の間の第2アンドープチャネル長とを含む。一実施形態では、第1アンドープチャネル長は、上記第2アンドープチャネル長とは異なる値である。一実施形態では、上記第3ナノ構造は、各端の第3ドープチャネル接合と、上記第3ドープチャネル接合の間の第3アンドープチャネル長とを含む。一実施形態では、上記第1アンドープチャネル、上記第2アンドープチャネル長、及び上記第3アンドープチャネル長のうちの少なくとも2つは異なる値である。一実施形態では、上記第1アンドープチャネル、上記第2アンドープチャネル長、及び上記第3アンドープチャネル長はそれぞれ異なる値である。
別の実施形態は、基板上に交互ナノ構造を形成するステップを含む方法である。上記方法はまた、上記交互ナノ構造上に1つのゲート構造を形成するステップを含む。上記方法は、第1角度イオン注入を行い、上記第1ナノ構造の第1チャネル端に第1ドーパントを注入するステップであって、上記第1チャネル端が上記ゲート構造の下にあるステップをさらに含む。上記方法は、上記交互ナノ構造の第1ナノ構造をエッチングして、上記ゲート構造に隣接する第1ナノ構造内に第1凹部を形成し、上記第1ナノ構造の第1チャネル端を露出させるステップをさらに含む。上記方法は、第2角度イオン注入を行い、第2ナノ構造の第2チャネル端に第2ドーパントを注入するステップであって、上記第2チャネル端が上記ゲート構造の下にあるステップをさらに含む。本方法はさらに、上記交互ナノ構造の第2ナノ構造をエッチングして、上記第1凹部を延長し、上記ゲート構造に隣接する第2ナノ構造内に第2凹部を形成し、上記第2ナノ構造の第2チャネル端を露出させるステップをさらに含む。本方法は、エッチングにより上記第2凹部を延長し、基板上に第3凹部を形成するステップをさらに含む。本方法は、上記第3凹部にソース/ドレイン領域を配置するステップことをさらに含む。一実施形態では、上記方法は、上記第1ナノ構造と上記第2ナノ構造との間に配置された第3ナノ構造の側壁をリセスするステップと、上記第3ナノ構造の側壁にインナースペーサを堆積するステップとをさらに含む。一実施形態では、上記第3ナノ構造の側壁は、上記第1角度イオン注入から注入されたイオン又は第2角度イオン注入から注入されたイオンを含んでもよく、上記方法は、上記第3ナノ構造と上記インナースペースをアニールし、上記第3ナノ構造からインナースペースに注入されたイオンを拡散させるステップをさらに含んでもよい。一実施形態では、上記第1角度イオン注入は、上記第1ドーパントを第1横方向距離だけ上記第1ナノ構造の第1チャネル端に注入し、第2角度イオン注入は、上記第2ドーパントを第2横方向距離だけ上記第2ナノ構造の第2チャネル端に注入する。一実施形態では、上記第1横方向距離と第2横方向距離とは異なる値を有する。一実施形態では、上記第1横方向距離と上記第2横方向距離は、それぞれ3nm~5nmである。一実施形態では、上記方法は、上記第1ナノ構造をエッチングして上記第1凹部を形成した後、上記第1凹部の表面を洗浄するステップを含んでもよい。一実施形態では、上記第1ドーパントは、上記第2ドーパントとは異なる種類である。
以上は、当業者が本開示の様々な態様をよりよく理解することができるように、いくつかの実施形態の特徴を要約したものである。当業者は、同じ目的を達成するために、及び/又は、本明細書に記載された実施形態の同じ利点を達成するために、他のプロセス及び構造を設計又は修正するための基礎として、本開示を容易に使用することができることを理解する。当業者はまた、これらの等価構造が本開示の精神及び範囲から逸脱しないこと、及び、本開示の精神及び範囲から逸脱することなく、様々な変更、置換及び変更を行うことができることを理解すべきである。

Claims (20)

  1. デバイスであって、
    両端に第1ドープチャネル接合を備える第1ナノ構造と、
    前記第1ナノ構造上の第2ナノ構造であって、両端に第2ドープチャネル接合を備える第2ナノ構造と、
    前記第1ナノ構造と前記第2ナノ構造上に配置され、前記第1ナノ構造と前記第2ナノ構造との間に延在するゲート構造と、
    前記ゲート構造に隣接し、前記第1ナノ構造と前記第2ナノ構造に接触するソース/ドレイン領域とを備える、デバイス。
  2. 前記第1ナノ構造の両端に配置され、前記第1ナノ構造と前記第2ナノ構造との間に延在し、前記ゲート構造のゲート誘電体と前記ソース/ドレイン領域との間に挿入されるインナースペーサをさらに備える、請求項1に記載のデバイス。
  3. 前記インナースペーサは、ピーク濃度が前記インナースペーサと前記ゲート誘電体との界面にある第1ドーパントを備える、請求項2に記載のデバイス。
  4. 前記第1ドープチャネル接合は、3nm~5nmの間の第1横方向分散を有する、請求項1に記載のデバイス。
  5. 前記第2ドープチャネル接合は、前記第1横方向分散とは異なる第2横方向分散を有する、請求項1に記載のデバイス。
  6. 前記第1ドープチャネル接合中のドーパントの第1濃度は、1×1018cm-3~1×1022cm-3である、請求項1に記載のデバイス。
  7. トランジスタであって、
    第1ナノ構造と、
    前記第1ナノ構造上の第2ナノ構造であって、前記第1ナノ構造又は前記第2ナノ構造の少なくとも一方が、各端の第1ドープチャネル接合と、前記第1ドープチャネル接合の間の第1アンドープチャネル長とを備える第2ナノ構造と、
    前記第1ナノ構造と前記第2ナノ構造上に配置され、前記第1ナノ構造と前記第2ナノ構造との間に延在するゲート構造と、
    前記ゲート構造に隣接し、前記第1ナノ構造と前記第2ナノ構造のソース/ドレイン領域に接触するソース/ドレイン領域とを備える、トランジスタ。
  8. 前記第1ナノ構造又は前記第2ナノ構造の少なくとも他方は、各端の第2ドープチャネル接合と、前記第2ドープチャネル接合の間の第2アンドープチャネル長とを備える、請求項7に記載のトランジスタ。
  9. 前記第1アンドープチャネル長は、前記第2アンドープチャネル長とは異なる値である、請求項8に記載のトランジスタ。
  10. 前記第2ナノ構造上の第3ナノ構造をさらに備え、
    前記ゲート構造は、前記第2ナノ構造と第3ナノ構造との間に延在し、前記第3ナノ構造は、各端の第3ドープチャネル接合と、前記第3ドープチャネル接合の間の第3アンドープチャネル長とを備える、請求項8に記載のトランジスタ。
  11. 前記第1アンドープチャネル長、前記第2アンドープチャネル長、及び前記第3アンドープチャネル長のうちの少なくとも2つは異なる値である、請求項10に記載のトランジスタ。
  12. 前記第1アンドープチャネル長、前記第2アンドープチャネル長、及び前記第3アンドープチャネル長は、それぞれ異なる値である、請求項11に記載のトランジスタ。
  13. 方法であって、
    基板上にマルチナノ構造を形成するステップと、
    前記マルチナノ構造上に1つのゲート構造を形成するステップと、
    第1角度イオン注入を行い、前記マルチナノ構造のうちの第1ナノ構造の第1チャネル端に第1ドーパントを注入するステップであって、前記第1チャネル端が前記ゲート構造の下にあるステップと、
    前記交互ナノ構造の第1ナノ構造をエッチングして、前記ゲート構造に隣接する第1ナノ構造内に第1凹部を形成し、前記エッチングにより前記第1ナノ構造の第1チャネル端を露出させるステップと、
    第2角度イオン注入を行い、上記第2ナノ構造の第2チャネル端に第2ドーパントを注入するステップであって、前記第2チャネル端が前記ゲート構造の下にあるステップと、
    前記交互ナノ構造の第2ナノ構造をエッチングして、前記第1凹部を延長し、前記ゲート構造に隣接する第2ナノ構造内に第2凹部を形成し、前記エッチングにより前記第2ナノ構造の第2チャネル端を露出させるステップと、
    エッチングにより前記第2凹部を延長し、基板上に第3凹部を形成するステップと、
    前記第3凹部にソース/ドレイン領域を堆積するステップとを備える、方法。
  14. 前記第1ナノ構造と前記第2ナノ構造との間に配置されたマルチナノ構造のうちの第3ナノ構造の側壁をリセスするステップと、
    前記第3ナノ構造の側壁にインナースペーサを堆積するステップとをさらに備える、請求項13に記載の方法。
  15. 前記第3ナノ構造の側壁は、前記第1角度イオン注入から注入されたイオン又は第2角度イオン注入から注入されたイオンを備え、
    前記第3ナノ構造と前記インナースペーサをアニールし、前記第3ナノ構造から前記インナースペーサに注入されたイオンを拡散させるステップをさらに備える、請求項14に記載の方法。
  16. 前記第1角度イオン注入は、第1ドーパントを第1横方向距離だけ前記第1ナノ構造の第1チャネル端に注入し、前記第2角度イオン注入は、第2ドーパントを第2横方向距離だけ前記第2ナノ構造の第2チャネル端に注入する、請求項14に記載の方法。
  17. 前記第1横方向距離と前記第2横方向距離とは異なる値を有する、請求項16に記載の方法。
  18. 前記第1横方向距離と前記第2横方向距離は、それぞれ3nm~5nmである、請求項16に記載の方法。
  19. 前記第1ナノ構造をエッチングして前記第1凹部を形成した後、前記第1凹部の表面を洗浄するステップをさらに備える、請求項13に記載の方法。
  20. 前記第1ドーパントは、前記第2ドーパントとは異なる種類である、請求項13に記載の方法。
JP2021125617A 2020-07-31 2021-07-30 ナノ-fet用のイオン注入 Pending JP2022027717A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063059218P 2020-07-31 2020-07-31
US63/059,218 2020-07-31
US17/119,102 2020-12-11
US17/119,102 US11348835B2 (en) 2020-07-31 2020-12-11 Ion implantation for nano-FET

Publications (1)

Publication Number Publication Date
JP2022027717A true JP2022027717A (ja) 2022-02-14

Family

ID=77155675

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021125617A Pending JP2022027717A (ja) 2020-07-31 2021-07-30 ナノ-fet用のイオン注入

Country Status (7)

Country Link
US (3) US11348835B2 (ja)
EP (1) EP3945546A1 (ja)
JP (1) JP2022027717A (ja)
KR (1) KR102494305B1 (ja)
CN (1) CN113540084A (ja)
DE (1) DE102020133689A1 (ja)
TW (1) TWI811615B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230066477A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6566201B1 (en) 2001-12-31 2003-05-20 General Semiconductor, Inc. Method for fabricating a high voltage power MOSFET having a voltage sustaining region that includes doped columns formed by rapid diffusion
US9490340B2 (en) * 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
KR102413782B1 (ko) * 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US9773886B1 (en) * 2016-03-15 2017-09-26 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having doped internal spacers and methods of manufacturing the same
US10600638B2 (en) * 2016-10-24 2020-03-24 International Business Machines Corporation Nanosheet transistors with sharp junctions
US10522359B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10297664B2 (en) * 2017-04-13 2019-05-21 Globalfoundries Inc. Nanosheet transistor with uniform effective gate length
US10439049B2 (en) * 2017-12-19 2019-10-08 International Business Machines Corporation Nanosheet device with close source drain proximity
US11088337B2 (en) 2018-11-20 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors
US20210184045A1 (en) * 2019-12-13 2021-06-17 Intel Corporation High voltage ultra-low power thick gate nanoribbon transistors for soc applications

Also Published As

Publication number Publication date
US20220319930A1 (en) 2022-10-06
DE102020133689A1 (de) 2022-02-03
KR20220015908A (ko) 2022-02-08
US11901235B2 (en) 2024-02-13
TWI811615B (zh) 2023-08-11
CN113540084A (zh) 2021-10-22
EP3945546A1 (en) 2022-02-02
US20220037465A1 (en) 2022-02-03
US11348835B2 (en) 2022-05-31
US20240136228A1 (en) 2024-04-25
TW202207461A (zh) 2022-02-16
KR102494305B1 (ko) 2023-02-06

Similar Documents

Publication Publication Date Title
TWI739147B (zh) 半導體裝置及其形成方法
US20220149176A1 (en) Gate structures and methods of forming same
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
US20240136228A1 (en) Ion Implantation For Nano-FET
US20240154016A1 (en) Transistor Gates and Methods of Forming
US20240145543A1 (en) Semiconductor device and method of forming the same
US11145746B2 (en) Semiconductor device and method
US20220384617A1 (en) Semiconductor Device and Method
US11769821B2 (en) Semiconductor device having a corner spacer
US20220344516A1 (en) Low ge isolated epitaxial layer growth over nano-sheet architecture design for rp reduction
KR20220023689A (ko) 반도체 디바이스 및 그 제조 방법
US11404554B2 (en) Transistor gates and method of forming
US20230138136A1 (en) NanoStructure Field-Effect Transistor Device and Methods of Forming
US11915937B2 (en) Fluorine incorporation method for nanosheet
US20230155002A1 (en) Metal gate fin electrode structure and method
US20220020865A1 (en) Multilayer Masking Layer and Method of Forming Same
US20230008494A1 (en) Gate structures in transistor devices and methods of forming same
TW202416360A (zh) 半導體裝置及其形成方法
TW202410163A (zh) 奈米結構場效電晶體及其製造方法