JP2020515028A - 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法 - Google Patents

基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法 Download PDF

Info

Publication number
JP2020515028A
JP2020515028A JP2019525776A JP2019525776A JP2020515028A JP 2020515028 A JP2020515028 A JP 2020515028A JP 2019525776 A JP2019525776 A JP 2019525776A JP 2019525776 A JP2019525776 A JP 2019525776A JP 2020515028 A JP2020515028 A JP 2020515028A
Authority
JP
Japan
Prior art keywords
targets
substrate
target
values
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019525776A
Other languages
English (en)
Other versions
JP7203725B2 (ja
Inventor
ワーナール,パトリック
デル シャール,マウリッツ ヴァン
デル シャール,マウリッツ ヴァン
グルゼラ,グジェゴジ
クープ,エリック,ヨハン
カラド,ビクター,エマニュエル
ゼン,シー−ハン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2020515028A publication Critical patent/JP2020515028A/ja
Priority to JP2021209954A priority Critical patent/JP2022058401A/ja
Application granted granted Critical
Publication of JP7203725B2 publication Critical patent/JP7203725B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

複数のターゲットを形成された基板の特性を測定する方法であって、その方法は、光学測定システムを使用して、複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各上記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、Q個の式及びN*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、を含み、光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各N個のターゲットごとに測定値が取得される。【選択図】図4

Description

関連出願の相互参照
[0001] 本願は、2016年12月16日に出願された欧州特許出願第16204764.1号の優先権を主張するものであり、この特許は、参照によりその全体を本明細書に援用される。
[0002] 本発明は、例えば、リソグラフィ技術によるデバイスの製造に使用可能なメトロロジの方法及び装置と、リソグラフィ技術を使用してデバイスを製造する方法と、に関する。
[0003] リソグラフィ装置は、基板に、通常は基板のターゲット部分に所望のパターンを付加する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造で使用することができる。その際に、代替としてマスク又はレチクルとも称されるパターニングデバイスを使用して、ICの個々の層に形成される回路パターンを発生させることができる。このパターンは、基板(例えば、シリコンウェーハ)のターゲット部分(例えば、1つのダイの一部、1つのダイ、又は複数のダイを含む)に転写することができる。パターンの転写は通常、基板に設けられた放射感応性材料(レジスト)層への結像による。一般に、単一の基板は、連続的にパターン形成された、隣接したターゲット部分のネットワークを含む。
[0004] リソグラフィプロセスでは、多くの場合、例えば、プロセス制御及び検証を行うために、形成された構造の測定を行うのが好ましい。クリティカルディメンジョン(CD)を測定するのにしばしば使用される走査電子顕微鏡と、デバイスの2つの層のアライメント精度、オーバーレイを測定する専用ツールと、を含む、上記の測定を行う様々なツールが公知である。最近では、様々な形態のスキャトロメータが、リソグラフィ分野で使用するために開発された。これらのデバイスは、ターゲットの対象となる特性を求めることを可能にする回折「スペクトル」を得るために、放射ビームをターゲットに誘導し、散乱線の1つ又は複数の特性、例えば、単一の反射角における波長に応じた強度照度、1つ又は複数の波長における反射角に応じた強度照度、又は反射角に応じた偏光を測定する。
[0005] 公知のスキャトロメータの例には、米国特許出願公開第2006033921A1号及び米国特許出願公開第2010201963A1号に記載のタイプの角度分解スキャトロメータがある。そのようなスキャトロメータで使用されるターゲットは、例えば、40μm x 40μmなどの比較的大きい格子であり、測定ビームは、格子よりも小さいスポットを形成する(すなわち、格子はアンダーフィルされる)。再現によるフィーチャ形状の測定に加えて、米国特許出願公開第2006066855A1号に記載された装置を使用して、回折によりオーバーレイを測定することができる。回折次数の暗視野結像を使用する、回折に基づくオーバーレイメトロロジは、より小さいターゲットのオーバーレイ及び他のパラメータの測定を可能にする。これらのターゲットは、照明スポットよりも小さくすることができ、基板の製品構造によって囲むことができる。周囲の製品構造からの強度照度は、像平面の暗視野検出を用いて、オーバーレイターゲットからの照度強度から効率的に分離することができる。
[0006] 暗視野結像メトロロジの例は、米国特許出願公開第20100328655A1号及び米国特許出願公開第2011069292A1号に見ることができ、これらの文献は、参照によりそれらの全体を本明細書に援用される。技術のさらなる発展形態は、米国特許出願公開第20110027704A号、同第20110043791A号、同第2011102753A1号、同第20120044470A号、同第20120123581A号、同第20120242970A1号、同第20130258310A号、同第20130271740A号、及び国際公開第2013178422A1号に記載されている。通常、これらの方法では、ターゲットの特性として、非対称性を測定するのが望ましい。ターゲットは、非対称性の測定値を使用して、オーバーレイ、焦点距離、又はドーズなどの様々な性能パラメータの測定値を求めることができるように設計することができる。ターゲットの非対称性は、スキャトロメータを使用して、回折スペクトルの両側部分間の強度の差を検出することで測定される。非対称性の測定値を求めるために、例えば、+1及び−1の回折次数の強度を比較することができる。
[0007] 特に、オーバーレイ測定に対するスキャトロメトリの測定精度には、例えば、ターゲット構造の処理による、非対称の側壁角、格子床の傾斜などの格子非対称擾乱という欠点がある。ウェーハ上の位置の間で処理が異なるために、測定への影響は位置ごとに異なる。さらに、格子擾乱に対する測定の感度は、測定に使用される照明条件、例えば、波長、帯域幅、偏光、開口形状などによって変わる。したがって、従来のスキャトロメトリ測定の精度には限界がある。
[0008] 本発明の目的は、基板全体にわたる、及び/又は基板間のプロセスバリエーションがある中で測定の精度を改善することである。
[0009] 本発明は、第1の態様において、基板の特性を測定する方法を提供し、基板には複数のターゲットが形成され、方法は、
光学測定システムを使用して、複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各上記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
Q個の式及びN*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、を含み、
光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各N個のターゲットごとに測定値が取得される。
[0010] 本発明は、第2の態様において、デバイス製造方法を提供し、この方法は、
基板上に第1のデバイス層を形成し、第1のデバイス層は複数のターゲットを含むことと、
光学測定システムを使用して、複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各上記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
Q個の式及びN*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、
別のプロセスステップについて判断することと、を含み、
光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各N個のターゲットごとに測定値が取得され、
判断は、R個の特性値に基づいて行われる。
[0011] 本発明は、第3の態様において、光学測定システムに基板の特性を測定する方法を実施させる命令を含むコンピュータプログラムを提供し、基板には複数のターゲットが形成され、方法は、
光学測定システムを使用して、複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各上記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
Q個の式及びN*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、を含み、
光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各N個のターゲットごとに測定値が取得される。
[0012] 本発明は、第4の態様において、リソグラフィプロセスによって1つ又は複数の基板上に形成された複数の構造の特性を測定する検査装置を提供し、装置は、照明光学系、収集光学系、及び処理システムを含み、処理システムは、照明光学系によって確立された照明条件の1つ又は複数のセットのもとで、構造によって散乱された後に上記収集光学系によって収集された放射から、各構造の上記特性の測定値を少なくとも部分的に導出するように構成され、処理システムは装置を制御して、上記の方法で複数の構造の特性の測定値を導出するように構成される。
[0013] 本発明は、第5の態様において、リソグラフィシステムを提供し、リソグラフィシステムは、リソグラフィ装置を含み、
リソグラフィ装置は、
パターンを照明するように構成された照明光学系と、
パターンの像を基板上に投影する投影光学系と、
上記の検査装置と、を含み、
リソグラフィ装置は、パターンをさらなる基板に付加する際に、検査装置からの測定結果を使用するように構成される。
[0014] 本発明のさらなる特徴及び利点、さらには、本発明の様々な実施形態の構造及び動作が、添付図面を参照して下記に詳細に説明される。なお、本発明は、本明細書で説明する特定の実施形態に限定されない。そのような実施形態は、例示のみを目的として本明細書に提示される。さらなる実施形態が、本明細書に記載された教示から当業者に明らかになるであろう。
[0015] 本発明の実施形態が、例として、添付の図面を参照して以下に説明される。
リソグラフィ装置を半導体デバイス用の製造設備を形成する他の装置と共に示している。 (a)本発明の一部の実施形態に従って、角度分解スキャトロメトリ及び暗視野結像検査法を実施するように構成された検査装置と、(b)図2の装置のターゲット格子による入射放射線の回折の拡大詳細図と、を概略的に示している。 本発明の方法で使用可能なターゲットを示している。 本発明の実施形態で使用される例示的な測定方策を示している。 本発明の実施形態による測定方法を示している。
詳細な説明
[0016] 本発明の実施形態を詳細に説明する前に、本発明の実施形態を実施できる例示的環境を提示することは有益である。
[0017] 図1は、リソグラフィ大量製造プロセスを実施する製造設備の一部として、リソグラフィ装置LAを100で示している。この例では、製造プロセスは、半導体ウェーハなどの基板上での半導体製品(集積回路)の製造に適している。当業者には、このプロセスの変形型で様々なタイプの基板を処理することで、多岐にわたる製品を製造できると分かるであろう。今日、莫大な商業的価値がある半導体製品の製造は、単なる例として使用される。
[0018] リソグラフィ装置(又は、略して「リソツール」100)内において、測定ステーションMEAは102で示され、露光ステーションEXPは104で示されている。制御ユニットLACUは106で示されている。この例では、各基板は、測定ステーションと、適用されるパターンを有する露光ステーションに滞在する。光学リソグラフィ装置では、例えば、調整された放射線及び投影システムを使用して、製品パターンをパターニングデバイスMAから基板に転写するために、投影システムが使用される。これは、放射線感応性レジスト材料の層にパターン像を形成することで行われる。
[0019] 本明細書で使用される「投影システム」という用語は、露光放射を使用するのに適した、又は液浸液の使用若しくは真空の使用などの他の要素に適した、屈折式、反射式、反射屈折式、磁気式、電磁式、及び静電式光学系、又はそれらの任意の組み合わせを含む任意のタイプの投影システムを包含すると広く解釈すべきである。パターニングMAデバイスはマスク又はレチクルとすることができ、パターン又はレチクルは、パターニングデバイスによって透過又は反射される放射ビームにパターンを付与する。公知の動作モードとして、ステッピングモード及び走査モードがある。公知のように、投影システムは、基板及びパターニングデバイス用のサポート及び位置決めシステムと様々な方法で協同して、基板全体にわたる多数のターゲット部分に所望のパターンを付加することができる。プログラマブルパターニングデバイスは、固定パターンを有するレチクルの代わりに使用することができる。放射には、例えば、深紫外線(DUV)又は極紫外線(EUV)周波帯の電磁放射があり得る。本開示は、他のタイプのリソグラフィプロセス、例えば、電子ビームによる、例えば、インプリントリソグラフィ及びダイレクトライティングリソグラフィにも適用可能である。
[0020] リソグラフィ装置制御ユニットLACUは、装置LAに基板W及びレチクルMAを受け入れさせ、パターン形成処理を実施させるために、様々なアクチュエータ及びセンサの動作及び測定を制御する。LACUには、装置の動作に関連する所望の計算を実施する信号処理及びデータ処理能力もある。実際に、制御ユニットLACUは、それぞれがリアルタイムのデータ取得、処理、及び装置内のサブシステム又はコンポーネントの制御を取り扱う多数のサブユニットからなるシステムとして具現化される。
[0021] 基板は、様々な予備ステップが実施できるように、露光ステーションEXPでパターンが基板に付加される前に、測定ステーションMEAで処理される。予備ステップは、高さセンサを使用して基板の面高さをマッピングすること、及び、アライメントセンサを使用して基板のアライメントマークの位置を測定すること、を含むことができる。アライメントマークは、通常、規則的な格子パターンに配置される。しかし、マークを形成する際の不正確さにより、さらに、基板の処理全体を通して発生する基板の変形により、マークは理想的な格子から外れる。このため、装置が、製品フィーチャをきわめて高い精度で正確な位置にプリントする場合に、基板の位置及び向きを測定することに加えて、実際上、アライメントセンサが、基板領域全体にわたって、多数のマークの位置を詳細に測定しなければならない。装置は、制御ユニットLACUによって制御される位置決めシステムをそれぞれが含む2つの基板テーブルを有する、いわゆる2段タイプとすることができる。一方の基板テーブルに載った1つの基板が、露光ステーションEXPで露光されている間、別の基板は、様々な予備ステップを実施できるように、測定ステーションMEAで他方の基板テーブルに載せることができる。したがって、アライメントマークの測定は非常に時間がかかり、2つの基板テーブルを設けることで、装置のスループットの大幅な向上が可能になる。基板テーブルが測定ステーション及び露光ステーションにある間、位置センサIFが、基板テーブルの位置を測定できない場合に、両方のステーションで基板テーブルの位置を探知するのを可能にする第2の位置センサを設けることができる。リソグラフィ装置LAは、例えば、2つの基板テーブルと、基板テーブルを交換することができる2つのステーション(露光ステーション及び測定ステーション)を有する、いわゆる2段タイプとすることができる。
[0022] 製造設備内で、装置100は、装置100でパターンを形成するために、感光性レジスト及び他の被覆を基板Wに塗布する被覆装置108も収容する「リソセル」又は「リソクラスタ」の一部を形成する。装置100の出力側には、露光されたパターンを現像して物理レジストパターンにするために、焼成装置110及び現像装置112が設けられている。これらの全装置間で、基板ハンドリングシステムは、基板の支持と、1つの装置から次の装置への基板の移送と、を引き受ける。しばしば一括してトラックと称されるこれらの装置は、トラック制御ユニットの制御下にあり、トラック制御ユニットは、それ自体、監視制御システムSCSによって制御され、監視制御システムSCSはまた、リソグラフィ装置制御ユニットLACUを通してリソグラフィ装置を制御する。こうして、様々な装置は、スループット及び処理効率を最大限にするように動作することができる。監視制御システムSCSは、各パターン付き基板を形成するために実施されるステップの定義をより詳細に規定したレシピ情報Rを受け取る。
[0023] パターンがリソセルにおいて付加され、現像されると、パターン付き基板120は、122、124、126などで示す他の処理装置に移送される。典型的な製造設備の様々な装置によって、様々な処理ステップが実施される。例として、この実施形態の装置122は、エッチングステーションであり、装置124は、エッチング後のアニールステップを行う。さらなる物理的及び/又は化学的処理ステップがさらなる装置126などに適用される。材料の堆積、表面材料特性の改質(酸化、ドーピング、イオン注入など)、化学機械研磨(CMP)などの様々なタイプの処理が、実際のデバイスを作製するために必要とされ得る。装置126は、実際上、1つ又は複数の装置で実施される一連の様々な処理ステップを表すことができる。
[0024] 公知のように、半導体デバイスの製造は、基板の層ごとに適切な材料及びパターンを用いてデバイス構造を構築するために、そのような処理を多数繰り返すことを必要とする。相応して、リソクラスタに到達した基板130は、新たに用意された基板とすることができ、又は基板130は、このクラスタで、又は全く別の装置ですでに処理された基板とすることができる。同様に、必要とされる処理に応じて、基板132は、装置126から出ると、次のパターン形成処理のために同じリソクラスタに戻すことができ、又はパターン形成処理のために、行き先を異なるクラスタにすることができ、又はダイシング及びパッケージ化のために送られる完成品とすることができる。
[0025] 製品構造の各層は、プロセスステップの異なるセットを必要とし、各層で使用される装置126は、タイプが完全に異なることがある。さらに、装置126によって適用される処理ステップが名目上同じである場合でさえ、大型設備において、様々な基板に対してステップ126を実施するために、並行して動作するいくつかの同一と考えられる機械があり得る。これらの機械間の構成又は欠点の小さな相違は、この小さな相違が、様々な基板に異なる形で影響を及ぼすことを意味し得る。エッチング(装置122)などの比較的各層に共通であるステップでさえ、名目上同一であるが、スループットを最大化するために並行して動作するいくつかのエッチング装置によって実施されることがある。実際上、さらに、様々な層は、エッチングされる材料の細部と、例えば、異方性エッチングなどの特別な要件と、に応じて、様々なエッチングプロセス、例えば、化学エッチング、プラズマエッチングを必要とする。
[0026] 上記のように、前の、及び/又は次のプロセスは、他のリソグラフィ装置で実施することができ、様々なタイプのリソグラフィ装置で実施することさえできる。例えば、解像度及びオーバーレイなどのパラメータに関して要求がきわめて厳しい一部の層は、デバイス製造プロセスにおいて、要求があまり厳しくない他の層よりも高度なリソグラフィツールで実施することができる。したがって、一部の層は、液浸タイプのリソグラフィツールで露光することができ、一方、他の層は、「ドライ」ツールで露光される。一部の層は、DUV波長で動作するツールで露光することができ、一方、他の層は、EUV波長の放射線を使用して露光される。
[0027] リソグラフィ装置によって露光される基板が、正確かつ一貫的に露光されるために、露光された基板を検査して、基板層間のオーバーレイエラー、線太さ、クリティカルディメンジョン(CD)などの特性を測定するのが望ましい。相応して、リソセルLCが配置された製造設備は、メトロロジシステムMETも含み、メトロロジシステムMETは、リソセルで処理された基板Wの一部又はすべてを受け入れる。メトロロジの結果は、監視制御システム(SCS)138に直接的に、又は間接的に供給される。エラーが検出されると、特に、同じバッチの他の基板がそれでも露光される程度にすぐに、かつ素早くメトロロジを行うことができる場合に、次の基板の露光を調整することができる。また、すでに露光された基板は、歩留まりを改善するために剥がして再処理するか、又は廃棄することができ、それにより、欠陥があると分かっている基板に対するさらなる処理の実施を回避する。基板の一部のターゲット部分だけに欠陥がある場合に、良好であるターゲット部分に対してだけ、さらなる露光を実施することができる。
[0028] 製造プロセスの所望の段階で、製品のパラメータの測定をするために設けられたメトロロジ装置140も図1に示されている。最新のリソグラフィ製造設備のメトロロジ装置の一般的な例には、スキャトロメータ、例えば、角度分解スキャトロメータ又は分光スキャトロメータがあり、このスキャトロメータは、装置122でエッチングを行う前に、120の現像された基板の特性を測定するのに使用することができる。メトロロジ装置140を使用して、例えば、オーバーレイ又はクリティカルディメンジョン(CD)などの重要な性能パラメータが、現像されたレジストにおいて特定の精度要件を満たしていないことを明らかにすることができる。エッチングステップの前に、現像されたレジストを剥がし、リソクラスタによって基板120を再処理する機会が存在する。やはり公知のように、装置140からのメトロロジの結果142を使用して、リソクラスタでのパターン形成処理の高精度な性能を維持し、監視制御システムSCS及び/又は制御ユニットLACU106によって、長期にわたる小調整を行い、それにより、製品が仕様から外れる、及び再処理を必要とするリスクを最小限にすることができる。当然のことながら、メトロロジ装置140及び/又は他のメトロロジ装置(図示せず)は、処理済み基板132、134及び投入基板130の特性を測定するのに適用することができる。
[0029] 例示的な検査装置
図2(a)は、いわゆる暗視野結像メトロロジを実施する検査装置の主要要素を概略的に示している。メトロロジ装置は、スタンドアロン型デバイスとするか、又は、例えば、測定ステーション若しくはリソグラフィセルLCのいずれかで、リソグラフィ装置LAに組み込むことができる。装置全体にわたっていくつかの分岐を有する光軸は、点線Oで示されている。ターゲット格子構造T及び回折光線は、図2(b)にさらに詳細に示されている。
[0030] 導入部に記載した先行出願で説明されているように、図2(a)の暗視野結像装置は、分光スキャトロメータの代わりに、又は分光スキャトロメータに加えて使用することができる多目的角度分解スキャトロメータの一部とすることができる。このタイプの検査装置では、放射源11から放射された放射線は、照明システム12によって調整される。例えば、照明システム12は、コリメートレンズシステム12a、カラーフィルタ12b、ポラライザ12c、及びアパーチャデバイス13を含むことができる。調整された放射は、照明光路IPをたどり、照明光路IP内で部分反射面15によって反射され、顕微鏡対物レンズ16を介して基板W上のスポットSに合焦される。メトロロジターゲットTは、基板Wに形成することができる。レンズ16は、好ましくは少なくとも0.9、より好ましくは少なくとも0.95の高い開口数(NA)を有する。必要な場合に、液浸流体を使用して1を超える開口数を用いて得ることができる。
[0031] この例での対物レンズ16は、ターゲットによって散乱した放射を収集する働きもする。収集光路CPが、この戻り放射用として概略的に示されている。多目的スキャトロメータは、収集光路に2つ以上の測定分岐を有することができる。図示した例は、瞳結像光学系18及び瞳像センサ19を含む瞳結像分岐を含む。下記にさらに詳細に説明される結像分岐も示されている。さらに、実用装置では、例えば、強度の正規化用、取込みターゲットの粗像形成用、合焦用などの基準放射を収集するためのさらなる光学系及び分岐が含まれる。これらの詳細は、上記の先行公報に見ることができる。
[0032] メトロロジターゲットTが基板Wに設けられる場合、メトロロジターゲットTは1D格子とすることができ、1D格子は、現像後に、バーが、連続するレジスト線で形成されるようにプリントされる。ターゲットは2D格子とすることができ、この格子は、現像後に、連続するレジストピラー又はレジスト内のビアで形成されるようにプリントされる。あるいは、バー、ピラー、又はビアは、基板にエッチングで形成することができる。各これらの格子は、検査装置を使用して特性を調べることができるターゲット構造の例である。格子の場合に、構造は周期的である。オーバーレイメトロロジターゲットの場合に、格子は、前のパターン形成ステップで形成された別の格子の上にプリントされる、又はこの別の格子と交互に配置される。
[0033] 照明システム12の様々なコンポーネントは、同じ装置内で様々なメトロロジ「レシピ」を実施するように調整可能である。照明放射の特性として、波長(色)及び偏光を選択することに加えて、照明システム12は、様々な照明プロファイルを実施するように調整することができる。アパーチャデバイス13の平面は、対物レンズ16の瞳面及び瞳像検出器19の平面と共役である。したがって、アパーチャデバイス13によって決まる照明プロファイルは、基板W上のスポットSへの入射光の角度分布を画定する。様々な照明プロファイルを実施するために、照明光路にアパーチャデバイス13を設けることができる。アパーチャデバイスは、可動式スライダ又はホイールに取り付けられた様々な開口13a、13b、13cなどを含むことができる。あるいは、アパーチャデバイスは、固定された、又はプログラム可能な空間光モジュレータ(SLM)を含むことができる。さらなる代替案として、照明瞳面の様々な位置に光ファイバを配置して、光ファイバのそれぞれの位置に選択的に光を送ったり、又は送らなかったりするために使用することができる。これらの変形版は、すべて上記の文献で説明され、例示されている。アパーチャデバイスは、透過よりもむしろ反射の形態をとることができる。例えば、反射式SLMを使用することができる。実際に、UV又はEUV波帯で動作する検査装置において、光学要素のほとんど、又はすべては、反射式とすることができる。
[0034] 照明モードに応じて、入射角が図2(b)の「I」で示す通りとなるように例示的な光線30aを供給することができる。ターゲットTによって反射されたゼロ次光線の光路は、「0」と表記されている(光軸「O」と混同しないこと)。同様に、同じ照明モードにおいて、又は第2の照明モードにおいて、光線30bを供給することができ、この場合に、入射及び反射の角度は、第1のモードと比較して入れ替わる。図2(a)では、第1及び第2の例示的な照明モードのゼロ次光線は、それぞれ0a、0bと表記されている。
[0035] 図2(b)にさらに詳細に示すように、ターゲット構造の例としてのターゲット格子Tは、基板Wが対物レンズ16の光軸Oに垂直な状態で配置されている。オフアクシス照明プロファイルの場合に、軸Oから外れて角度をなして格子Tに当たった照明Iの光線30aは、ゼロ次光線(実線0)及び2つの一次光線(一点鎖線+1及び二点鎖線−1)を生じさせる。小ターゲット格子がオーバーフィルされる場合、これらの光線は、メトロロジ格子T及び他のフィーチャを含む基板の領域にわたる多数の平行光線の1つにすぎないことを忘れてはならない。照明光線30aのビームは、(有用な光量を収容するのに必要な)有限の幅を有するので、入射光線Iは、事実上、所定の角度範囲を占め、回折光線0及び回折光線+1/−1は幾分広がる。小ターゲットの点広がり関数によれば、各次数+1、−1は、示すような単一の理想光線ではなく、所定の角度範囲にわたってさらに広がる。
[0036] 暗視野結像用の収集光路の分岐では、結像光学系20は、基板Wのターゲットの像T’をセンサ23(例えば、CCD又はCMOSセンサ)に形成する。開口絞り21は、対物レンズ16の瞳面と共役である収集光路CPの結像分岐の平面に設けられている。開口絞り21は、瞳絞りと称されることもある。開口絞り21は、照明開口が様々な形態をとることができるのと同様に、様々な形態をとることができる。開口絞り21は、レンズ16の有効開口と共同して、散乱線のどの部分を使用してセンサ23に像を形成するかを定める。通常、開口絞り21は、ゼロ次回折ビームを遮断するように機能するので、センサ23に形成されるターゲットの像は、一次ビームからのみ形成される。両方の一次ビームが組み合わされて像を形成する例では、この像は、暗視野顕微鏡に相当する、いわゆる暗視野像である。
[0037] センサ23によって取り込まれた像は、画像プロセッサ及びコントローラPUに出力され、画像プロセッサ及びコントローラPUの機能は、行われる特定のタイプの測定によって決まる。このために、ターゲット構造の非対称性の測定が行われる。非対称性測定は、ターゲット構造を形成するのに使用されるリソグラフィプロセスの性能パラメータの測定値を求めるために、ターゲット構造の情報と組み合わせることができる。この方法で測定できる性能パラメータには、例えば、オーバーレイ、焦点距離、及びドーズがある。ターゲットの特別な構造は、様々な性能パラメータのこれらの測定が、同じ基本的な非対称性測定法を通じて行われるのを可能にするために設けられる。
[0038] 再度図2(b)及び照明光線30aを参照すると、ターゲット格子からの+1次回折光線は、対物レンズ16に入り、センサ23に像が記録されるのに寄与する。光線30bは、光線30aとは反対の角度で入射し、そのため、−1次回折光線が対物レンズに入り、画像に寄与する。開口絞り21は、オフアクシス照明を使用する場合に、ゼロ次放射線を遮断する。先行公報で説明されているように、照明モードは、X及びY方向のオフアクシス照明で定義することができる。
[0039] これらの様々な照明モード下で、ターゲット格子の像を比較することで、非対称性の測定値を求めることができる。あるいは、非対称性の測定値は、同じ照明モードを維持するが、ターゲットを回転させることで求めることができる。オフアクシス照明が示されているが、その代わりとして、ターゲットのオンアクシス照明を使用することができ、改良したオフアクシス開口絞り21を使用して、実質的に1つの一次回折光だけをセンサに送ることができる。さらなる例では、オフアクシスプリズム対22が、オンアクシス照明モードと組み合わせて使用される。これらのプリズムは、+1及び−1次をセンサ23の様々な位置にそらす効果を有するので、2つの連続する像取込みステップの必要なしに、+1及び−1次を検出して比較することができる。実際上、画像センサ23上の分離した位置に分離像が形成される。例えば、図2(a)では、照明光線30aからの+1次回折を使用して作られる像T’(+1a)は、照明光線30bからの−1次回折を使用して作られる画像T’(−1b)とは空間的に離れている。この技術は、上記の米国特許出願公開第2011102753A1号に開示されており、この特許の内容は、参照により本明細書に援用される。一次ビームの代わりに、又は一次ビームに加えて、二次、三次、さらに高次のビーム(図2に示していない)を測定に使用することができる。さらなるバリエーションとして、オフアクシス照明モードは一定に保つことができ、一方、ターゲット自体は、両側の回折次数を使用して像を取り組むために、対物レンズ16の下で180°回転する。
[0040] 従来のレンズに基づく結像システムが説明されたが、本明細書で開示する技術には、プレノプティックカメラ、さらに、いわゆる「レンズレス」又は「デジタル」結像システムを同様に適用することができる。したがって、回折放射用の処理システムのどの部分が光領域で実施されるか、及びどれが電子及びソフトウェア領域で実施されるかといった設計上の選択度が高い。
[0041] オーバーレイ測定
測定値を取得するのに単一波長を使用する前のオーバーレイ測定アルゴリズムでは、測定した信号から、オーバーレイの変化、又は格子非対称性の変化に起因する強度非対称性を識別することはできない。複数の波長で測定を行うことで、かつ/又は底部格子パッド(底部格子の非対称性が直接測定できるように、上部格子のないオーバーレイターゲットの領域)、複数のバイアス、若しくはピッチを追加してターゲットを拡張することで、この問題に対処することが提案された。しかし、そのような方法は、波長感度を調べるか、又は格子非対称性を識別するかのいずれかが可能であるが、どちらも行わない。
[0042] 底部格子のうちの上部格子が上に形成されていない部分を測定する底部格子非対称性測定を使用して、格子非対称性に対してオーバーレイ測定値を補正することができる。しかし、これは、測定ターゲットに専用の基板上の余分な領域を必要とし、レシピ設定における底部格子非対称性とオーバーレイとの間の関係を校正するだけである。この関係の任意の変化が補正誤差をもたらす。
[0043] すでに提案された他の校正方法は、測定した非対称性間の線形関係を利用する。さらなる条件が作用し始めるとすぐに、そのような方法はもはや信頼性がなくなる。
[0044] 様々な異なる誤差源に対する校正方法が提案されたが、様々な方法は、自身の測定データをそこで収集し、結果を共有せず、別々の補正を加える。このため、様々な目的に対してデータを収集するのに一部のターゲットが複数回測定されることがある。これは、測定時間及び利用可能なデータの使用に関して非効率である。したがって、基板の特性、例えば、オーバーレイの測定に対する改善された手法が必要である。
[0045] 本発明の実施形態が、例としてオーバーレイ測定に関連して、及び図5を参照して以下に説明される。
[0046] 本発明の実施形態の最初のステップはレシピ設定S1であり、レシピ設定S1は、適切なターゲットの設計と、測定に使用する適切な波長の選択S11と、を含む。
[0047] 従来のオーバーレイターゲットは、基板の第1の層内の底部格子と、底部格子と同じピッチを有する、第1の層の上の基板の第2の層内の上部格子と、を含む。多くの場合、上部格子は、(正確に配置された場合に)底部格子に対して、バイアスと称される所定のずれを有して配置される。ターゲットは、バイアス対と称される、反対のバイアスを有する2対の格子を含むことができる。本発明の実施形態は、各ピッチがそれ自体のバイアス対を有する、層対ごとに複数のピッチからなるオーバーレイターゲットを使用することができる。2ピッチターゲット例Tが図3に示されている。このターゲットTは、示すように、ピッチP1又はピッチP2と、+ve又は−veバイアスとを有する、X又はY方向に垂直に向けられた格子線を有するターゲットG1〜G8を含む。
[0048] この基本ターゲット構造に対して、特定の用途用の最適なオーバーレイプロファイルが求められる。例えば、ピッチの値、並びにターゲット内の格子の正確な形状及び構成は、特定の条件での単一の測定、すなわち、波長、偏光、開口、NDフィルタなどのメトロロジ装置の可変設定の値を使用して、すべての関連強度を収集することができるように選択することができる。レシピの最適化に基づいて、高感度領域に入ることなく、波長差によって非対称性のバリエーションを求めることができる波長の関連バリエーションを定めることができる。多くの場合、フィールド又はダイ当たり2つ以上の複数のターゲットを基板上に設けることができる。すべてが同一である必要はない。一部のターゲットは、同じ基本構造を有するが、使用するピッチ及び/又はバイアスの正確な値が異なることがある。他のターゲットは、全く異なる構造を有することができる。
[0049] 基板上の複数のターゲットをサンプリングするための方策を考案することも望ましい。実施形態では、各ターゲットは、波長数を限定されるが、基板全体にわたってサンプリングされ、波長は、一様なカバレッジ(even coverage)が得られるような形で、ターゲットごとに変えられる。図4は、201個のターゲットが5つの波長を使用して測定される方策例を示している。各測定は、円mによって表され、各半円の線種は、各ターゲットを測定するのに使用される2つの波長の一方を示している。
[0050] 実施形態では、測定システムは、ターゲット間を移動中の波長の切換えを可能にするので、複数の波長の使用によるスループットの低下がなく、波長の切換えは、選択されるサンプリングパターンを制限しない。実施形態では、測定システムは、各測定に対して使用される実際の波長を、例えば、直接的な分光測定、又は照明放射の波長に影響を及ぼす照明系の構成要素の機械的変位に基づく間接的導出を使用して通知するように構成される。
[0051] サンプリング方策が決まると、任意の必要な校正測定S2が実施される。任意選択で、センサの任意の非対称性を求めるさらなる測定S3が実施され、これは下記にさらに説明される。
[0052] 製造プロセスでは、各基板は、考案した方策に従って測定される(S4)。N個のターゲットの基本オーバーレイ測定S41は、底部格子アレイ(BGA)の測定S42、測定ごとに使用される実際の波長の測定S43、基板全体にわたって使用される波長の変更、及び/又はセンサ若しくはツール誘発誤差の測定S45などの任意選択のさらなる測定と共に行われる。例えば、最終的な測定強度において、メトロロジツールによって生じた非対称性の影響を考慮に入れた補正方法を適用することが可能である。メトロロジツールの光学系は完全ではない、つまり、光線は、純粋な幾何学的考察に基づくその対称対の一方である若干異なる光路をたどることがある。そのような補正は、参照により、その全体を本明細書に援用される米国特許出願公開第2016−0180517号に記載されている。実施形態では、補正を計算するのに必要な測定は、ロット又はバッチ内の最初の基板だけに実施され、次いで、他の基板に必要な補正が導出されるので、各基板に対してそのような補正を導出する必要がなくなる。
[0053] すべての所望する測定値が得られると、フィッティングプロセス(fitting process)S5が実施される。このフィッティングプロセスS5は、補正係数を求めるサブステップS51と、測定した非対称性を測定した基板の特性に当てはめるサブステップS52と、結果を出力するサブステップS53とを含む。プロセスは下記にさらに説明される。
[0054] 各ターゲットごとに測定される強度非対称性は、以下のように表すことができる。
Figure 2020515028
上式中、
As (バイアスごとに測定した非対称性、[gl])、バイアス*波長*位置*ピッチ[2*w*n*p]ごと
K (オーバーレイ感度、[gl/nm])、As(+d)−As(−d)[w*n*p]から導出することができる
OV (オーバーレイ)、ターゲットごと [n]
d (バイアス)=±20nm
Ap (プロセスに起因する非対称性、[rad])、位置*ピッチ「n*p]ごと
Sp (非対称性プロセスに対する感度、[gl/rad])、波長*ピッチ[w*p]ごと
dSp (非対称性プロセスに対する感度のWL微分[gl/(rad*nm)])、波長*ピッチ[w*p]ごと
wl (測定した波長設定誤差、[nm])、ターゲット[n]ごと
b=ターゲットごとのバイアス数(デフォルト:2)
n=ウェーハ上の測定位置数
=ターゲットごとの測定波長数
=ウェーハ全体にわたる測定波長数(w>=w
p=ターゲットごとのピッチ数
[0055] 感度の傾きdSpは、波長設定誤差による局所的な傾きである。dSpは、波長レシピ設定ごとに求められるが、波長選択バリエーションによる波長バリエーションを包含する。
[0056] なお、感度及び非対称性は共にピッチに従属するので、様々なピッチに対して別々に解くことはできない。部分解として、Apn,pは、ピッチ独立(Ap)である位置従属非対称性及びピッチ(差)従属部(Apn、dp)に分割することができる。式(1)で、感度(Sp、dSp)は、完成した基板に対して求められる。内部基板構成要素まで拡張するために、さらなる位置従属パラメータを式に追加することができる。さらに、一部の実施形態では、基本オーバーレイ従属項(Kn,p・(OV+d))以外の項は、不必要な場合に削除することができる。
[0057] したがって、基板から得られた測定値を式(1)に代入して、解くための複数の式を生成することができる。これらの式は、解かれる1つ又は複数の変数が、2つ以上の式において同じ値を取るようにされるという点で連立方程式である。しかし、他の変数は、様々な波長で測定される場合に、基板上の様々な位置で様々な値を取ることができ、したがって、様々な式間で独立である。解かれる変数間のさらなる関係又は拘束があり、これらは、ターゲットごとの式を連立させることができる。
[0058] 最初のステップとして、式の半分はKを解くために使用することができ、そのため、他の変数を解くのに利用可能な式の数はw*n*pであり、未知数の数はn+2*w*p+n*pである。
[0059] したがって、100個のターゲットを測定するのに2つの波長だけを使用する例において、以下の条件の1つが満たされた場合に、解が存在し得る。
Figure 2020515028
[0060] したがって、ターゲットの不動産(単一ピッチ又は多ピッチ)と取得時間(w)との間でトレードオフを行うことが可能であり、本発明の特定の使用法に対して適切な妥協点を求めることができる。基板全体にわたって様々な波長で測定することは必須ではないが、プロセスバリエーションに対するロバスト性と、様々な波長感度間の関係におけるさらなる情報とをもたらす。
[0061] さらなる非対称性項及び/又は感度
式(1)は、限定された非対称性項と関連する感度項のみを有する。両方の項は、様々な擾乱又はより高次の空間モデルを調べるために拡張することができる。求めることができる可能な非対称性項は、2つのピッチを含むターゲットに対して、波長/ターゲットの数と感度項の数とによって決まる。
[0062] (基板全体にわたってバリエーションのない)2つの波長を取得した場合に、単一の非対称性関与に対して、最大で25個の感度パラメータを解くことができる。基板全体にわたって波長バリエーションを追加することで、オプションの数が少なくなる。非対称性項を追加した場合に、通常、ターゲットごとにより多くの波長を測定しなければならない。
[0063] 式(1)は、底部格子非対称性(BGA)測定データを含むように拡張することができる。これは、BGAとOVとの間の関係のインライン校正を可能にする。
Figure 2020515028
上式中、
Ab (BGAパッド上で測定された非対称性)、位置*ピッチ[n*p]ごと
Sb (非対称性BGAに対する感度)、波長*ピッチ[w*p]ごと
[0064] 式1は、上記のように、メトロロジツールによって誘発された非対称性の影響を補正するアルゴリズムを含むように拡張することもできる。これらの補正のすべてを1つに統合した場合に、校正測定と実際のオーバーレイ測定との間の差がなくなり、すべてのデータ点は、補正用の入力として使用することができる。TIS及び/又はターゲット変位がある任意の測定は、関連補正項を求めるために考慮に入れることができる。これは、例えば、照明スポットの強度のスポットプロファイルバリエーションに対する補正方策用の入力として、付加的な擾乱(例えば、ウェーハ全体にわたるランダムなターゲット変位)のある通常のオーバーレイ測定を使用可能にする。そのようなスポットプロファイル補正方策は、1ターゲット内に2つ以上の格子がある場合に、単一の露光で記録される像を補正する。この場合に、格子は、必ずしも照明スポット内の同じ位置を取るとは限らない。校正中に、ターゲットは、照明スポット内の様々な位置で測定される。導出された強度補正係数は、測定中に、スポット内のターゲット位置に応じて適用することができる。そのような補正は、米国特許出願公開第2012−0242970号でさらに詳細に説明されており、この特許文献は、参照により、その全体を本明細書に援用される。
[0065] 十分な入力が利用できない場合に、解かれる式の次元数は、基板上の位置に応じて、対象となる特性(例えば、オーバーレイ)用のモデルを導入することにより、大幅に低減することができる。これは、それまでの独立変数が関連付けられるようになり、ターゲット位置ごとのオーバーレイの代わりに、モデルのパラメータについてのみ式を解くことが可能であることを意味する。それに代えて、又はそれに加えて、式の特定の項は、モデル近似で置き換えることができる。例えば、ピッチごと、及びターゲットごとにプロセスによる非対称性を表す項Apn,pは、位置、拡大、及び回転などのパラメータの観点からプロセスによる非対称性を表す関数で置き換えることができる。
[0066] 完成したウェーハが測定される場合、非対称性を表す式(1)又は式(2)は解くことができ、結果として得られるオーバーレイは、ターゲットごとに計算することができる。
[0067] 本発明の実施形態で解かれる式の用例が下記に提示される。
[0068] 下記に繰り返して示す式(1)は、オーバーレイプロセス非対称性とプロセス非対称性の波長感度との関数として、測定した非対称性をモデル化する。
Figure 2020515028
[0069] 例として、単一のピッチを有するターゲットT1〜Tnの一セットは、波長WL〜WLを使用して測定され、以下の式を与える。
Figure 2020515028
[0070] したがって、既知の変数の数はn*wであり(2つのバイアスがKを解くために使用される)、未知の変数の数はn+w+w+nである。したがって、以下の条件が満たされた場合に式は解くことができる。
Figure 2020515028
したがって、この場合に、3つ以上の波長が必要とされる。
[0071] 様々な波長のサンプリングを考慮する場合に、wがターゲットごとに測定された波長数、wが基板全体にわたって測定された波長数とすると、以下の条件が適用される。
Figure 2020515028
この場合にも、ターゲット当たり3つ以上の波長が必要であるが、より多くの全サンプル波長を追加することで、より多くのターゲットを測定することが可能になる。
[0072] 結果的に、本発明は、多ピッチ及び波長に基づくオーバーレイ非対称性補正、さらには、波長設定誤差の影響に対するオーバーレイ非対称性補正を可能にする。さらに、本発明は、スループットへの影響を限定して、基板全体にわたる多波長のサンプリングを可能にする。スループットへの影響を限定して、多波長のオーバーレイ関係に合わせてBGAを校正することも可能である。すべての非対称性関与及び校正を含む単一の近似により、すべての様々な関与に対する、簡潔で数値的により信頼できる解法が可能になる。本発明の場合、積層体についての前の知識は必要とされない。例えば、波長範囲などのすべての必要な情報は、レシピの最適化に適した校正段階時に求めることができる。そのような校正のさらなる細部が、米国特許出願公開第20160161864号に提示されており、この特許文献は、参照により、その全体を本明細書に援用される。
[0073] 本発明の方法は、レシピの設定時に直ちに適用することができ(前の知識は全く必要とされない)、大量製造時に最良の波長を選択するために使用することができる。多波長のサンプリングは、特に、より厚い積層体に対して、プロセスパリエーションに対するロバスト性を改善する。ターゲットごとに3つの波長を取得することを代償として、単一ピッチの問題解決策が可能である(すなわち、小ターゲットの使用を可能にする)。
[0074] 本発明はまた、BGAとオーバーレイオンザフライ(on-the-fly)との間の関係(ロットごと、ウェーハ間、及びウェーハ内部)を適合させることで、BGA補正誤差を小さくすることができる。
[0075]本発明の場合、すべての測定は、最良の校正/補正及びオーバーレイ結果を求めるために使用することができる。様々なタスクに対するデータの選別はない。したがって、本発明は、すべての関連データの最速の収集を可能にする。
[0076] 本発明の方法は、より多い非対称性関与又はモデルパラメータ(非線形又はより高次の成分)に対して容易に拡張可能である。
[0077] 本発明は、回折型オーバーレイスキャトロメータ、さらに瞳型スキャトロメータを使用して適用することができる。上記の説明は、回折型オーバーレイスキャトロメトリに基づくが、本発明は、瞳型の用途に対して、より容易に適用することができる。瞳型スキャトロメトリでは、(開口数−位置に応じて)より多くの測定値が利用可能である。これは、きわめて限定されたコストで、非対称/感度項を追加することを可能にする。本発明はまた、回折型焦点スキャトロメトリに適用することもでき、様々なピッチは、様々なターゲット設計で置き換えることもできる(例えば、CD、デューティサイクル、セグメンテーション)。
[0078] 上記のように、オーバーレイの測定は、特定の積層体及びスペクトルの一部に対して、測定に使用する波長の変化に対する感度が高い。スペクトルのこれらの特に高感度の部分は、スイング−カーブ応答(swing-curve response)を検査することで、レシピ設定時に可能な限り回避されるが、ロバスト性と感度との間にトレードオフが常に存在する。しかし、多くの場合、測定放射の波長の小変化に対して、測定されたオーバーレイ結果が、完成した基板全体にわたって大きく変化することのない、利用可能な波長は存在しない。波長が固定されるが、実際の波長において、波長の標準値と比較して定誤差が生じる可能性がある公知のシステムでは、生じた誤差は、単にツール適合誤差として現在のシステムに出現し、この誤差は、ツール内での離散フィルタ特性の直接測定ができないことから、調べるのがきわめて困難である。波長の変化による同様の影響は、焦点及びCDスキャトロメトリにも出る。
[0079] 一部のスキャトロメータでは、測定の実際の波長及び帯域幅は測定することができる。これは、内蔵スペクトロメータによる直接測定、又は分光測定校正データを使用する、WLのカラーフィルタによるサーボ式推定とすることができる。WL誤差(dWL)及びBW誤差(dBW)は、実際値と設定値との間の差として定義される。完成した基板が測定された後、WL誤差及びBW誤差を測定したオーバーレイに当てはめることができる。
dOV=OVoffset(dWL)+OVslope(dWL)+OVoffset(dBW)+OVslope(dBW)+OVoffset(dWLdBW)+OVslope(dWLdBW) (3)
静的なずれ及び感度を補正するために、dWL及びdBWの両方に対して、Offset項及びSlope項を組み入れることができ、両方の間の交差項を組み入れることもできる。次いで、波長/帯域幅従属要素を除去するために、測定したOVからdOVを減ずることができる。この方法を最も効果的に実施するために、波長分布は、その設定値に中心を置くべきである。設定値が含まれない場合、外挿を実施することができる。
[0080] 厚い積層体の場合に、(光学)積層体高さバリエーションのために、スイングカーブが波長軸に沿ってずれることは公知である。この影響は、位置従属線形(より高次)項を用いて近似を拡張することで内包することができる。WL/BWの変化に対する系統的な影響は補正され、オーバーレイへの他のすべての関与(実際のオーバーレイ、非対称性など)は、他のモデルのパラメータに存在する。
[0081] 焦点スキャトロメータに対して同様の補正を導出することができる。この補正は以下の利点をもたらす。
−オーバーレイ又は焦点のスイングカーブ応答に対する感度の低下。
−(例えば、温度による)カラーフィルタバリエーションに対する感度の低下。
−WL/BWの正確さ/高精度によるツール適合誤差の低減。
−さらなる測定オーバーヘッドは必要とされず、補正は測定後に行うことができる。
−オーバーレイ又は焦点において、すべての測定は、WL/BWの同じ設定値に対して補正される。
[0082] 測定値を求め、波長の選択及び他のレシピパラメータを制御するための計算は、検査装置の像プロセッサ及びコントローラPU内で行うことができる。代替の実施形態では、非対称性及び対象となる他のパラメータの計算は、検査装置のハードウェア及びコントローラPUから遠隔で行うことができる。計算は、例えば、監視制御システムSCS内のプロセッサ、又は検査装置のコントローラPUから測定データを受け取るように構成された任意のコンピュータ装置で行うことができる。キャリブレーション測定の制御及び処理は、求めた補正値を使用して大量の計算を行うプロセッサとは別のプロセッサで行うことができる。これらのオプションのすべては、実施者(implementer)の選択事項であり、適用される原理又は得られる利益を変えるものではない。
[0083] 本発明の特定の実施形態が上記に説明されたが、当然のことながら、本発明は、説明したものと別の方法で実施することができる。
[0084] 実施形態で説明した検査装置又はツールは、並列像センサによって、瞳面及び基板面を同時結像するための第1及び第2の分岐を有するスキャトロメータの特定の形態を含むが、代替の構成も可能である。ビームスプリッタ17を用いて、2つの分岐を対物レンズ16に永続的に接続するのではなくて、分岐は、ミラーなどの可動式光学要素によって選択的に接続することができる。光学系は、単一の像センサを有することができ、瞳面像センサ、さらには基板面像センサとして機能するように、センサへの光路が可動式要素によって再構成される。
[0085] 上記のターゲット構造は、測定を目的として特別に設計及び形成されたメトロロジターゲットであるが、他の実施形態では、特性は、基板に形成されたデバイスの機能部分であるターゲットに対して測定することができる。多くのデバイスは、規則的な格子状の構造を有する。本明細書で使用される「ターゲット格子」及び「ターゲット構造」という用語は、特に、測定を実施するために構造を設けることを必要としない。
[0086] 実施形態は、検査装置のハードウェアと、基板及びパターニングデバイス上に具現化されるターゲットの適切な周期構造と共に、リソグラフィプロセスについての情報を得るために、上記のタイプの測定方法を実施する、1つ又は複数の一連のマシン可読命令を含むコンピュータプログラムを含むことができる。このコンピュータプログラムは、例えば、図2の装置の像プロセッサ及びコントローラPU並びに/又は図1の制御ユニットLACU内で実行することができる。そのようなコンピュータプログラムが格納されたデータ記憶媒体(例えば、半導体メモリ、磁気ディスク、又は光ディスク)を設けることもできる。
[0087] 本発明によるさらなる実施形態が、下記の項でさらに説明される。
1.複数のターゲットを形成された基板の特性を測定する方法であって、
光学測定システムを使用して、複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各上記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
Q個の式及びN*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、を含み、
光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各N個のターゲットごとに測定値が取得される、方法。
2.Q個の式は連立方程式である、条項1による方法。
3.N個のターゲットすべてにわたって使用される可変設定の様々な設定値の総数WmはWtよりも多い、条項1又は2による方法。
4.光学測定システムはスキャトロメータである、条項1〜3のいずれか一項による方法。
5.可変設定は、光学測定システムの照明ビームの波長、帯域幅、開口形状、及び/又は偏光である、条項3による方法。
6.特性は、基板上に形成された2つのパターン層間のオーバーレイである、条項1〜5のいずれか一項による方法。
7.ターゲットは格子を含む、条項1〜6のいずれか一項による方法。
8.ターゲットは、様々なバイアス、向き、及び/又はピッチを有する複数の格子を含む、条項7による方法。
9.各測定時に、光学測定システムの照明ビームの波長及び/又は帯域幅を測定することをさらに含み、各式は、波長及び/又は帯域幅に従属する少なくとも1つの項を含む、条項1〜8のいずれか一項による方法。
10.式は、ターゲット(格子)非対称性、底部格子非対称性、格子非対称性の波長感度、底部格子非対称性の波長感度、センサ非対称性、照明一様性のうちの少なくとも1つに相関する項を含む、条項1〜9のいずれか一項による方法。
11.Q個の式を解くことには、各N個のターゲットの位置における特性値を取得することを含む、条項1〜10のいずれか一項による方法。
12.Q個の式を解くことには、基板全体にわたる特性値の観点から特性を表す関数を取得することを含む、条項1〜11のいずれか一項による方法。
13.基板上に第1のデバイス層を形成し、第1のデバイス層は複数のターゲットを含むことと、
光学測定システムを使用して、複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各上記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
Q個の式及びN*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、
別のプロセスステップについて判断することと、
を含むデバイス製造方法であって、
光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各N個のターゲットごとに測定値が取得され、
判断は、R個の特性値に基づいて行われる、方法。
14.光学測定システムに基板の特性を測定する方法を実施させる命令を含むコンピュータプログラムであって、基板には複数のターゲットが形成され、方法は、
光学測定システムを使用して、複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各上記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
Q個の式及びN*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、を含み、
光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各N個のターゲットごとに測定値が取得される、コンピュータプログラム。
15.リソグラフィプロセスによって1つ又は複数の基板上に形成された複数の構造の特性を測定する検査装置であって、照明光学系、収集光学系、及び処理システムを含み、処理システムは、照明光学系によって確立された照明条件の1つ又は複数のセットのもとで、構造によって散乱された後に上記収集光学系によって収集された放射から、少なくとも部分的に各構造の上記特性の測定値を導出するように構成され、処理システムは装置を制御して、条項1〜12のいずれかの方法で複数の構造の特性の測定値を導出するように構成される、検査装置。
16.リソグラフィ装置を含むリソグラフィシステムであって、
リソグラフィ装置は、
パターンを照明するように構成された照明光学系と、
パターンの像を基板に投影するように構成された投影光学系と、
15項による検査装置と、を含み、
リソグラフィ装置は、パターンをさらなる基板に付加する際に、検査装置からの測定結果を使用するように構成される、リソグラフィシステム。
[0088] 光リソグラフィとの関連において、本発明の実施形態の使用について上記に特定の言及を行うことができたが、当然のことながら、本発明は、他の用途、例えば、インプリントリソグラフィで使用することができ、状況が可能にする場合、光リソグラフィに限定されない。インプリントリソグラフィでは、パターニングデバイスのトポグラフィが、基板に形成されるパターンを画定する。パターニングデバイスのトポグラフィは、基板に供給されたレジスト層に押し付けることができ、レジストは、電磁放射、熱、圧力、又はそれらの組み合わせを加えることで硬化する。パターニングデバイスは、レジストが硬化した後、レジスト内にパターンを残したままレジストから引き離される。
[0089] 本明細書で使用する「放射」及び「ビーム」という用語は、(例えば、約365、355。248、193、157、又は126nmの波長を有する)紫外(UV)線及び(例えば、1〜100nmの範囲の波長を有する)極端紫外(EUV)線、さらには、イオンビーム又は電子ビームなどの粒子ビームを含むすべてのタイプの電磁放射線を包含する。スキャトロメータ及び他の検査装置の実装は、適切な放射源を使用して、UV及びEUV波長で行うことができ、本開示は、IR及び可視放射を使用するシステムに全く限定されない。
[0090] 「レンズ」という用語は、状況が可能にする場合、屈折式、反射式、磁気式、電磁気式、及び静電式光学コンポーネントを含む様々なタイプの光学コンポーネントの任意の一つ、又はそれらの組み合わせを指すことができる。反射式コンポーネントは、UV及び/又はEUV範囲で動作する装置で使用されると考えられる。
[0091] 本発明の広さ及び範囲は、上記の例示的な実施形態のいずれかによって限定されるのではなくて、添付の特許請求の範囲及びその等価物によってのみ規定されるべきである。

Claims (15)

  1. 複数のターゲットが形成された基板の特性を測定する方法であって、
    光学測定システムを使用して、前記複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各前記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
    Q個の式及び前記N*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、を含み、
    前記光学測定システムは、少なくとも1つの可変設定を有し、
    少なくとも1つの可変設定の様々な設定値を使用して、各前記N個のターゲットごとに測定値が取得される、方法。
  2. 前記Q個の式は、連立方程式である、請求項1記載の方法。
  3. N個のターゲットすべてにわたって使用される前記可変設定の様々な設定値の総数Wmは、Wtよりも多い、請求項1又は2に記載の方法。
  4. 前記光学測定システムは、スキャトロメータである、請求項1〜3のいずれか一項に記載の方法。
  5. 前記可変設定は、前記光学測定システムの照明ビームの波長、帯域幅、開口形状、及び/又は偏光である、請求項3に記載の方法。
  6. 前記特性は、前記基板上に形成された2つのパターン層間のオーバーレイである、請求項1〜5のいずれか一項に記載の方法。
  7. 前記ターゲットは、格子を含む、請求項1〜6のいずれか一項に記載の方法。
  8. 前記ターゲットは、様々なバイアス、向き、及び/又はピッチを有する複数の格子を含む、請求項7に記載の方法。
  9. 各測定時に、前記光学測定システムの照明ビームの前記波長及び/又は帯域幅を測定することをさらに含み、
    各式は、波長及び/又は帯域幅に従属する少なくとも1つの項を含む、請求項1〜8のいずれか一項に記載の方法。
  10. 前記式は、ターゲット(格子)非対称性、底部格子非対称性、格子非対称性の波長感度、底部格子非対称性の波長感度、センサ非対称性、照明一様性のうちの少なくとも1つに相関する項を含む、請求項1〜9のいずれか一項に記載の方法。
  11. Q個の式を解くことには、各前記N個のターゲットの位置における特性値を取得することを含む、請求項1〜10のいずれか一項に記載の方法。
  12. 基板上に第1のデバイス層を形成し、前記第1のデバイス層は複数のターゲットを含むことと、
    光学測定システムを使用して、前記複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各前記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
    Q個の式及び前記N*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、
    別のプロセスステップについて判断することと、
    を含むデバイス製造方法であって、
    前記光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各前記N個のターゲットごとに測定値が取得され、
    判断は、前記R個の特性値に基づいて行われる、方法。
  13. 光学測定システムに基板の特性を測定する方法を実施させる命令を含むコンピュータプログラムであって、前記基板には複数のターゲットが形成され、前記方法は、
    光学測定システムを使用して、前記複数のターゲットのうちのN個のターゲットを測定し、Nは3以上の整数であり、各前記N個のターゲットは、N*Wt個の測定値が得られるようにWt回測定され、Wtは3以上の整数であることと、
    Q個の式及び前記N*Wt個の測定値を使用してR個の特性値を求め、R<Q≦N*Wtであることと、を含み、
    前記光学測定システムは、少なくとも1つの可変設定を有し、少なくとも1つの可変設定の様々な設定値を使用して、各前記N個のターゲットごとに測定値が取得される、コンピュータプログラム。
  14. リソグラフィプロセスによって1つ又は複数の基板上に形成された複数の構造の特性を測定する検査装置であって、
    照明光学系、収集光学系、及び処理システムを含み、
    前記処理システムは、前記照明光学系によって確立された照明条件の1つ又は複数のセットのもとで、前記構造によって散乱された後に前記収集光学系によって収集された放射から、少なくとも部分的に各構造の前記特性の測定値を導出し、
    前記処理システムは、前記装置を制御して、請求項1〜11のいずれか一項に記載の方法で複数の構造の特性の測定値を導出する、検査装置。
  15. リソグラフィ装置を含むリソグラフィシステムであって、
    前記リソグラフィ装置は、
    パターンを照明する照明光学系と、
    前記パターンの像を基板に投影する投影光学系と、
    請求項14に記載の検査装置と、を含み、
    前記リソグラフィ装置は、前記パターンをさらなる基板に付加する際に、前記検査装置からの測定結果を使用する、リソグラフィシステム。
JP2019525776A 2016-12-16 2017-11-29 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法 Active JP7203725B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021209954A JP2022058401A (ja) 2016-12-16 2021-12-23 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16204764.1 2016-12-16
EP16204764.1A EP3336607A1 (en) 2016-12-16 2016-12-16 Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
PCT/EP2017/080770 WO2018108527A1 (en) 2016-12-16 2017-11-29 Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021209954A Division JP2022058401A (ja) 2016-12-16 2021-12-23 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法

Publications (2)

Publication Number Publication Date
JP2020515028A true JP2020515028A (ja) 2020-05-21
JP7203725B2 JP7203725B2 (ja) 2023-01-13

Family

ID=57714393

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019525776A Active JP7203725B2 (ja) 2016-12-16 2017-11-29 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
JP2021209954A Pending JP2022058401A (ja) 2016-12-16 2021-12-23 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021209954A Pending JP2022058401A (ja) 2016-12-16 2021-12-23 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法

Country Status (8)

Country Link
US (1) US10474043B2 (ja)
EP (1) EP3336607A1 (ja)
JP (2) JP7203725B2 (ja)
KR (1) KR102284564B1 (ja)
CN (1) CN110088685B (ja)
IL (1) IL267311B2 (ja)
TW (1) TWI653514B (ja)
WO (1) WO2018108527A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
EP3702840A1 (en) * 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
EP3770682A1 (en) * 2019-07-25 2021-01-27 ASML Netherlands B.V. Method and system for determining information about a target structure
KR102545517B1 (ko) 2022-10-17 2023-06-20 (주)오로스 테크놀로지 모아레 패턴을 형성하는 오버레이 마크, 이를 이용한 오버레이 측정 방법, 오버레이 측정 장치, 및 반도체 소자의 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160223322A1 (en) * 2015-02-04 2016-08-04 Asml Netherlands B.V. Metrology Method and Apparatus, Computer Program and Lithographic System

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US20070121090A1 (en) * 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
WO2012062858A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
NL2009612A (en) * 2011-11-21 2013-05-23 Asml Netherlands Bv Level sensor, a method for determining a height map of a substrate, and a lithographic apparatus.
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
CN105452962B (zh) 2013-08-07 2018-02-09 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
WO2016096524A1 (en) 2014-12-19 2016-06-23 Asml Netherlands B.V. Method of measuring asymmetry, inspection apparatus, lithographic system and device manufacturing method
JP6524256B2 (ja) * 2015-04-21 2019-06-05 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、コンピュータプログラム、並びにリソグラフィシステム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160223322A1 (en) * 2015-02-04 2016-08-04 Asml Netherlands B.V. Metrology Method and Apparatus, Computer Program and Lithographic System

Also Published As

Publication number Publication date
JP7203725B2 (ja) 2023-01-13
IL267311B1 (en) 2023-04-01
US20180173105A1 (en) 2018-06-21
CN110088685A (zh) 2019-08-02
KR20190094421A (ko) 2019-08-13
US10474043B2 (en) 2019-11-12
IL267311A (en) 2019-08-29
JP2022058401A (ja) 2022-04-12
KR102284564B1 (ko) 2021-08-02
TW201837611A (zh) 2018-10-16
EP3336607A1 (en) 2018-06-20
WO2018108527A1 (en) 2018-06-21
IL267311B2 (en) 2023-08-01
CN110088685B (zh) 2022-03-08
TWI653514B (zh) 2019-03-11

Similar Documents

Publication Publication Date Title
US11092900B2 (en) Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN107750350B (zh) 量测方法、检查设备、光刻系统和器件制造方法
US10775704B2 (en) Method of measuring a structure, inspection apparatus, lithographic system, device manufacturing method and wavelength-selective filter for use therein
JP6703612B2 (ja) 構造を測定する方法、検査装置、リソグラフィシステム、およびデバイス製造方法
JP6626208B2 (ja) リソグラフィ装置の焦点性能を測定するための方法、パターニングデバイス、計測装置、リソグラフィシステム、コンピュータプログラムおよびデバイス製造方法
US20200183290A1 (en) Method of Measuring a Structure, Inspection Apparatus, Lithographic System and Device Manufacturing Method
JP2022058401A (ja) 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
KR20190094213A (ko) 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
TWI666713B (zh) 量測關注參數的方法、檢測設備、微影系統及器件製造方法
TW201945830A (zh) 度量衡方法及裝置、電腦程式及微影系統
NL2020323A (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210226

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211223

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20211223

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220111

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220112

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20220325

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20220329

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220606

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220714

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20220802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221101

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20221125

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20221219

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20221219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221227

R150 Certificate of patent or registration of utility model

Ref document number: 7203725

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150