KR102284564B1 - 기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법 - Google Patents

기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR102284564B1
KR102284564B1 KR1020197020347A KR20197020347A KR102284564B1 KR 102284564 B1 KR102284564 B1 KR 102284564B1 KR 1020197020347 A KR1020197020347 A KR 1020197020347A KR 20197020347 A KR20197020347 A KR 20197020347A KR 102284564 B1 KR102284564 B1 KR 102284564B1
Authority
KR
South Korea
Prior art keywords
targets
setting
substrate
target
measuring
Prior art date
Application number
KR1020197020347A
Other languages
English (en)
Other versions
KR20190094421A (ko
Inventor
페트릭 워나르
더 샤 마우리츠 반
그제고르즈 그젤라
에릭 요한 쿱
빅터 이마뉴엘 칼라도
시-한 정
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20190094421A publication Critical patent/KR20190094421A/ko
Application granted granted Critical
Publication of KR102284564B1 publication Critical patent/KR102284564B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

기판의 속성을 측정하는 방법으로서, 상기 기판은 상기 기판 위에 형성된 복수 개의 타겟을 포함하고, 상기 방법은, 상기 복수 개의 타겟 중 N 개의 타겟을 광학 측정 시스템을 사용하여 측정하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각은 Wt 번 측정되며, Wt는 N*Wt 개의 측정 값을 획득하도록 2 보다 큰 정수임 -; 및 Q 개의 수학식 및 N*Wt 개의 측정 값을 사용하여 R 개의 속성 값을 결정하는 단계를 포함하고, R < Q ≤ N*Wt이며, 상기 광학 측정 시스템은 적어도 하나의 변경가능 설정을 가지고, 상기 N 개의 타겟 각각에 대하여, 측정 값이 적어도 하나의 변경가능 설정의 상이한 설정 값을 사용하여 획득되는, 기판 속성 측정 방법.

Description

기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
관련 출원에 대한 상호 참조
본 출원은 2016 년 12 월 16 일에 출원된 EP 출원 번호 제 16204764.1의 우선권을 주장하며, 이것은 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은, 예를 들어 리소그래피 기법에 의한 디바이스의 제조에서 사용가능한 계측용 방법, 및 장치 및 리소그래피 기법을 사용하는 디바이스의 제조 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나의 다이, 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트) 층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경, 및 디바이스에 있는 두 개의 층들의 정렬 정확도인 오버레이를 측정하는 전문 툴과 같이, 이러한 측정을 하기 위한 다양한 툴들이 알려져 있다. 최근, 다양한 형태의 산란계들이 리소그래피 분야에서 사용되도록 개발되어 왔다. 이러한 디바이스들은 방사선 빔을 타겟 위로 지향시키고, 산란 방사선의 하나 이상의 속성 - 예를 들어, 파장의 함수인 단일 반사각에서의 세기; 반사된 각도의 함수인 하나 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서의 편광 - 을 측정하여 회절 "스펙트럼"을 획득하고, 그로부터 타겟의 관심 특성이 결정될 수 있다.
알려진 산란계의 예들은 US2006033921A1 호 및 US2010201963A1 호에 기술되는 타입의 각도-분해된 산란계를 포함한다. 이러한 산란계에 의하여 사용되는 타겟은, 예를 들어 40μm X 40μm인 상대적으로 큰 격자들이고, 측정 빔은 격자보다 작은 스폿을 생성한다(즉, 격자는 언더필된다). 복원에 의해 피쳐 형상을 측정하는 것과 함께, 공개 특허 출원 제 US2006066855A1에 기술된 것처럼, 이러한 장치를 사용하여 회절 기초 오버레이가 측정될 수 있다. 회절 차수들의 암-시야 이미징을 사용하는 회절-기초 오버레이를 통해, 더 소타겟에 대한 오버레이 및 다른 파라미터의 측정이 가능해진다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 기판 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 환경 제품 구조체로부터의 세기는 이미지-평면 내에서의 암시야 검출을 사용한 오버레이 타겟으로부터의 세기로부터 효율적으로 분리될 수 있다.
암시야 이미징 계측의 예는 특허 출원 제 US20100328655A1 및 제 US2011069292A1에서 발견될 수 있는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다. 이러한 기술의 추가적인 개발예들은 특허 공개 번호 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20120242970A1, US20130258310A, US20130271740A 및 WO2013178422에 설명되었다. 통상적으로 이러한 방법에서는 타겟의 속성으로서 비대칭을 측정하는 것이 필요하다. 타겟은 비대칭의 측정이 오버레이, 초점 또는 선량과 같은 다양한 성능 파라미터의 측정을 얻기 위해 사용될 수 있도록 설계될 수 있다. 타겟의 비대칭은 산란계를 사용한 회절 스펙트럼의 반대 부분들 사이의 세기에서의 차이를 검출함으로써 측정된다. 예를 들어, +1 차 및 -1 차 회절 차수의 세기가 비대칭의 측정을 얻기 위해 비교될 수 있다.
특히 오버레이 측정에 대한, 산란측정에서의 측정 정확도는, 타겟 구조체의 처리에 기인한 격자 비대칭 교란, 예를 들어 비대칭 측벽각, 격자 바닥의 틸트에 의해 열화된다. 웨이퍼 상의 위치들 사이에서의 처리의 차이에 기인하여, 측정에 대한 영향은 위치마다 다르게 된다. 더욱이, 격자 교란에 대한 측정의 감도는 측정을 위해 사용된 조명 조건, 예를 들어 파장, 대역폭, 편광, 애퍼쳐 형상, 등에 따라 달라진다. 그러므로, 종래의 산란측정식 측정법의 정확도가 제한된다.
본 발명은 기판에 걸친 프로세스 변동 및/또는 기판들 사이에 프로세스 변동이 존재하는 경우 측정치의 정확도를 개선하는 것을 목적으로 한다.
본 발명은 제 1 양태에서 기판의 속성을 측정하는 방법으로서,
상기 기판은 상기 기판 위에 형성된 복수 개의 타겟을 포함하고,
상기 방법은,
상기 복수 개의 타겟 중 N 개의 타겟을 광학 측정 시스템을 사용하여 측정하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각은 Wt 번 측정되며, Wt는 N*Wt 개의 측정 값을 획득하도록 2 보다 큰 정수임 -; 및
Q 개의 수학식 및 N*Wt 개의 측정 값을 사용하여 R 개의 속성 값을 결정하는 단계를 포함하고, R < Q ≤ N*Wt이며,
상기 광학 측정 시스템은 적어도 하나의 변경가능 설정을 가지고, 상기 N 개의 타겟 각각에 대하여, 측정 값이 적어도 하나의 변경가능 설정의 상이한 설정 값을 사용하여 획득되는, 기판 속성 측정 방법을 제공한다.
본 발명은 제 2 양태에서 디바이스 제조 방법으로서,
기판 상에 제 1 디바이스 층을 형성하는 단계 - 상기 제 1 디바이스 층은 복수 개의 타겟을 포함하는 것임 -;
상기 복수 개의 타겟 중 N 개의 타겟을 광학 측정 시스템을 사용하여 측정하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각은 Wt 번 측정되며, Wt는 N*Wt 개의 측정 값을 획득하도록 2 보다 큰 정수임 -;
Q 개의 수학식 및 N*Wt 개의 측정 값을 사용하여 R 개의 속성 값을 결정하는 단계 - R < Q ≤ N*Wt임 -; 및
다른 프로세스 단계에 대하여 판정하는 단계를 포함하고,
상기 광학 측정 시스템은 적어도 하나의 변경가능 설정을 가지고, 상기 N 개의 타겟 각각에 대하여, 측정 값이 적어도 하나의 변경가능 설정의 상이한 설정 값을 사용하여 획득되며, 상기 판정하는 단계는 상기 R 개의 속성 값에 기초하는, 디바이스 제조 방법을 제공한다.
본 발명은 제 3 양태에서 광학 측정 시스템이 기판의 속성을 측정하는 방법을 수행하게 하는 명령을 포함하는 컴퓨터 프로그램으로서,
상기 기판은 상기 기판 위에 형성된 복수 개의 타겟을 포함하고,
상기 방법은,
상기 복수 개의 타겟 중 N 개의 타겟을 광학 측정 시스템을 사용하여 측정하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각은 Wt 번 측정되며, Wt는 N*Wt 개의 측정 값을 획득하도록 2 보다 큰 정수임 -; 및
Q 개의 수학식 및 N*Wt 개의 측정 값을 사용하여 R 개의 속성 값을 결정하는 단계를 포함하고, R < Q ≤ N*Wt이며,
상기 광학 측정 시스템은 적어도 하나의 변경가능 설정을 가지고, 상기 N 개의 타겟 각각에 대하여, 측정 값이 적어도 하나의 변경가능 설정의 상이한 설정 값을 사용하여 획득되는, 컴퓨터 프로그램을 제공한다.
본 발명은 제 4 양태에서 리소그래피 프로세스에 의하여 하나 이상의 기판 상에 형성된 복수 개의 구조체의 속성을 측정하는 검사 장치로서, 조명 광학 시스템, 수집 광학 시스템 및 처리 시스템을 포함하고, 상기 처리 시스템은, 각각의 구조체의 상기 속성의 측정치를, 적어도 부분적으로 상기 조명 광학 시스템에 의해 구축된 조명 조건의 하나 이상의 세트 하에서 상기 구조체에 의해 산란된 후에 상기 수집 광학 시스템에 의해 수집된 방사선으로부터, 유도하도록 구성되며, 상기 처리 시스템은, 전술된 방법으로 복수 개의 구조체의 속성의 측정치를 유도하도록 상기 검사 장치를 제어하도록 구성되는, 검사 장치를 제공한다.
본 발명은 제 5 양태에서 리소그래피 시스템으로서,
리소그래피 장치를 포함하고, 상기 리소그래피 장치는,
패턴을 조명하도록 구성되는 조명 광학 시스템;
상기 패턴의 이미지를 기판 상에 투영하도록 구성되는 투영 광학 시스템; 및
전술된 바와 같은 검사 장치를 포함하며,
상기 리소그래피 장치는 상기 패턴을 추가적인 기판에 적용할 때, 상기 검사 장치로부터의 측정 결과를 사용하도록 구성되는, 리소그래피 시스템을 제공한다.
본 발명의 다른 특징과 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
이제, 본 발명의 실시예들이 첨부된 도면을 참조하여 오직 예시에 의하여 설명될 것이다:
도 1은 반도체 디바이스용 생산 설비를 제조하는 다른 장치와 함께 리소그래피 장치를 도시한다;
도 2는 (a) 본 발명의 일부 실시예에 따른 각도-분해 산란측정 및 암시야 이미징 검사 방법을 수행하도록 구성되는 검사 장치 및 (b)도 2의 장치에서 타겟 격자에 의해 입사 방사선이 회절되는 것의 확대된 상세도를 개략적으로 도시한다;
도 3은 본 발명의 방법에서 사용될 수 있는 타겟을 도시한다;
도 4는 본 발명의 일 실시예에서 사용되는 예시적인 측정 스킴을 도시한다; 그리고
도 5는 본 발명의 일 실시예에 따른 측정 방법을 도시한다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 대량의 리소그래피 제조 프로세스를 구현하는 산업 설비의 일부로서, 리소그래피 장치(LA)를 100에서 도시한다. 제공된 예에서, 제조 프로세스는 반도체 웨이퍼와 같은 기판 상에 반도체 제품(집적 회로)을 제조하기 위해 적응된다. 상이한 타입의 기판을 이러한 프로세스를 변형하여 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 당업자는 이해할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 매우 중요한 일 예로서만 사용된다.
리소그래피 장치(또는 간략히 "리소 툴(100)") 내에는 102에 측정 스테이션(MEA)이 도시되고, 104에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 106에 도시된다. 이러한 예에서, 각각의 기판은 패턴이 적용되게 하기 위해 측정 스테이션 및 노광 스테이션에 진입한다. 광학적 리소그래피 장치에서, 조절된 방사선 및 투영 시스템을 사용하여 패터닝 디바이스(MA)로부터 기판 상에 제품 패턴을 전사하기 위해서 예를 들어 투영 시스템이 사용된다. 이것은 패턴의 이미지를 방사선-감응 레지스트 재료의 층에 형성함으로써 이루어진다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 패터닝(MA) 디바이스는 마스크 또는 레티클일 수 있고, 이것은 패터닝 디바이스에 의해 투과되거나 반사된 방사선 빔에 패턴을 부여한다. 알려진 동작 모드는 스테핑 모드 및 스캐닝 모드를 포함한다. 잘 알려진 바와 같이, 투영 시스템은 기판에 대한 지지 및 위치설정 시스템 및 패터닝 디바이스와 다양한 방식으로 협동하여 원하는 패턴을 기판에 걸친 많은 타겟 부분에 적용시킬 수 있다. 프로그램가능한 패터닝 디바이스는 고정된 패턴을 가지는 레티클 대신에 사용될 수 있다. 예를 들어, 방사선은 심자외선(DUV) 또는 극자외(EUV) 파대역에 있는 전자기 방사선을 포함할 수 있다. 본 발명은 다른 타입의 리소그래피 프로세스, 예를 들어 전자 빔에 의한, 예를 들어 임프린트 리소그래피 및 다이렉트 라이팅(direct writing) 리소그래피에도 역시 적용가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하여, 장치(LA)가 기판(W) 및 레티클(MA)을 수용하고 패터닝 동작을 구현하게 한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다.
패턴이 노광 스테이션(EXP)에서 기판에 적용되기 전에, 기판은 측정 스테이션(MEA)에서 처리되어 다양한 준비 단계들이 수행될 수 있게 한다. 준비 단계는 레벨 센서를 사용하여 기판의 표면 높이를 매핑하는 것과 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 공칭적으로, 정렬 마크는 규칙적인 그리드 패턴으로 배치된다. 그러나, 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 마크들은 이상적인 그리드로부터 벗어나게 된다. 결과적으로, 이러한 장치가 제품 피쳐를 매우 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정해야 한다. 이러한 장치는 두 개의 기판 테이블을 가지는 소위 이중 스테이지 타입일 수 있고, 각 테이블에는 제어 유닛(LACU)에 의해 제어되는 위치설정 시스템이 있다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판은 측정 스테이션(MEA)에 있는 다른 기판 테이블에 로딩될 수 있어서, 다양한 준비 단계들이 수행될 수 있다. 그러므로, 정렬 마크를 측정하는 것은 시간이 많이 걸리는 작업이고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 예를 들어, 리소그래피 장치(LA)는 두 개의 기판 테이블과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입이다.
생산 설비 내에서, 장치(100)는, 이러한 장치(100)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 적용시키기 위한 코팅 장치(108)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(100)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(110) 및 현상 장치(112)가 제공된다. 이러한 장치들 모두 사이에서, 기판 핸들링 시스템은 기판을 지지하고 이들을 장치의 일부에서 다른 부분으로 전달하는 것을 담당한다. 통칭하여 "트랙"이라고도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다. 감독 제어 시스템(SCS)은 각각의 패터닝된 기판을 생성하기 위해 수행될 단계들의 정의를 상세히 제공하는 레시피 정보(R)를 수신한다.
리소셀 내에서 패턴이 적용되고 현상되면, 패터닝된 기판(120)은 122, 124, 126에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(122)는 에칭 스테이션이고, 및 장치(124)는 에칭후 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 장치(126 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 이식 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(126)는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계를 나타낸다.
잘 알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(130)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(126)를 떠나는 기판(132)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(126)는 타입이 완전히 다를 수도 있다. 더 나아가, 장치(126)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 단계 126을 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 다른 기판들이 다른 방식으로 영향받게 된다는 것을 의미할 수 있다. 심지어, 에칭(장치(122))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터가 매우 중요한 일부 층들은 디바이스 제작 프로세스 중에 덜 중요한 다른 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 일부 층들이 침지 타입 리소그래피 툴에서 노광될 수 있는 반면에 다른 층들은 '건식' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속 층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS, 138)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 계측이 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
도 1에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(140)도 역시 도시된다. 현대의 리소그래피 생산 설비 내에 있는 계측 장치의 공통적인 예는, 예를 들어 각도-분해 산란계 또는 분광식 산란계인데, 이것은 장치(122)에서의 에칭 이전에 120에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(140)를 사용하면, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(120)을 재처리할 기회가 있다. 역시 잘 알려진 바와 같이, 장치(140)로부터의 계측 결과(142)는, 시간에 따라 미세하게 조절하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(106)에 의해서, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 최소화시키기 위해 사용될 수 있다. 물론, 계측 장치(140) 및/또는 다른 계측 장치(미도시)는 처리된 기판(132, 134), 및 인입하는 기판(130)의 특성을 측정하기 위해 적용될 수 있다.
예시적인 검사 장치
도 2의 (a)는 소위 암시야 이미징 계측을 구현하는 검사 장치의 주요 요소들을 개략적으로 도시한다. 이러한 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 타겟 격자 구조체(T) 및 회절된 광선들이 도 2의 (b)에 좀 더 상세히 표시된다.
도입부에 인용된 종래의 출원들에서 기술되어 있는 바와 같이, 도 2의 (a)의 암시야-이미징 장치는 분광 산란계 대신에 또는 추가하여 사용될 수 있는 다목적 각도-분해(angle-resolved) 산란계의 일부일 수 있다. 이러한 타입의 검사 장치에서, 방사선 소스(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 조절된다. 예를 들어, 조명 시스템(12)은 시준 렌즈 시스템(12a), 컬러 필터(12b), 편광자(12c) 및 애퍼쳐 디바이스(13)를 포함할 수 있다. 조절된 방사선은 조명 경로(IP)를 따라가는데, 여기에서 부분 반사면(15)에 의해 반사되고 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S) 상에 포커싱된다. 계측 타겟(T)은 기판(W) 상에 형성될 수 있다. 렌즈(16)는 높은 개구수(NA), 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95의 개구수를 가진다. 원할 경우 1 이 넘는 개구수를 얻기 위해서 침지 유체가 사용될 수 있다.
이러한 예에서 대물 렌즈(16)는 타겟에 의해 산란된 방사선을 집광하는 역할도 한다. 개략적으로, 이러한 복귀 방사선에 대해서 집광 경로 CP가 도시된다. 다목적 산란계는 집광 경로에 두 개 이상의 측정 브랜치를 가질 수 있다. 퓨필 이미징 브랜치로서 도시된 예는 퓨필 이미징 광학 시스템(18) 및 퓨필 이미지 센서(19)를 포함한다. 이미징 브랜치도 도시되는데, 이것은 아래에서 상세히 설명될 것이다. 또한, 추가적인 광학 시스템 및 브랜치가, 예를 들어 세기 정규화, 캡쳐 타겟의 거친 이미징, 포커싱 및 기타 등등을 위한 레퍼런스 방사선을 집광하도록, 실제 장치 내에 포함될 것이다. 이들의 세부사항은 전술된 이전의 공개 문헌에서 발견될 수 있다.
계측 타겟(T)이 기판(W) 상에 제공되는 경우, 타겟은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 솔리드 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟은 2-D 격자일 수도 있으며, 이 2-D 격자는 현상 후에 바(bar)가 솔리드 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이러한 격자 각각은 그 특성을 검사 장치를 사용하여 조사할 수 있는 타겟 구조체의 일 예이다. 격자의 경우, 구조체는 주기적이다. 오버레이 계측 타겟의 경우, 격자는 이전의 패터닝 단계에 의해 형성되었던 다른 격자 위에 인쇄되거나 다른 격자와 인터리빙된다.
조명 시스템(12)의 다양한 컴포넌트들은 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절가능할 수 있다. 조명 방사선의 특징인 파장(컬러) 및 편광을 선택하는 것에 추가하여, 조명 시스템(12)은 상이한 조명 프로파일들을 구현하도록 조절될 수 있다. 애퍼쳐 디바이스(13)의 평면은 대물 렌즈(16)의 퓨필 평면 및 퓨필 이미지 검출기(19)의 평면과 공액이다. 그러므로, 애퍼쳐 디바이스(13)에 의해 규정된 조명 프로파일은 스폿(S)에서 기판(W)에 입사하는 광의 각도 분포를 규정한다. 상이한 조명 프로파일을 구현하기 위하여, 애퍼쳐 디바이스(13)가 조명 경로에 제공될 수 있다. 애퍼쳐 디바이스는 가동 슬라이드 또는 휠 상에 탑재된 그 외의 애퍼쳐(13a, 13b, 13c 등)를 포함할 수 있다. 또는, 이것은 고정형 또는 프로그래밍가능 공간 광 변조기(SLM)를 포함할 수 있다. 추가적인 대안으로서, 광섬유가 조명 퓨필 평면의 상이한 위치에 배치되고, 그들의 개별 위치에 광을 전달하거나 전달하지 않도록 선택적으로 사용될 수 있다. 이러한 변형예는 모두 전술된 문서에서 논의되고 예시된다. 애퍼쳐 디바이스는 투과성이 아니라 반사성 형태일 수도 있다. 예를 들어, 반사성 SLM이 사용될 수 있다. 사실상, UV 또는 EUV 파대역에서 동작하는 검사 장치에서, 광학 요소들 중 거의 모두 또는 전부는 반사성일 수 있다.
조명 모드에 따라서, 입사각이 도 2의 (b)에 'I'로 표시된 것처럼 되도록 예시적인 광선(30a)이 제공될 수 있다. 타겟(T)에 의해 반사된 0차 광선의 경로는 '0'으로 명명된다(광축 'O'와 혼동되지 않도록). 유사하게, 동일한 조명 모드 또는 제 2 조명 모드에서, 광선(30b)이 제공될 수 있고, 이러한 경우에 제 1 모드와 비교할 때 입사 및 반사각은 스왑될 것이다. 도 2의 (a)에서, 제 1 및 제 2 예시적인 조명 모드의 0차 광선들이 각각 0a 및 0b로 명명된다.
도 2의 (b)에 상세히 도시된 바와 같이, 타겟 구조체의 일 예로서의 격자 타겟(T)은 대물 렌즈(16)의 광축(O)에 직교하는 상태로 기판(W)에 배치된다. 오프-축 조명 프로파일의 경우, 축(O)에서 벗어난 각도로부터 격자(T)에 충돌하는 조명(I)의 광선(30aI)은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟 격자의 경우에, 이러한 광선들은 계측 타겟 격자(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 조명 광선(30a)의 빔이 유한한 폭(광의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사 광선(I)은 사실상 각도의 일정한 범위를 차지할 것이고, 회절된 광선 0 및 +1/-1은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다.
암시야 이미징을 위한 집광 경로의 브랜치에서, 이미징 광학 시스템(20)은 기판(W) 상의 타겟의 이미지(T')를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 구경 조리개(21)가, 대물 렌즈(16)의 퓨필 평면에 공액인 집광 경로(CP)의 이미징 브랜치에 있는 평면에 제공된다. 구경 조리개(21)는 퓨필 스톱이라고도 불릴 수 있다. 구경 조리개가 다른 형태를 가질 수 있는 것처럼, 구경 조리개(21)도 다른 형태를 가질 수 있다. 렌즈(16)의 유효 애퍼쳐와 함께, 구경 조리개(21)는 산란 방사선의 어느 부분이 센서(23) 상에 이미지를 형성하기 위해 사용되는지를 결정한다. 통상적으로, 구경 조리개(21)는 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 일차 빔에 의해서만 형성되게 하는 기능을 한다. 양자 모두의 1차 빔이 결합되어 이미지를 형성하는 예에서, 이것은 암시야 현미경 검사와 균등한 소위 암시야 이미지일 것이다.
센서(23)에 의하여 캡쳐된 이미지는 이미지 프로세서 및 제어기(PU)로 출력되고, 이들의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. 본 발명의 목적을 달성하기 위하여, 타겟 구조체의 비대칭의 측정이 수행된다. 비대칭 측정은 타겟 구조체에 대한 지식과 결합되어 이들을 형성하기 위해 사용되는 리소그래피 프로세스의 성능 파라미터의 측정치를 획득할 수 있다. 이러한 방식으로 측정될 수 있는 성능 파라미터는, 예를 들어 오버레이, 초점 및 선량을 포함한다. 상이한 성능 파라미터가 동일한 기본적인 비대칭 측정 방법을 통해서 이렇게 측정되게 하도록, 타겟의 특수한 설계가 제공된다.
도 2의 (b) 및 조명 광선(30a)을 다시 참조하면, 타겟 격자로부터의 +1차 회절 광선은 대물 렌즈(16)에 진입하고, 센서(23)에 기록되는 이미지에 기여할 것이다. 광선(30b)은 광선(30a)에 반대인 각도로 입사하고, 따라서 -1차 회절 광선이 대물 렌즈에 진입하고 이미지에 기여한다. 오프-축 조명을 사용하는 경우 구경 조리개(21)가 0차 방사선을 차단한다. 종래의 문헌들에서 설명된 바와 같이, 조명 모드는 X 및 Y 방향으로 오프-축 조명으로 규정될 수 있다.
이러한 상이한 조명 모드들의 타겟 격자의 이미지들을 비교함으로써, 비대칭 측정치가 획득될 수 있다. 또는, 비대칭 측정치는 동일한 조명 모드를 유지하지만 타겟을 회전시킴으로써 얻어질 수 있다. 오프-축 조명이 도시되지만, 그 대신에 타겟의 온-축 조명이 사용될 수 있고, 회절된 광의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 변경된 오프-축 애퍼쳐(21)가 사용될 수 있다. 추가적인 예에서, 오프-축 프리즘(22)의 쌍이 온-축 조명 모드와 함께 사용된다. 이러한 프리즘은 +1 및 -1차 광선을 센서(23) 상의 상이한 위치로 우회시켜서, 두 개의 순차적인 이미지 캡쳐 단계들을 수행할 필요가 없이 이들이 검출되고 비교될 수 있게 하는 효과를 가진다. 그 결과, 별개의 이미지들이 이미지 센서(23) 상의 분리된 위치에 형성된다. 예를 들어 도 2의 (a)에서, 조명 광선(30a)으로부터의 +1차 회절을 사용하여 만들어진 이미지 T'(+1a)는 조명 광선(30b)으로부터의 -1차 회절을 사용하여 만들어진 이미지 T'(-1b)로부터 공간적으로 분리된다. 이러한 기법은 공개된 특허 출원 제 US2011102753A1에서 개시되는데, 이러한 문서의 내용은 원용되어 본원에 통합된다. 2차, 3차 및 더 고차인 빔(도 2에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다. 추가적인 변형예로서, 오프-축 조명 모드는 일정하게 유지되는 반면에, 타겟 자체가 대물 렌즈(16) 아래에서 180 도 회전되어 반대 회절 차수들을 사용하여 이미지를 캡쳐한다.
종래의 렌즈-기초 이미징 시스템이 예시되지만, 본 명세서에 개시된 기법은 플렌옵틱 카메라, 및 소위 "무렌즈" 또는 "디지털" 이미징 시스템에도 동일하게 적용될 수 있다. 그러므로, 회절된 방사선을 위한 처리 시스템 중 어느 부분이 광학 도메인에서 구현되고 어떤 것이 전자 및 소프트웨어 도메인에서 구현되는지에 대한 폭넓은 설계 선택이 가능하다.
오버레이 측정
측정치를 얻기 위해서 단일 파장을 사용하는 이전의 오버레이 측정 알고리즘에서는, 측정된 신호로부터 오버레이의 변화 또는 격자 비대칭의 변화로 초래되는 세기 비대칭을 구별하는 것이 불가능하다. 여러 파장을 가지고 측정을 하고 및/또는 바닥-격자 패드(바닥 격자에 있는 비대칭이 직접적으로 측정될 수 있도록, 상단 격자가 없는 오버레이 타겟의 영역), 여러 바이어스 또는 피치를 추가하여 타겟을 확장함으로써 이러한 문제를 해결하는 것이 제안되었다. 그러나, 이러한 방법은 파장 감도를 조사하거나 격자 비대칭을 구별할 수 있지만, 양자 모두를 할 수는 없다.
바닥 격자 비대칭 측정, 즉 그 위에 상단 격자가 형성되지 않은 바닥 격자의 부분을 측정하는 것이, 격자 비대칭에 대하여 오버레이 측정을 정정하기 위해 사용될 수 있다. 그러나, 그러려면 측정 타겟에 적용되는 기판 상의 추가적 구역이 필요하고, 바닥 격자 비대칭과 오버레이 사이의 관련성이 레시피 셋업에서만 교정될 수 있다. 이러한 관련성에 변화가 생기면 정정에 오차가 초래될 것이다.
이전에 제안된 다른 교정 방법은 측정된 비대칭들 사이의 선형 관련성에 의존한다. 추가 항이 도입되게 되면, 이러한 방법은 더 이상 신뢰가능하지 않게 된다.
다양한 상이한 오차원에 대해서 교정 방법들이 제안되어 왔지만, 상이한 방법들은 그들 자신의 측정 데이터를 수집할 뿐, 결과를 경유하고 개별 정정을 적용하지 않는다. 그러면 일부 타겟이 상이한 목적을 위해서 데이터를 수집하기 위하여 여러 번 측정되는 결과가 발생될 수 있다. 이것은 측정 시간과 이용가능한 데이터의 사용 측면에서 비효율적이다. 따라서, 기판의 속성, 예를 들어 오버레이를 측정하기 위한 개선된 접근법이 요구된다.
본 발명의 일 실시예가 예시를 통해 그리고 도 5를 참조하여 오버레이 측정에 관하여 설명될 것이다.
본 발명의 일 실시예의 제 1 단계는 레시피 셋업(S1)인데, 이것은 적합한 타겟의 설계 및 측정을 위해 사용할 적합한 파장의 선택(S11)을 포함한다.
종래의 오버레이 타겟은 기판의 제 1 층 내의 바닥 격자 및 제 1 층 위에 있는 기판의 제 2 층 내에 있는, 바닥 격자와 동일한 피치를 가지는 상단 격자를 포함한다. 상단 격자는 흔히, 바닥 격자에 대해서 바이어스라고 불리는 미리 결정된 오프셋을 가지고 위치설정된다(완벽하게 배치된다면). 타겟은 바이어스-쌍이라고 불리는 반대 바이어스들을 가지는 격자의 두 쌍을 포함할 수 있다. 본 발명의 일 실시예는 층-쌍마다 다수의 피치를 포함하는 오버레이 타겟을 사용할 수 있는데, 각각의 피치는 그 자신의 바이어스-쌍을 가진다. 2-피치 타겟의 예(T)가 도 3에 도시된다. 이것은 표시된 바와 같이, X 또는 Y 방향에 수직으로 배향된 격자 라인을 가지고, 피치 P1 또는 P2 및 +ve 또는 -ve 바이어스를 가지는 서브 타겟(G1-G8)을 포함한다.
이러한 기본적인 타겟 구조체에 대하여, 특정 애플리케이션을 위한 최적 오버레이 프로파일이 결정된다. 예를 들어, 타겟 내의 격자의 피치에 대한 값 및 정확한 형상 및 구성은, 특정 조건 - 즉 계측 장치의 변경가능 설정의 값, 예컨대 파장, 편광, 애퍼쳐, ND-필터 -의 단일 측정이 관련된 모든 세기를 수집하기 위해서 사용될 수 있게 되도록 선택될 수 있다. 레시피 최적화에 기초하여, 파장에서의 관련된 변동이 결정될 수 있고, 이것이 고감도 영역에 진이하지 않고 파장차에 기인한 비대칭 변동을 탐지할 수 있다. 흔히 필드 또는 다이마다 두 개 이상의 복수 개의 타겟이 기판 상에 제공된다. 이들 모두가 동일할 필요는 없다. 일부 타겟은 동일한 기본 구조를 가질 수 있지만, 사용되는 피치 및/또는 바이어스의 정확한 값은 다르다. 다른 타겟은 매우 다른 구조를 가질 수 있다.
하나의 기판 상에서 다수의 타겟을 샘플링하기 위한 스킴을 고안하는 것도 역시 바람직하다. 일 실시예에서, 각각의 타겟은 제한된 개수의 파장으로 샘플링되지만, 기판 전체에서 파장은 균일한 커버리지가 얻어지는 방식으로 타겟마다 변한다. 도 4는 201 개의 타겟이 5 개의 파장을 사용하여 측정되는 예시적인 스킴을 도시한다. 각각의 측정은 원 mi로 표현되는데, 원의 각각의 반원의 라인 스타일은 각각의 타겟을 측정하기 위하여 사용된 두 파장 중 하나를 표시한다.
일 실시예에서, 측정 시스템은 타겟마다 이동되는 동안 파장 스위칭을 가능하게 하여, 다수의 파장을 사용하는 동안 쓰루풋의 손실이 없게 하고, 파장 스위칭이 선택된 샘플링 패턴을 제약하지 않게 한다. 일 실시예에서, 측정 시스템은 각각의 측정을 위해 사용된 실제 파장을, 예를 들어 직접적인 분광 측정 또는 조명 방사선의 파장에 영향을 주는, 조명 시스템의 컴포넌트의 기계적 변위에 기초한 간접적인 유도를 사용하여 보고하도록 구성된다.
샘플링 스킴을 결정하면, 임의의 필요한 교정 측정(S2)이 수행된다. 또는, 센서 내의 임의의 비대칭을 결정하기 위한 추가적인 측정(S3)이 수행되는데, 이들은 더 상세히 후술된다.
생산 프로세스 중에, 각각의 기판은 고안된 스킴에 따라서 측정된다(S4). N 개의 타겟의 기본적인 오버레이 측정(S41)이, 바닥 격자 어레이(BGA)를 측정하는 것(S42); 측정마다 사용된 실제 파장을 측정하는 것(S43); 기판에 걸쳐서 사용되는 파장을 변경하는 것; 및/또는 센서 또는 툴에 의해 유도된 오차의 측정(S45)과 같은 추가적인 선택적 측정과 함께 이루어진다. 예를 들어, 계측 툴에 의해서 최종 측정된 세기에 유도된 비대칭을 영향을 고려하기 위해서 정정 방법을 적용하는 것이 가능하다. 계측 툴의 광학기는 완벽하지 않은데, 이것은 광선이, 순수 기하학적으로 고려할 때 그 대칭적인 대상으로부터 다소 상이한 광로를 따라갈 수 있다는 것을 의미한다. 이러한 정정은 본 명세서에 그 전체가 원용에 의해 통합되는 미국 특허 출원 US 2016-0180517 A1에 기술된다. 일 실시예에서, 정정을 계산하기 위해 필요한 측정은 하나의 로트 또는 배치 내의 제 1 기판에만 수행된 후, 다른 기판을 위해 필요한 정정이 유도되어, 각각의 기판에 이러한 정정을 수행할 필요성을 제거한다.
요구되는 모든 측정치가 얻어지면, 근사화(fitting) 프로세스(S5)가 수행된다. 이것은, 정정 인자(S51)를 결정하고, 측정된 비대칭을 측정될 기판의 속성에 근사화하며(S52), 결과를 출력하는(S53) 하부단계를 포함한다. 프로세스들은 더 상세히 후술된다.
각각의 타겟에 대한 측정된 세기 비대칭은 다음과 같이 표현될 수 있다:
Figure 112019071453194-pct00001
여기에서:
As bias*wavelength*location*pitch [2*wt*n*p] 당 (바이어스마다 측정된 비대칭, [gl])
K (오버레이 감도, [gl/nm]), As(+d) - As(-d) [wm*n*p]로부터 유도될 수 있음
OV target[n] 마다의(오버레이)
d (바이어스) = ±20nm
Ap location*pitch [n*p] 당 (프로세스에 기인한 비대칭, [rad])
Sp wavelength*pitch [wm*p] 당 (비대칭 프로세스에 대한 감도, [gl/rad])
dSp wavelength*pitch [wm*p] 당 (WL에 대한 비대칭 프로세스 유도체(derivative)에 대한 감도,[gl/(rad*nm)])
Figure 112019071453194-pct00002
target[n] 마다의 (측정된 파장 설정(set)-오차, [nm])
b = 타겟 당 바이어스 수(디폴트: 2)
n = 웨이퍼 상에서 측정된 위치의 수
wt = 타겟 당 측정된 파장의 수
wm = 웨이퍼에 걸쳐서 측정된 파장의 수(wm ≥ wt)
p = 타겟 당 피치의 수
감도의 기울기인 dSp는 파장 설정-오차에 기인한 로컬 기울기이다. 이것은 파장 레시피 설정 마다 결정되지만, 파장 선택 변동에 기인한 파장 변동을 커버한다.
감도 및 비대칭 양자 모두는 피치에 의존하기 때문에, 이들은 상이한 피치에 대하여 별개로 솔빙될 수 없다는 것에 주의해야 한다. 부분 솔루션으로서, Apn,p 는 피치-독립적인 부분(Apn)인 위치 의존적 비대칭 및 피치(차이)-의존적 부분(Apn,dp)에서 분할될 수 있다. 수학식 1에서, 감도(Sp, dSp)가 전체 기판에 대해서 결정된다. 기판내 컴포넌트로 확장하면, 추가적인 위치 의존적 파라미터가 수학식에 추가될 수 있다. 더욱이, 일부 실시예들에서, 기본적인 오버레이 의존성(
Figure 112019071453194-pct00003
이외의 항들은 필요하지 않을 경우 생략될 수 있다.
따라서, 기판으로부터 얻어진 측정 값이 수학식 1로 대입되어, 풀어야 할 복수 개의 수학식이 생성될 수 있다. 이러한 수학식은, 풀어야 할 하나 이상의 변수가 수학식 중 두 개 이상에서 동일한 값을 가지도록 제약된다는 점에서 연립방정식이다. 그러나, 그 외의 변수들은 상이한 파장으로 측정될 때 기판 상의 상이한 위치에서 상이한 값을 가지도록 허용될 수 있고, 따라서 수학식들 중 상이한 것들 사이에서 독립적이다. 풀어야 할 변수들 사이에는 추가적 관련성 또는 제약이 존재할 수 있고, 이들은 타겟당 수학식들이 연립방정식이 되게 할 수 있다.
최초 단계로서, K에 대해서 풀기 위하여 수학식들 중 절반이 사용될 수 있어서, 다른 변수에 대해서 이용가능한 수학식들의 개수는 wt*n*p이고 미지수의 개수는 n + 2*wm*p + n*p이다.
따라서, 100 개의 타겟을 측정하기 위하여 두 개의 파장만을 사용하는 예에서, 가능한 솔루션은 다음 조건 중 하나가 만족된다면 존재한다.
P wt 하한 @(wm=2, n=100)
1 (2*n+2*wm)/n wt > 2.04 (따라서, 적어도 3 wl)
>1 wt ≥ 2
따라서, 타겟 가용 공간(단일 또는 멀티-피치)과 획득 시간(wt) 사이에 트레이드-오프를 이루는 것이 가능하고, 본 발명의 특정 용도에 대하여 적절한 절충이 결정될 수 있다. 전체 기판에 걸쳐 상이한 파장에서 측정하는 것이 요구되지 않고, 오히려 프로세스 변동에 대한 견실성 및 상이한 파장의 감도들 사이에서의 관계에서 추가적 정보가 제공될 것이다.
추가적 비대칭-항 및/또는 감도
수학식 1은 제한된 비대칭-항 및 관련된 감도-항만을 가진다. 양자 모두의 항은 상이한 교란 또는 더 높은 차수의 공간적 모델을 탐지하도록 확장될 수 있다. 결정될 수 있는 가능한 비대칭 항은 파장/타겟의 개수 및 두 개의 피치를 보유한 타겟에 대한 감도-항의 개수의 함수이다.
두 파장 획득(기판에 걸쳐 변동이 없음)에 대하여, 25 개까지의 감도 파라미터가 단일 비대칭 기여분에 대해서 풀이될 수 있다. 그러나, 기판에 걸쳐서 파장 변동이 추가되면 옵션의 개수가 줄어든다. 추가적 비대칭-항에 대해서는, 통상적으로 타겟 당 더 많은 파장이 측정될 필요가 있다.
수학식 1은 바닥 격자 비대칭(BGA) 측정 데이터를 포함하도록 확장될 수 있다. 그러면 BGA와 OV 사이의 관련성의 인라인 교정이 가능해진다.
Figure 112019071453194-pct00004
여기에서:
Ab location*pitch [n*p] 당 (BGA 패드 상에서 측정된 비대칭)
Sb wavelength*pitch [w*p] 당 (비대칭 BGA에 대한 감도)
또한, 수학식 1은, 위에서 논의된 바와 같이 계측 툴에 의해 유도된 비대칭의 영향을 정정하기 위한 알고리즘을 포함하도록 확대될 수 있다. 이러한 모든 정정을 하나로 결합할 때, 교정 측정치와 실제 오버레이 측정치 사이에 차이가 사라진다: 모든 데이터-포인트는 정정을 위한 입력으로서 사용될 수 있다. TIS 및/또는 타겟 변위가 있는 임의의 측정치가 관련된 정정 항을 결정하기 위하여 고려될 수 있다. 그러면, 예를 들어 추가적인 교란(예를 들어, 웨이퍼 상에서의 랜덤 타겟 변위)이 있는 정규 오버레이 측정치가 조명 스폿 내의 세기의 스폿 프로파일 변동에 대한 정정 스킴을 위한 입력으로서 사용될 수 있게 된다. 이러한 스폿 프로파일 정정 스킴은 1 개의 타겟 내에 그 이미지들이 단일 노광에서 기록되는 두 개 이상의 격자가 있는 경우를 정정한다. 이러한 경우에는 반드시 조명 스폿 내에 동일한 위치를 가지는 것은 아니다. 교정 중에, 타겟이 조명 스폿 내의 상이한 위치에서 측정된다. 유도된 세기 정정 인자가 측정 중에 스폿 내의 타겟 위치의 함수로서 적용될 수 있다. 이러한 정정은 US 2012-0242970 A1에 더 상세히 설명되며, 해당 문헌은 그 전체가 원용되어 본원에 통합된다.
충분한 입력이 이용가능하지 않다면, 수학식 내의 차원의 개수는, 기판 상의 위치의 함수로서의 관심 속성(예를 들어 오버레이)에 대한 모델을 도입함으로써 크게 감소될 수 있다. 이것은, 이전에는 독립적이었던 변수가 연관되게 된다는 것과, 타겟 위치 마다의 오버레이 대신에, 해당 모델의 파라미터에 대해서만 수학식을 푸는 것이 가능하다는 것을 의미한다. 대안적으로 또는 추가적으로, 수학식 내의 특정 항은 모델 근사화에 의해서 대체될 수 있다. 예를 들어, 피치마다 그리고 타겟마다의 프로세스에 기인한 비대칭을 나타내는 항
Figure 112019071453194-pct00005
은, 위치, 확대 및 회전과 같은 파라미터의 측면에서 프로세스에 기인한 비대칭을 나타내는 함수로 대체될 수 있다.
전체 웨이퍼가 측정되는 경우, 비대칭 표현인 수학식 1 또는 2가 솔빙될 수 있고, 결과적으로 얻어지는 오버레이가 타겟마다 계산될 수 있다.
본 발명의 일 실시예에서 풀이된 수학식의 변형된 예가 다음 설명된다.
밑에 다시 쓰여진 수학식 1은 측정된 비대칭을 오버레이 프로세스 비대칭 및 프로세스 비대칭의 파장 감도의 함수로서 모델링한다.
Figure 112019071453194-pct00006
일 예로서, 단일 피치를 가지는 타겟의 세트(T1 -Tn)가 파장(WL1-WLn)을 사용하여 측정되어 다음 수학식을 제공한다:
Figure 112019071453194-pct00007
Figure 112019071453194-pct00008
Figure 112019071453194-pct00009
Figure 112019071453194-pct00010
(모든 w 개의 파장에 대하여)
Figure 112019071453194-pct00011
Figure 112019071453194-pct00012
Figure 112019071453194-pct00013
타겟 2
Figure 112019071453194-pct00014
Figure 112019071453194-pct00015
(w 개의 파장, n 개의 타겟에 대하여)
Figure 112019071453194-pct00016
Figure 112019071453194-pct00017
Figure 112019071453194-pct00018
따라서, 공지된 변수의 개수는 n*w이고(두 개의 바이어스가 K를 풀어내기 위하여 사용됨) 미지의 변수의 개수는 n + w + w + n이다. 그러므로 수학식들은 다음 조건이 만족된다면 풀 수 있다:
Figure 112019071453194-pct00019
즉,
Figure 112019071453194-pct00020
이다.
따라서 이러한 경우에 세 개 이상의 파장이 요구된다.
상이한 파장 샘플링을 고려하면, wt가 타겟 당 측정된 파장의 개수이고 wm이 전체 기판에 걸쳐서 측정된 파장의 개수라면, 다음 조건이 적용된다:
Figure 112019071453194-pct00021
Figure 112019071453194-pct00022
다시 말하건대, 타겟 당 세 개 이상의 파장이 요구되지만, 더 많은 전체의 샘플링된 파장을 추가하면 더 많은 타겟이 측정될 수 있게 된다.
따라서, 본 발명은 다수의 피치 및 파장에 기초한 오버레이 비대칭 정정 및 파장 설정-오차의 영향에 대한 오버레이 비대칭 정정을 제공할 수 있다. 더욱이, 쓰루풋에 제한된 영향만을 미치면서, 기판에 걸쳐서 다수의 파장의 샘플링이 가능해진다. 또한, 쓰루풋에 제한된 영향만을 미치면서, 다수의 파장에 대한 BGA-오버레이 관련성을 교정하는 것이 가능하다. 모든 비대칭 기여분 및 교정을 포함하는 단일 근사(fit)는, 모든 상이한 기여분에 대해서 명쾌하고 수치적으로 더 안전하게 풀어내는 것을 가능하게 한다. 본 발명에 따르면, 스택에 대해서는 종래의 지식이 필요하지 않다. 요구되는 모든 정보, 예를 들어 파장 범위는 레시피 최적화를 위해 적합한 교정 단계 중에 결정될 수 있다. 이러한 교정의 추가적인 세부사항이 US 20160161864 A1에 주어지는데, 이러한 문헌은 그 전체가 본원에 원용에 의해 포함된다.
본 발명의 방법은 레시피-셋업 중에 직접적으로 적용될 수 있고(종래의 지식이 요구되지 않음), 대량 제조 중에 최선의 파장(들)을 선택하기 위하여 사용될 수 있다. 여러 개의 파장을 샘플링하면, 프로세스 변동, 특히 더 두꺼운 스택에 대한 견실성이 개선될 수 있다. 타겟마다 세 개의 파장을 획득하면, 단일 피치 솔루션이 가능하다(즉 소타겟이 사용될 수 있게 함).
또한, 본 발명은 BGA와 오버레이 사이의 BGA 정정 오차를 즉각적으로(on-the-fly) 적응함으로써(로트 마다, 웨이퍼 사이에 및 웨이퍼 내에서) BGA 정정 오차가 감소되게 할 수 있다
본 발명에 따르면, 모든 측정치들이 최선의 교정/정정 및 오버레이 결과를 얻기 위하여 사용될 수 있다. 상이한 태스크에 대해서 데이터를 분리하지 않는다. 그러므로, 본 발명은 모든 관련된 데이터의 가장 빠른 콜렉션을 제공한다.
본 발명의 방법은 더 많은 비대칭 기여분 또는 모델 파라미터(비선형 또는 더 높은 차수의 성분)로 쉽게 확장가능하다.
본 발명은 회절-기초 오버레이 산란계에, 그리고 또한 퓨필-기초 산란계를 사용하여 적용될 수 있다. 전술된 설명은 회절-기초 오버레이 산란측정에 기초하지만, 본 발명은 퓨필-기초 응용예에 대해서 더 쉽게 적용될 수 있다. 퓨필-기초 산란측정에서는 더 많은 측정치가 이용가능하다(NA-위치의 함수로서). 그러면 비대칭/감도-항을 매우 제한된 비용으로 추가할 수 있게 된다. 또한 본 발명은, 상이한 피치도 상이한 타겟-설계(예를 들어 CD, 듀티-사이클, 세그먼트화)에 의해 대체될 수 있는 회절-기초 초점 산란측정에 적용될 수 있다.
위에서 언급된 바와 같이, 특정 스택 및 스펙트럼의 부분에 대하여, 오버레이의 측정은 측정을 위해 사용되는 파장의 변화에 매우 민감하다. 스펙트럼의 이렇게 특히 민감한 부분은, 스윙-곡선 응답을 검사함으로써 레시피-셋업 중에 가능한 한 회피되지만, 견실성과 감도 사이에는 언제나 트레이드-오프가 존재한다. 그러나, 이용가능한 파장이 존재하지 않는 경우도 가끔 존재하는데, 그러면 측정 방사선의 파장이 조금 변하는 데 대하여, 전체 기판에 걸쳐서 측정된 오버레이가 결과적으로 크게 변하지 않게 된다. 파장이 고정되지만 그 공칭 값과 비교하여 실제 파장에 계통 오차(systematic error)가 존재할 수 있는 공지된 시스템에서는, 결과적으로 얻어지는 오차가 현재의 시스템에서 툴-매칭 오차로서만 나타나게 될 것인데, 이것은 툴 내에서 이루어질 수 있는 이산 필터 특성의 직접 측정이 존재하지 않기 때문에 조사하기가 매우 어렵다. 파장 변화에 기인한 유사한 영향이 초점 및 CD 산란측정에 대해서도 발생한다.
일부 산란계에서, 측정의 실제 파장 및 대역폭이 결정될 수 있다. 이것은 빌트인 분광계에 의한 직접적 측정이거나 분광분석 교정 데이터를 사용한 WL의 컬러-필터 서보-기초 추정일 수 있다. WL 오차(dWL) 및 BW 오차(dBW)는 실제와 설정(set) 사이의 차이로서 규정된다. 전체 기판이 측정된 후에, WLerror 및 BWerror가 측정된 오버레이와 근사화될수 있다(fitted):
Figure 112019071453194-pct00023
dWL 및 dBW 오프셋 양자 모두에 대하여, 정적 천이 및 감도 그리고 양자 모두 사이의 교차-항에 대해서 정정하기 위하여 Slope 항이 근사화된다. 그러면, dOV가 측정된 OV로부터 감산되어 파장/대역폭에 의존하는 부분을 제거할 수 있다. 이러한 방법이 최선으로 수행되려면, 파장 분포가 자신의 세트-포인트에 중심을 두어야 한다. 세트-포인트가 포함되지 않으면, 외삽이 수행될 수 있다.
두꺼운-스택의 경우, 스윙-곡선이 (광학적) 스택 높이 변동에 기인하여 파장-축을 따라 천이할 것이다. 이러한 효과는 근사화를 위치 의존적 선형(더 높은 차수의) 항과 함께 확장함으로써 포함될 수 있다. WL/BW에서의 변화에 대해 시스템적으로 발생되는 효과가 정정될 것이고, 오버레이에 대한 모든 다른 기여분(실제 오버레이, 비대칭, 등)은 다른 모델의 파라미터 내에 존재할 것이다.
유사한 정정이 초점 산란계에 대해서 유도될 수 있다. 이러한 정정은 다음의 장점을 제공한다:
- 오버레이 또는 초점 스윙-곡선 응답에 대한 감도가 감소함
- 색상-필터 변동(예를 들어 온도에 기인한)에 대한 감도가 감소함
- WL/BW 정확도/정밀도에 기인한 툴-매칭 오차가 감소함
- 추가적인 측정 오버헤드가 요구되지 않고, 정정이 측정 후에 수행될 수 있음
- 모든 측정이 WL/BW에 대한 동일한 세트-포인트에 대하여 오버레이 또는 초점에 있어서 정정됨.
측정치를 얻고, 파장 및 다른 레시피 파라미터의 선택을 제어하기 위한 계산이 이미지 프로세서 및 검사 장치의 제어기(PU) 내에서 수행될 수 있다. 대안적인 실시예들에서, 비대칭 및 다른 관심 파라미터의 계산은 검사 장치 하드웨어 및 제어기(PU)로부터 원격으로 수행될 수 있다. 이들은 예를 들어 감독 제어 시스템(supervisory control system; SCS) 내에서, 또는 검사 장치의 제어기(PU)로부터 측정 데이터를 수신하도록 구성되는 임의의 컴퓨터 장치에서 수행될 수 있다. 교정 측정을 제어하고 처리하는 것은, 획득된 정정 값을 사용하여 대량 계산을 수행하는 것과 별개인 프로세서에서 수행될 수 있다. 이러한 옵션들 모두는 구현하는 사람의 선택에 달린 것이고, 적용되는 원리 또는 얻어지는 장점을 바꾸는 것은 아니다.
비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다.
실시예에 예시된 검사 장치 또는 툴이 병렬 이미지 센서에 의한 퓨필 평면 및 기판 평면의 동시 이미징을 위한 제 1 및 제 2 브랜치를 가지는 특정 형태의 산란계를 포함하지만, 다른 구성도 가능하다. 빔 분할기(17)가 있는 대물 렌즈(16)에 영구적으로 커플링된 두 개의 브랜치를 제공하는 것이 아니라, 브랜치들은 미러와 같은 가동 광학 요소에 의해 선택적으로 커플링될 수 있다. 단일 이미지 센서를 가지는 광학 시스템이 제조될 수 있는데, 센서까지의 광로는 가동 요소에 의해 재구성되어 퓨필 평면 이미지 센서로 그리고 그 후에 기판 평면 이미지 센서로서의 역할을 한다.
위에서 설명된 타겟 구조체가 측정의 목적을 위하여 특정하게 설계되고 형성된 계측 타겟들인 반면에, 다른 실시예들에서, 기판에 형성된 디바이스의 기능성 부분인 타겟들의 속성이 측정될 수도 있다. 많은 디바이스들은 정규의 격자-유사 구조를 가진다. 본 명세서에서 사용되는 바와 같은 '타겟 격자' 및 '타겟 구조체'라는 용어는 해당 구조체가 수행되는 중인 측정에 대하여 특정하게 제공되어야 한다는 것을 요구하지 않는다.
검사 장치 하드웨어 및 기판과 패터닝 디바이스에서 실현되는 적합한 주기적 구조체와 연관하여, 일 실시예는 리소그래피 프로세스에 대한 정보를 획득하기 위한 전술된 타입의 측정 방법을 구현하는 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수 있다. 이러한 컴퓨터 프로그램은 예를 들어 도 2의 장치에 있는 이미지 프로세서 및 콘트롤러(PU) 및/또는 도 1의 제어 유닛(LACU) 내에서 실행될 수도 있다. 그 안에 저장된 이러한 컴퓨터 프로그램을 포함하는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기적 또는 광학적 디스크)가 역시 제공될 수 있다.
본 발명에 따른 다른 실시예들은 다음 절에서 더욱 기술된다:
1. 기판의 속성을 측정하는 방법으로서,
상기 기판은 상기 기판 위에 형성된 복수 개의 타겟을 포함하고,
상기 방법은,
상기 복수 개의 타겟 중 N 개의 타겟을 광학 측정 시스템을 사용하여 측정하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각은 Wt 번 측정되며, Wt는 N*Wt 개의 측정 값을 획득하도록 2 보다 큰 정수임 -; 및
Q 개의 수학식 및 N*Wt 개의 측정 값을 사용하여 R 개의 속성 값을 결정하는 단계를 포함하고, R < Q ≤ N*Wt이며,
상기 광학 측정 시스템은 적어도 하나의 변경가능 설정을 가지고, 상기 N 개의 타겟 각각에 대하여, 측정 값이 적어도 하나의 변경가능 설정의 상이한 설정 값을 사용하여 획득되는, 기판 속성 측정 방법.
2. 제 1 절에 있어서,
상기 Q 개의 수학식은 연립방정식인, 기판 속성 측정 방법.
3. 제 1 절 또는 제 2 절에 있어서,
상기 N 개의 타겟 모두에 걸쳐서 사용되는 상기 변경가능 설정의 상이한 설정 값의 총 수 Wm은 Wt보다 큰, 기판 속성 측정 방법.
4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,
상기 광학 측정 시스템은 산란계인, 기판 속성 측정 방법.
5. 제 3 절에 있어서,
상기 변경가능 설정은 상기 광학 측정 시스템의 조명 빔의 파장, 대역폭, 애퍼쳐 형상 및/또는 편광인, 기판 속성 측정 방법.
6. 제 1 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 속성은 상기 기판 상에 형성된 두 패턴 층들 사이의 오버레이인, 기판 속성 측정 방법.
7. 제 1 절 내지 제 6 절 중 어느 한 절에 있어서,
상기 타겟은 격자를 포함하는, 기판 속성 측정 방법.
8. 제 7 절에 있어서,
상기 타겟은 상이한 바이어스, 배향 및/또는 피치를 가지는 복수 개의 격자를 포함하는, 기판 속성 측정 방법.
9. 제 1 절 내지 제 8 절 중 어느 한 절에 있어서,
상기 방법은,
상기 광학 측정 시스템의 조명 빔의 파장 및/또는 대역폭을 각각의 측정 시간에 측정하는 단계를 더 포함하고,
각각의 수학식은 파장 및/또는 대역폭에 의존하는 적어도 하나의 항을 포함하는, 기판 속성 측정 방법.
10. 제 1 절 내지 제 9 절 중 어느 한 절에 있어서,
상기 수학식은: 타겟 (격자) 비대칭; 바닥 격자 비대칭; 격자 비대칭의 파장 감도; 바닥 격자 비대칭의 파장 감도; 센서 비대칭, 조명 균질성 중 적어도 하나에 상관되는 항을 포함하는, 기판 속성 측정 방법.
11. 제 1 절 내지 제 10 절 중 어느 한 절에 있어서,
Q 개의 수학식을 풀이하는 것은, 상기 N 개의 타겟 각각의 위치에서 속성 값을 획득하는 것을 포함하는, 기판 속성 측정 방법.
12. 제 1 절 내지 제 11 절 중 어느 한 절에 있어서,
Q 개의 수학식을 풀어내는 것은, 기판에 걸친 속성 값에 관하여 속성을 기술하는 함수를 획득하는 것을 포함하는, 디바이스 제조 방법.
13. 디바이스 제조 방법으로서,
기판 상에 제 1 디바이스 층을 형성하는 단계 - 상기 제 1 디바이스 층은 복수 개의 타겟을 포함하는 것임 -;
상기 복수 개의 타겟 중 N 개의 타겟을 광학 측정 시스템을 사용하여 측정하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각은 Wt 번 측정되며, Wt는 N*Wt 개의 측정 값을 획득하도록 2 보다 큰 정수임 -;
Q 개의 수학식 및 N*Wt 개의 측정 값을 사용하여 R 개의 속성 값을 결정하는 단계 - R < Q ≤ N*Wt임 -; 및
다른 프로세스 단계에 대하여 판정하는 단계를 포함하고,
상기 광학 측정 시스템은 적어도 하나의 변경가능 설정을 가지고, 상기 N 개의 타겟 각각에 대하여, 측정 값이 적어도 하나의 변경가능 설정의 상이한 설정 값을 사용하여 획득되며, 상기 판정하는 단계는 상기 R 개의 속성 값에 기초하는, 디바이스 제조 방법.
14. 광학 측정 시스템이 기판의 속성을 측정하는 방법을 수행하게 하는 명령을 포함하는 컴퓨터 프로그램으로서,
상기 기판은 상기 기판 위에 형성된 복수 개의 타겟을 포함하고,
상기 방법은,
상기 복수 개의 타겟 중 N 개의 타겟을 광학 측정 시스템을 사용하여 측정하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각은 Wt 번 측정되며, Wt는 N*Wt 개의 측정 값을 획득하도록 2 보다 큰 정수임 -; 및
Q 개의 수학식 및 N*Wt 개의 측정 값을 사용하여 R 개의 속성 값을 결정하는 단계를 포함하고, R < Q ≤ N*Wt이며,
상기 광학 측정 시스템은 적어도 하나의 변경가능 설정을 가지고, 상기 N 개의 타겟 각각에 대하여, 측정 값이 적어도 하나의 변경가능 설정의 상이한 설정 값을 사용하여 획득되는, 컴퓨터 프로그램.
15. 리소그래피 프로세스에 의하여 하나 이상의 기판 상에 형성된 복수 개의 구조체의 속성을 측정하는 검사 장치로서,
조명 광학 시스템, 수집 광학 시스템 및 처리 시스템을 포함하고,
상기 처리 시스템은,
각각의 구조체의 상기 속성의 측정치를, 적어도 부분적으로 상기 조명 광학 시스템에 의해 구축된 조명 조건의 하나 이상의 세트 하에서 상기 구조체에 의해 산란된 후에 상기 수집 광학 시스템에 의해 수집된 방사선으로부터, 유도하도록 구성되며,
상기 처리 시스템은, 제 1 절 내지 제 12 절 중 어느 한 절의 방법으로 복수 개의 구조체의 속성의 측정치를 유도하도록 상기 검사 장치를 제어하도록 구성되는, 검사 장치.
16. 리소그래피 시스템으로서,
리소그래피 장치를 포함하고, 상기 리소그래피 장치는,
패턴을 조명하도록 구성되는 조명 광학 시스템;
상기 패턴의 이미지를 기판 상에 투영하도록 구성되는 투영 광학 시스템; 및
제 15 절에 따른 검사 장치를 포함하며,
상기 리소그래피 장치는 상기 패턴을 추가적인 기판에 적용할 때, 상기 검사 장치로부터의 측정 결과를 사용하도록 구성되는, 리소그래피 시스템.
비록 위에서 광 리소그래피의 콘텍스트에서 본 발명의 실시예를 사용하는 것에 대해 특정하여 언급하였지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 1-100 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다. 산란계 및 다른 검사 장치의 구현형태는 적합한 소스를 사용하여 UV 및 EUV 파장에서 제작될 수 있고, 본 발명은 절대로 IR 및 가시광선을 사용한 시스템으로 한정되는 것이 아니다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다. 반사성 컴포넌트는 UV 및/또는 EUV 범위에서 동작하는 장치 내에서 사용될 가능성이 있다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안 되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (15)

  1. 기판의 속성을 측정하는 방법으로서,
    상기 기판은 상기 기판 위에 형성된 복수 개의 타겟을 포함하고,
    상기 방법은,
    적어도 하나의 변경가능한 설정을 갖는 광학 측정 시스템을 사용하여 상기 복수 개의 타겟 중 N 개의 타겟에 대해 Wt 회 측정을 수행하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각이 Wt 회 측정되며, N*Wt 개의 측정 값을 획득하도록 Wt 는 2 보다 큰 정수이고, 상기 N 개의 타겟 각각에 대해 Wt 개의 측정을 획득하는 것은:
    상기 적어도 하나의 변경가능한 설정을 제 1 설정으로 설정하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 1 설정에서 상기 N 개의 타겟 중 제 1 타겟의 제 1 값을 측정하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 1 설정에서 상기 N 개의 타겟 중 제 2 타겟의 제 2 값을 측정하는 것;
    상기 적어도 하나의 변경가능한 설정을 제 2 설정으로 변경하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 2 설정에서 상기 N 개의 타겟 중 상기 제 1 타겟의 제 3 값을 측정하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 2 설정에서 상기 N 개의 타겟 중 상기 제 2 타겟의 제 4 값을 측정하는 것을 포함함 -; 및
    복수의 Q 개의 수학식 및 획득된 총 N*Wt 개의 측정 값을 사용하여 상기 N 개의 타겟의 R 개의 속성 값을 결정하는 단계를 포함하고, R < Q ≤ N*Wt 인, 기판 속성 측정 방법.
  2. 제 1 항에 있어서,
    상기 Q 개의 수학식은 연립방정식인, 기판 속성 측정 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 N 개의 타겟 모두에 걸쳐서 사용되는 상기 변경가능한 설정의 상이한 설정 값의 총 수 Wm은 Wt보다 큰, 기판 속성 측정 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 광학 측정 시스템은 산란계인, 기판 속성 측정 방법.
  5. 제 3 항에 있어서,
    상기 변경가능한 설정은 상기 광학 측정 시스템의 조명 빔의 파장, 대역폭, 애퍼쳐 형상 및/또는 편광인, 기판 속성 측정 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 속성은 상기 기판 상에 형성된 두 패턴 층들 사이의 오버레이인, 기판 속성 측정 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 타겟은 격자를 포함하는, 기판 속성 측정 방법.
  8. 제 7 항에 있어서,
    상기 타겟은 상이한 바이어스, 배향 및/또는 피치를 가지는 복수 개의 격자를 포함하는, 기판 속성 측정 방법.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 방법은,
    상기 광학 측정 시스템의 조명 빔의 파장 및/또는 대역폭을 각각의 측정 시에 측정하는 단계를 더 포함하고,
    각각의 수학식은 파장 및/또는 대역폭에 의존하는 적어도 하나의 항을 포함하는, 기판 속성 측정 방법.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 수학식은: 타겟 (격자) 비대칭; 바닥 격자 비대칭; 격자 비대칭의 파장 감도; 바닥 격자 비대칭의 파장 감도; 센서 비대칭, 조명 균질성 중 적어도 하나에 상관되는 항을 포함하는, 기판 속성 측정 방법.
  11. 제 1 항 또는 제 2 항에 있어서,
    Q 개의 수학식을 풀이하는 것은, 상기 N 개의 타겟 각각의 위치에서 속성 값을 획득하는 것을 포함하는, 기판 속성 측정 방법.
  12. 디바이스 제조 방법으로서,
    기판 상에 제 1 디바이스 층을 형성하는 단계 - 상기 제 1 디바이스 층은 복수 개의 타겟을 포함하는 것임 -;
    적어도 하나의 변경가능한 설정을 갖는 광학 측정 시스템을 사용하여 상기 복수 개의 타겟 중 N 개의 타겟에 대해 Wt 회 측정을 수행하는 단계 - N은 2 보다 큰 정수이고 상기 N 개의 타겟 각각이 Wt 회 측정되며, N*Wt 개의 측정 값을 획득하도록 Wt 는 2 보다 큰 정수이고, 상기 N 개의 타겟 각각에 대해 Wt 개의 측정을 획득하는 것은:
    상기 적어도 하나의 변경가능한 설정을 제 1 설정으로 설정하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 1 설정에서 상기 N 개의 타겟 중 제 1 타겟의 제 1 값을 측정하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 1 설정에서 상기 N 개의 타겟 중 제 2 타겟의 제 2 값을 측정하는 것;
    상기 적어도 하나의 변경가능한 설정을 제 2 설정으로 변경하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 2 설정에서 상기 N 개의 타겟 중 상기 제 1 타겟의 제 3 값을 측정하는 것;
    상기 적어도 하나의 변경가능한 설정의 상기 제 2 설정에서 상기 N 개의 타겟 중 상기 제 2 타겟의 제 4 값을 측정하는 것을 포함함 -; 및
    복수의 Q 개의 수학식 및 획득된 총 N*Wt 개의 측정 값을 사용하여 상기 N 개의 타겟의 R 개의 속성 값을 결정하는 단계 - R < Q ≤ N*Wt 임 -; 및
    또 다른 프로세스 단계에 대하여 결정을 내리는 단계를 포함하되, 상기 결정을 내리는 단계는 상기 R 개의 속성 값에 기초하는, 디바이스 제조 방법.
  13. 광학 측정 시스템이 제 1 항 또는 제 2 항에 따른 방법을 수행하게 하는 명령을 포함하는 컴퓨터 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  14. 리소그래피 프로세스에 의하여 하나 이상의 기판 상에 형성된 복수 개의 구조체의 속성을 측정하는 검사 장치로서,
    조명 광학 시스템, 수집 광학 시스템 및 처리 시스템을 포함하고,
    상기 처리 시스템은,
    각각의 구조체의 상기 속성의 측정치를, 적어도 부분적으로 상기 조명 광학 시스템에 의해 구축된 조명 조건의 하나 이상의 세트 하에서 상기 구조체에 의해 산란된 후에 상기 수집 광학 시스템에 의해 수집된 방사선으로부터, 유도하도록 구성되며,
    상기 처리 시스템은, 제 1 항 또는 제 2 항의 방법으로 복수 개의 구조체의 속성의 측정치를 유도하도록 상기 검사 장치를 제어하도록 구성되는, 검사 장치.
  15. 리소그래피 시스템으로서,
    리소그래피 장치를 포함하고, 상기 리소그래피 장치는,
    패턴을 조명하도록 구성되는 조명 광학 시스템;
    상기 패턴의 이미지를 기판 상에 투영하도록 구성되는 투영 광학 시스템; 및
    제 14 항에 청구된 바와 같은 검사 장치를 포함하며,
    상기 리소그래피 장치는 상기 패턴을 추가적인 기판에 적용할 때, 상기 검사 장치로부터의 측정 결과를 사용하도록 구성되는, 리소그래피 시스템.
KR1020197020347A 2016-12-16 2017-11-29 기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법 KR102284564B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16204764.1 2016-12-16
EP16204764.1A EP3336607A1 (en) 2016-12-16 2016-12-16 Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
PCT/EP2017/080770 WO2018108527A1 (en) 2016-12-16 2017-11-29 Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method

Publications (2)

Publication Number Publication Date
KR20190094421A KR20190094421A (ko) 2019-08-13
KR102284564B1 true KR102284564B1 (ko) 2021-08-02

Family

ID=57714393

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197020347A KR102284564B1 (ko) 2016-12-16 2017-11-29 기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법

Country Status (8)

Country Link
US (1) US10474043B2 (ko)
EP (1) EP3336607A1 (ko)
JP (2) JP7203725B2 (ko)
KR (1) KR102284564B1 (ko)
CN (1) CN110088685B (ko)
IL (1) IL267311B2 (ko)
TW (1) TWI653514B (ko)
WO (1) WO2018108527A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
EP3702840A1 (en) * 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
EP3770682A1 (en) * 2019-07-25 2021-01-27 ASML Netherlands B.V. Method and system for determining information about a target structure
KR102545517B1 (ko) 2022-10-17 2023-06-20 (주)오로스 테크놀로지 모아레 패턴을 형성하는 오버레이 마크, 이를 이용한 오버레이 측정 방법, 오버레이 측정 장치, 및 반도체 소자의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007158328A (ja) 2005-11-30 2007-06-21 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
US20130128247A1 (en) 2011-11-21 2013-05-23 Asml Netherlands B.V. Level Sensor, a Method for Determining a Height Map of a Substrate, and a Lithographic Apparatus
US20160223322A1 (en) * 2015-02-04 2016-08-04 Asml Netherlands B.V. Metrology Method and Apparatus, Computer Program and Lithographic System

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
US9535338B2 (en) 2012-05-29 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
NL2013210A (en) 2013-08-07 2015-02-10 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
WO2016096524A1 (en) 2014-12-19 2016-06-23 Asml Netherlands B.V. Method of measuring asymmetry, inspection apparatus, lithographic system and device manufacturing method
CN107771271B (zh) * 2015-04-21 2020-11-06 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007158328A (ja) 2005-11-30 2007-06-21 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
US20130128247A1 (en) 2011-11-21 2013-05-23 Asml Netherlands B.V. Level Sensor, a Method for Determining a Height Map of a Substrate, and a Lithographic Apparatus
US20160223322A1 (en) * 2015-02-04 2016-08-04 Asml Netherlands B.V. Metrology Method and Apparatus, Computer Program and Lithographic System

Also Published As

Publication number Publication date
IL267311A (en) 2019-08-29
IL267311B1 (en) 2023-04-01
US20180173105A1 (en) 2018-06-21
IL267311B2 (en) 2023-08-01
JP2022058401A (ja) 2022-04-12
EP3336607A1 (en) 2018-06-20
US10474043B2 (en) 2019-11-12
CN110088685B (zh) 2022-03-08
CN110088685A (zh) 2019-08-02
TW201837611A (zh) 2018-10-16
JP2020515028A (ja) 2020-05-21
TWI653514B (zh) 2019-03-11
KR20190094421A (ko) 2019-08-13
JP7203725B2 (ja) 2023-01-13
WO2018108527A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN107924140B (zh) 测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置
CN107750350B (zh) 量测方法、检查设备、光刻系统和器件制造方法
US10481506B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US10775704B2 (en) Method of measuring a structure, inspection apparatus, lithographic system, device manufacturing method and wavelength-selective filter for use therein
KR102221714B1 (ko) 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 메트롤로지 장치, 리소그래피 시스템, 및 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 방법
KR102374948B1 (ko) 프로세스의 성능 파라미터를 결정하는 방법
US11048174B2 (en) Method of controlling a patterning process, lithographic apparatus, metrology apparatus lithographic cell and associated computer program
KR102188711B1 (ko) 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
KR102284563B1 (ko) 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN111433678B (zh) 测量方法、图案化设备以及设备制造方法
WO2016198283A1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
KR102284564B1 (ko) 기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
WO2018215177A1 (en) Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
KR20190046962A (ko) 공정 장치를 모니터링하는 장치 및 방법
US10678145B2 (en) Radiation receiving system
NL2020323A (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant