NL2020323A - Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method - Google Patents

Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method Download PDF

Info

Publication number
NL2020323A
NL2020323A NL2020323A NL2020323A NL2020323A NL 2020323 A NL2020323 A NL 2020323A NL 2020323 A NL2020323 A NL 2020323A NL 2020323 A NL2020323 A NL 2020323A NL 2020323 A NL2020323 A NL 2020323A
Authority
NL
Netherlands
Prior art keywords
substrate
target
focus
inspection
measurement value
Prior art date
Application number
NL2020323A
Other languages
Dutch (nl)
Other versions
NL2020323B1 (en
Inventor
Staals Frank
Slachter Abraham
Vreugdenhil Ewoud
Joseph Marie Woltgens Pieter
Adrianus Johannes Verhoeven Martinus
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of NL2020323A publication Critical patent/NL2020323A/en
Application granted granted Critical
Publication of NL2020323B1 publication Critical patent/NL2020323B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A method for determining a focus parameter associated with a patterning process applied to a substrate, the method comprising: positioning a substrate comprising a first and a second region, each region associated with a different characteristic causing a focus parameter shift between said first and second region; providing a first feature to the first region and a second feature to the second region on the substrate using the patterning process; acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of the second feature; and determining the focus parameter based on the first measurement value and the second measurement value. The determined focus parameter may be used for control of a lithographic process, for example by changing a focal plane parameter associated with a lithographic apparatus.

Description

INSPECTION APPARATUS, INSPECTION METHOD, LITHOGRAPHIC APPARATUS, PATTERNING DEVICE AND MANUFACTURING METHODINSPECTION APPARATUS, INSPECTION METHOD, LITHOGRAPHIC APPARATUS, PATTERNING DEVICE AND MANUFACTURING METHOD

BACKGROUNDBACKGROUND

Field of the Invention 0001 The present invention relates to inspection apparatus and methods usable, for example, to perform metrology in the manufacture of devices by lithographic techniques. The invention further relates to such methods for monitoring a focus parameter in a lithographic process.Field of the Invention 0001 The present invention relates to inspection apparatus and methods usable, for example, to perform metrology in the manufacture of devices by lithographic techniques. The invention further relates to such methods for monitoring a focus parameter in a lithographic process.

Background Art 0002 A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. 0003 In lithographic processes, it is desirable frequently to make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements are known, including scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools to measure overlay, the accuracy of alignment of two layers in a device. Recently, various forms of scatterometers have been developed for use in the lithographic field. These devices direct a beam of radiation onto a target and measure one or more properties of the scattered radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a diffraction “spectrum” from which a property of interest of the target can be determined. 0004 Examples of known scatterometers include angle-resolved scatterometers of the type described in US2006033921A1 and US2010201963A1. The targets used by such scatterometers are relatively large, e.g., 40pm by 40pm, gratings and the measurement beam generates a spot that is smaller than the grating (i.e., the grating is underfilled). Examples of dark field imaging metrology can be found in international patent applications US20100328655A1 and US2011069292A1 which documents are hereby incorporated by reference in their entirety. Further developments of the technique have been described in published patent publications US20110027704A, US20110043791 A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A and WO2013178422A1. These targets can be smaller than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of all these applications are also incorporated herein by reference. 0005 One important parameter of a lithographic process which requires monitoring is focus. There is a desire to integrate an ever-increasing number of electronic components in an IC. To realize this, it is necessary to decrease the size of the components and therefore to increase the resolution of the projection system, so that increasingly smaller details, or line widths, can be projected on a target portion of the substrate. As the critical dimension (CD) in lithography shrinks, consistency of focus, both across a substrate and between substrates, becomes increasingly important. CD is the dimension of a feature or features (such as the gate width of a transistor) for which variations will cause undesirable variation in physical properties of the feature. Traditionally, optimal settings were determined by “send-ahead wafers” i.e. substrates that are exposed, developed and measured in advance of a production run. In the send-ahead wafers, test structures were exposed in a so-called focus-energy matrix (FEM) and the best focus and energy settings were determined from examination of those test structures. 0006 Current test structure designs and focus measuring methods have a number of drawbacks. Many test structures require sub-resolution features or grating structures with large pitches. Such structures may contravene design rules of the users of lithographic apparatuses. Focus measuring techniques may comprise measuring asymmetry in opposite higher (e.g., first) order radiation scattered by special, focus dependent, target structures and determining focus from this asymmetry. For EUV lithography, resist thickness, and therefore the thickness of target structures, is smaller (for example, half as thick). Therefore focus sensitivity and signal strength may be insufficient to use such asymmetry methods in EUV lithography. In addition, asymmetry based techniques may require careful selection of target geometries to ensure a desired relationship (e.g., linear) between asynunetry and focus. This selection process can be complex and require significant effort to find a suitable target geometry. It may even be the case that no suitable target geometry exists.Background Art 0002 A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. 0003 In lithographic processes, it is desirable to make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements are known, including scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools for measuring overlay, the accuracy of alignment or two layers in a device. Recently, various forms of scatterometers have been developed for use in the lithographic field. These devices direct a beam of radiation onto a target and measure one or more properties of the scattered radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a diffraction “spectrum” from which a property of interest or the target can be determined. 0004 Examples of known scatterometers include angle-resolved scatterometers or the type described in US2006033921A1 and US2010201963A1. The targets used by such scatterometers are relatively large, e.g., 40pm by 40pm, gratings and the measurement beam generates a spot that is narrower than the grating (i.e., the grating is underfilled). Examples of dark field imaging metrology can be found in international patent applications US20100328655A1 and US2011069292A1 which documents are incorporated by reference in their entirety. Further developments of the technique have been described in published patent publications US20110027704A, US20110043791 A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A and WO2013178422A1. These targets can be narrower than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of all these applications are also included by reference. 0005 One important parameter of a lithographic process which requires monitoring is focus. There is a desire to integrate an ever-increasing number of electronic components in an IC. To realize this, it is necessary to decrease the size of the components and therefore to increase the resolution of the projection system, so that increasingly smaller details, or line widths, can be projected on a target portion of the substrate. As the critical dimension (CD) in lithography shrinks, consistency of focus, both across a substrate and between substrates, becomes increasingly important. CD is the dimension of a feature or features (such as the gate width of a transistor) for which variations will cause undesirable variation in physical properties of the feature. Traditionally, optimal settings were determined by "send-ahead wafers", i.e. substrates that are exposed, developed and measured in advance or a production run. In the send-ahead wafers, test structures were exposed in a so-called focus-energy matrix (FEM) and the best focus and energy settings were determined from examination of those test structures. 0006 Current test structure designs and focus measuring methods have a number of drawbacks. Many test structures require sub-resolution features or grating structures with large pitches. Such structures may be contravening design rules of the users or lithographic apparatuses. Focus measuring techniques may include measuring asymmetry in opposite higher (e.g., first) order radiation scattered by special, focus dependent, target structures and determining focus from this asymmetry. For EUV lithography, resist thickness, and therefore the thickness of target structures, is narrower (for example, half as thick). Therefore, focus sensitivity and signal strength may not be sufficient to use such asymmetry methods in EUV lithography. In addition, asymmetry-based techniques may require careful selection of target geometries to ensure a desired relationship (e.g., linear) between asynunetry and focus. This selection process can be complex and require significant effort to find a suitable target geometry. It may be the case that no suitable target geometry exists.

SUMMARY OF THE INVENTION (X)07 The present invention aims to address one or more of the above identified drawbacks. It is proposed to measure focus using inspection of features formed on the substrate which are compatible with EUV lithography; eg have a suitable size, geometry and sensitivity to focus variations. To enhance the focus sensitivity it is proposed to apply the features to at least two different regions on the substrate; each region providing a different focus shift of the features during their formation on the substrate during a lithography process (patterning step). The applied focus shift between the features enables a comparison between inspection results associated with features applied for at least two focus levels. Typically the response of such a comparison metric demonstrates a stronger focus dependency than an inspection result based on features applied at only one focus level. Typically the comparison metric is based on subtraction of inspection results associated with features applied at a first focus level from inspection results associated with features applied at a second focus level. In such a case often a linear relationship exists between the comparison metric and the focus level of the substrate surface at the location nearby the inspected features. 0008 The invention in a first aspect provides a method for determining a focus parameter associated with a patterning process applied to a substrate, the method comprising: providing a first feature to a first location and a second feature to a second location on the substrate using the patterning process, wherein a characteristic of the substrate at the second location differs from the characteristic at the first location causing a focus parameter shift between said locations; acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of the second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 0009 The invention in a second aspect provides a method for determining a focus parameter associated with a patterning process applied to a substrate, the method comprising: positioning a substrate comprising a first and a second region, each region associated with a different characteristic causing a focus parameter shift between said first and second region; providing a first feature to the first region and a second feature to the second region on the substrate using the patterning process; acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of the second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 0010 The invention yet further provides a method of manufacturing devices wherein a device pattern is applied to a series of substrates using a lithographic process, the method including: using the method of the first aspect to monitor said focus parameter, and controlling the lithographic process for later substrates in accordance with the determined focus parameter. 0011 The invention yet further provides a computer program product comprising machine-readable instructions for causing a processor to perform the method of the first aspect. 0012 Further features and advantages of the invention, as well as the structure and operation of various embodiments of the invention, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.SUMMARY OF THE INVENTION (X) 07 The present invention aims to address one or more of the above identified drawbacks. It is proposed to measure focus using inspection of features formed on the substrate which are compatible with EUV lithography; eg have a suitable size, geometry and sensitivity to focus variations. To enhance the focus sensitivity it is proposed to apply the features at least two different regions on the substrate; each region providing a different focus shift of the features during their formation on the substrate during a lithography process (patterning step). The applied focus shift between the features allow a comparison between inspection results associated with features applied for at least two focus levels. Typically the response of such a comparison metric demonstrates a stronger focus dependency than an inspection result based on features applied at only one focus level. Typically the comparison metric is based on subtraction of inspection results associated with features applied at a first focus level from inspection results associated with features applied at a second focus level. In such a case often a linear relationship exists between the comparison metric and the focus level of the substrate surface at the location nearby the inspected features. 0008 The invention in a first aspect provides a method for determining a focus parameter associated with a patterning process applied to a substrate, the method including: providing a first feature to a first location and a second feature to a second location on the substrate using the patterning process, with a characteristic of the substrate at the second location differs from the characteristic at the first location causing a focus parameter shift between said locations; acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of the second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 0009 The invention in a second aspect provides a method for determining a focus parameter associated with a patterning process applied to a substrate, the method including: positioning a substrate including a first and a second region, each region associated with a different characteristic causing a focus parameter shift between said first and second region; providing a first feature to the first region and a second feature to the second region on the substrate using the patterning process; acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of the second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 0010 The invention yet further provides a method of manufacturing devices with a device pattern is applied to a series of substrates using a lithographic process, the method including: using the method of the first aspect to monitor said focus parameter, and controlling the lithographic process for later substrates in accordance with the determined focus parameter. 0011 The invention yet further provides a computer program product including machine-readable instructions for causing a processor to perform the method of the first aspect. 0012 Further features and advantages of the invention, as well as the structure and operation of various various of the invention, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specifically described described. Such others are presented for illustrative purposes only. Additional others will be apparent to persons skilled in the relevant art (s) based on the teachings contained.

BRIEF DESCRIPTION OF THE DRAWINGS 0013 Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:LETTER DESCRIPTION OF THE DRAWINGS 0013 Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:

Figure 1 depicts a lithographic apparatus;Figure 1 depicts a lithographic apparatus;

Figure 2 depicts a lithographic cell or cluster in which an inspection apparatus according to the present invention may be used;Figure 2 depicts a lithographic cell or cluster in which an inspection apparatus according to the present invention may be used;

Figure 3 illustrates the principles of operation of a spectroscopic scatterometer as a first example of an inspection apparatus;Figure 3 illustrates the principles of operation of a spectroscopic scatterometer as a first example of an inspection apparatus;

Figure 4 illustrates in schematic form an angle-resolved scatterometer as another example of an inspection apparatus;Figure 4 illustrates in schematic form an angle-resolved scatterometer as another example of an inspection apparatus;

Figure 5 illustrates schematically an inspection apparatus adapted to perform angle-resolved scatterometry and dark-field imaging inspection methods;Figure 5 illustrates schematically an inspection apparatus adapted to perform angle-resolved scatterometry and dark-field imaging inspection methods;

Figure 6 illustrates target forming elements on a reticle suitable for forming a grating on a substrate having focus dependent asymmetry;Figure 6 illustrates target forming elements on a reticle suitable for forming a grating on a substrate having focus dependent asymmetry;

Figure 7 shows (a) a plot of a measured value for a target parameter (y-axis) against focus for two targets having a relative best focus offset; and (b) a plot of the difference between measured values for a target parameter from a first target and a second target (y-axis) against focus (x-axis);Figure 7 shows (a) a plot of a measured value for a target parameter (y-axis) against focus for two targets having a relative best focus offset; and (b) a plot of the difference between measured values for a target parameter from a first target and a second target (y-axis) against focus (x-axis);

Figure 8 illustrates a substrate having two regions according to an embodiment, each region associated with a different local height of the substrate surface.Figure 8 illustrates a substrate having two regions according to an embodiment, each region associated with a different local height of the substrate surface.

Figure 9 illustrates a substrate having two regions according to an embodiment, each region associated with different optical properties of the stack on the substr ate.Figure 9 illustrates a substrate having two regions according to an embodiment, each region associated with different optical properties or the stack on the substrate.

Figure 10 illustrates a substrate having two regions according to an embodiment, each region associated with a different stack thickness on the substrate.Figure 10 illustrates a substrate having two regions according to an embodiment, each region associated with a different stack thickness on the substrate.

Figure 11 illustrates a substrate having two regions according to an embodiment, each region associated with different properties of a pattern applied to the stack on the substrate. Figure 12 illustrates a flowchart of a method of monitoring focus according to an embodiment of the invention;Figure 11 illustrates a substrate having two regions according to an embodiment, each region associated with different properties or a pattern applied to the stack on the substrate. Figure 12 illustrates a flow chart or a method or monitoring focus according to an embodiment of the invention;

DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS 0014 Before describing embodiments of the invention in detail, it is instructive to present an example environment in which embodiments of the present invention may be implemented. 0015 Figure 1 schematically depicts a lithographic apparatus LA. The apparatus includes an illumination system (illuminator) IL configured to condition a radiation beam B (e.g., UV radiation or DUV radiation), a patterning device support or support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; two substrate tables (e.g., a wafer table) WTa and WTb each constructed to hold a substrate (e.g., a resist coated wafer) W and each connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., including one or more dies) of the substrate W. A reference frame RF connects the various components, and serves as a reference for setting and measuring positions of the patterning device and substrate and of features on them. 0016 The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. 0()17 The patterning device support holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment, The patterning device support can take many forms, The patterning device support may ensure that the patterning device is at a desired position, for example with respect to the projection system, 0018 The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. 0019 As here depicted, the apparatus is of a transmissive type (e.g., employing a transmissive patterning device). Alternatively, the apparatus may be of a reflective type (e.g., employing a programmable mirror array of a type as referred to above, or employing a reflective mask). Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” can also be interpreted as referring to a device storing in digital form pattern information for use in controlling such a programmable patterning device. 0020 The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”. 0021 The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. 0022 In operation, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD including, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system. 0023 The illuminator IL may for example include an adjuster AD for adjusting the angular intensity distribution of the radiation beam, an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section. 0024 The radiation beam B is incident on the patterning device MA, which is held on the patterning device support MT, and is patterned by the patterning device. Having traversed the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g., an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WTa or WTb can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device (e.g., reticle/mask) MA with respect to the path of the radiation beam B, e.g., after mechanical retrieval from a mask library, or duiing a scan. ()025 Patterning device (e.g., reticle/mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device (e.g., mask) MA, the mask alignment marks may be located between the dies. Small alignment mark may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features. The alignment system, which detects the alignment markers is described further below. 0026 The depicted apparatus could be used in a variety of modes. In a scan mode, the patterning device support (e.g., mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure). The speed and direction of the substrate table WT relative to the patterning device support (e.g., mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. Other types of lithographic apparatus and modes of operation are possible, as is well-known in the art. For example, a step mode is known. In so-called “maskless” lithography, a programmable patterning device is held stationary but with a changing pattern, and the substrate table WT is moved or scanned. 0027 Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. 0028 Lithographic apparatus LA is of a so-called dual stage type which has two substrate tables WTa, WTb and two stations - an exposure station EXP and a measurement station MEA - between w'hich the substrate tables can be exchanged. While one substrate on one substrate table is being exposed at the exposure station, another substrate can be loaded onto the other substrate table at the measurement station and various preparatory steps earned out. This enables a substantial increase in the throughput of the apparatus. The preparatory steps may include mapping the surface height contours of the substrate using a level sensor LS and measuring the position of alignment markers on the substrate using an alignment sensor AS. If the position sensor IF is not capable of measuring the position of the substrate table while it is at the measurement station as well as at the exposure station, a second position sensor may be provided to enable the positions of the substrate table to be tracked at both stations, relative to reference frame RF. Other arrangements are known and usable instead of the dual-stage arrangement shown. For example, other lithographic apparatuses are known in which a substrate table and a measurement table are provided. These are docked together when performing prepar atory measurements, and then undocked while the substrate table undergoes exposure. 0029 As shown in Figure 2, the lithographic apparatus LA forms part of a lithographic cell LC, also sometimes referred to a lithocell or cluster, which also includes apparatus to perform pre- and post-exposure processes on a substrate. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK. A substrate handler, or robot, RO picks up substrates from input/output ports I/Ol, 1/02, moves them between the different process apparatus and delivers then to the loading bay LB of the lithographic apparatus. These devices, which are often collectively referred to as the track, tire under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, which also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatus can be operated to maximize throughput and processing efficiency . 0030 In order that the substrates that are exposed by the lithographic apparatus are exposed correctly and consistently, it is desirable to inspect exposed substrates to measure properties such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc. Accordingly a manufacturing facility in which lithocell LC is located also includes metrology system MET which receives some or all of the substrates W that have been processed in the lithocell. Metrology results are provided directly or indirectly to the supervisory control system SCS. If errors are detected, adjustments may be made to exposures of subsequent substrates, especially if the inspection can be done soon and fast enough that other substrates of the same batch are still to be exposed. Also, already exposed substrates may be stripped and reworked to improve yield, or discarded, thereby avoiding performing further processing on substrates that are known to be faulty. In a case where only some target portions of a substrate are faulty, further exposures can be performed only on those target portions which are good. 0031 Within metrology system MET, an inspection apparatus is used to determine the properties of the substrates, and in particular, how the properties of different substrates or different layers of the same substrate vary from layer to layer. The inspection apparatus may be integrated into the lithographic apparatus LA or the lithocell LC or may be a standalone device. To enable most rapid measurements, it is desirable that the inspection apparatus measure properties in the exposed resist layer immediately after the exposure. However, the latent image in the resist has a very low contrast - there is only a very small difference in refractive index between the parts of the resist w'hich have been exposed to radiation and those which have not - and not all inspection apparatus have sufficient sensitivity to make useful measurements of the latent image. Therefore measurements may be taken after the post-exposure bake step (PEB) which is customarily the first step carried out on exposed substrates and increases the contrast between exposed and unexposed parts of the resist. At this stage, the image in the resist may be referred to as semi-latent. It is also possible to make measurements of the developed resist image - at which point either the exposed or unexposed parts of the resist have been removed - or after a pattern transfer step such as etching. The latter possibility limits the possibilities for rework of faulty substrates but may still provide useful information. (X)32 Figure 3 depicts a known spectroscopic scatterometer which may be used as an inspection apparatus in a metrology system of the type described above. It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate W. The reflected radiation is passed to a spectrometer 4, which measures a spectrum 6 (intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile 8 giving rise to the detected spectrum may be reconstructed by calculation within processing unit PU. The reconstruction can be performed for example by Rigorous Coupled Wave Analysis and non-linear regression, or comparison with a library of pre-measured spectra or pre-computed simulated spectra. In general, for the reconstruction the general form of the structure is known and some parameters are assumed from knowledge of the process by which the structure was made, leaving only a few parameters of the structure to be determined from the scatterometry data. Such a scatterometer may be configured as a normal-incidence scatterometer or an oblique-incidence scatterometer. 0033 Figure 4 shows the basic elements of a known angle-resolved scatterometer that may be used instead of or in addition to a spectroscopic scatterometer. In this type of inspection apparatus, radiation emitted by a radiation source 11 is conditioned by an illumination system 12. For example, illumination system 12 may include a collimating using lens system 12a, a color filter 12b, a polarizer 12c and an aperture device 13. The conditioned radiation follows an illumination path IP, in which it is reflected by partially reflecting surface 15 and focused into a spot S on substrate W via a microscope objective lens 16. A metrology target T may be formed on substrate W. Lens 16, has a high numerical aperture (NA), preferably at least 0.9 and more preferably at least 0.95. Immersion fluid can be used to obtain with numerical apertures over 1 if desired. 0034 As in the lithographic apparatus LA, one or more substrate tables may be provided to hold the substrate W during measurement operations. The substrate tables may be similar or identical in form to the substrate tables WTa, WTb of Figure 1. (In an example where the inspection apparatus is integrated with the lithographic apparatus, they may even be the same substrate tables.) Coarse and fine positioners may be configured to accurately position the substrate in relation to a measurement optical system. Various sensors and actuators are provided for example to acquire the position of a target of interest, and to bring it into position under the objective lens 16. Typically many measurements will be made on targets at different locations across substrate W. The substrate support can be moved in X and Y directions to acquire different targets, and in the Z direction to obtain a desired focusing of the optical system on the target. It is convenient to think and describe operations as if the objective lens and optical system being brought to different locations on the substrate, when in practice the optical system remains substantially stationary and only the substrate moves. Provided the relative position of the substrate and the optical system is correct, it does not matter in principle whether one or both of those is moving in the real world. 0035 When the radiation beam is incident on the beam splitter 16 part of it is transmitted through the beam splitter and follows a reference path RP towards a reference mirror 14. 0036 Radiation reflected by the substrate, including radiation diffracted by any metrology target T, is collected by lens 16 and follows a collection path CP in which it passes through partially reflecting surface 15 into a detector 19. The detector may be located in the back-projected pupil plane P, which is at the focal length F of the lens 16. In practice, the pupil plane itself may be inaccessible, and may instead be re-imaged with auxiliary optics (not shown) onto the detector located in a so-called conjugate pupil plane P’. The detector is preferably a two-dimensional detector so that a two-dimensional angular scatter spectrum or diffraction spectrum of a substrate target 30 can be measured. In the pupil plane or conjugate pupil plane, the radial position of radiation defines the angle of incidence/departure of the radiation in the plane of focused spot S, and the angular position around an optical axis O defines azimuth angle of the radiation. The detector 19 may be, for example, an array of CCD or CMOS sensors, and may use an integration time of, for example, 40 milliseconds per frame. 0037 Radiation in reference path RP is projected onto a different part of the same detector 19 or alternatively on to a different detector (not shown). A reference beam is often used for example to measure the intensity of the incident radiation, to allow normalization of the intensity values measured in the scatter spectrum. 0038 The various components of illumination system 12 can be adjustable to implement different metrology ‘recipes’ within the same apparatus. Color filter 12b may be implemented for example by a set of interference filters to select different wavelengths of interest in the range of, say, 405 - 790 nrn or even lower, such as 200 - 300 nm. An interference filter may be tunable rather than comprising a set of different filters. A grating could be used instead of interference filters. Polarizer 12c may be rotatable or swappable so as to implement different polarization states in the radiation spot S. Aperture device 13 can be adjusted to implement different illumination profiles. Aperture device 13 is located in a plane P” conjugate with pupil plane P of objective lens 16 and the plane of the detector 19. In this way, an illumination profile defined by the aperture device defines the angular distribution of light incident on substrate radiation passing through different locations on aperture device 13. 0039 The detector 19 may measure the intensity of scattered light at a single wavelength (or narrow wavelength range), the intensity separately at multiple wavelengths or integrated over a wavelength range. Furthermore, the detector may separately measure the intensity of transverse magnetic- and transverse electric-polarized light and/or the phase difference between the transverse magnetic- and transverse electric-polarized light. 0040 Where a metrology target T is provided on substrate W, this may be a 1-D grating, which is printed such that after development, the bars are formed of solid resist lines. The target may be a 2-D grating, which is printed such that after development, the grating is formed of solid resist pillars or vias in the resist. The bars, pillars or vias may alternatively be etched into the substrate. This pattern is sensitive to chromatic aberrations in the lithographic projection apparatus, particularly the projection system PS. Illumination symmetry and the presence of such aberrations will manifest themselves in a variation in the printed grating. Accordingly, the scatterometry data of the printed gratings is used to reconstruct the gratings. The parameters of the 1-D grating, such as line widths and shapes, or parameters of the 2-D grating, such as pillar or via widths or lengths or shapes, may be input to the reconstruction process, performed by processing unit PU, from knowledge of the printing step and/or other scatterometry processes. 0041 In addition to measurement of parameters by reconstruction, angle resolved scatterometry is useful in the measurement of asymmetry of features in product and/or resist patterns. A particular application of asymmetry measurement is for the measurement of a focus parameter (for example, the focus setting during exposure of the target) from targets which print with a focus dependent asymmetry. The concepts of asymmetry measurement using the instrument of Figure 3 or 4 are described for example in published patent application US2006066855A1 cited above. Simply stated, while the positions of the diffraction orders in the diffraction spectrum of the target are determined only by the periodicity of the target, asymmetry of intensity levels in the diffraction spectrum is indicative of asymmetry in the individual features which make up the target. In the instrument of Figure 4, where detector 19 may be an image sensor, such asymmetry in the diffraction orders appears directly as asymmetry in the pupil image recorded by detector 19. This asymmetry can be measured by digital image processing in unit PU, and from this, focus can be determined. 0042 Figure 5(a) shows in more detail an inspection apparatus implementing angle-resolved scatterometry by the same principles as the apparatus of Figure 4, with additional adaptations for performing so-called dark field imaging. The apparatus may be a standalone device or incorporated in either the lithographic apparatus LA, e.g., at the measurement station, or the lithographic cell LC. An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O. A target grating T and diffracted rays are illustrated in more detail in Figure 5(b). 0043 The same reference numbers are used for components described already in the Figure 4 apparatus. The illumination path is labeled IP as before. The reference path RP is omitted, for clarity. Compared with that apparatus, a second beam splitter 17 divides the collection path into two branches. In a first measurement branch, detector 19 records a scatter spectrum or diffraction spectrum of the target exactly as described above. This detector 19 may be referred to as the pupil image detector. 0044 In the second measurement branch, imaging optical system 22 forms an image of the target on the substrate W on sensor 23 (e.g. a CCD or CMOS sensor). An aperture stop 21 is provided in a plane that is in the collection path in a plane conjugate to the pupil-plane (it may also be called a pupil stop). Aperture stop 21 can take different forms, just as the illumination aperture can take different forms. Typically, aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the first order beam(s). This is the so-called dark field image, equivalent to dark field microscopy. The images captured by sensors 19 and 23 are output to image processor and controller PU, the function of which will depend on the particular type of measurements being performed. 0045 In the illumination path in this example, additional optics are shown such that a field stop 13’ can be placed in a plane conjugate with the plane of the target and the image sensor 23. This plane may be referred to as a field plane, or conjugate image plane, and has the property that each spatial position across the field plane corresponds to a position across the target. This field stop may be used for example to shape the illumination spot for a particular purpose, or simply to avoid illuminating features that are within the field of view of the apparatus but not part of the target of interest. The following drawings and discussion refer, by way of example, to techniques for implementation of the function of aperture device 13, but the present disclosure also encompasses use of the same techniques to implement the function of field stop 13’. 0046 As shown in more detail in Figure 5(b), target grating T is placed with substrate W normal to the optical axis O of objective lens 16. In the case of an off-axis illumination profile, A ray of illumination I impinging on grating T from an angle off the axis O gives rise to a zeroth order ray (solid line 0) and two first order rays (dot-chain line +1 and double dot-chain line -1). It should be remembered that with an overfilled small target grating, these rays are just one of many parallel rays covering the area of the substrate including metrology target grating T and other features. Since the aperture in plate 13 has a finite width (necessary to admit a useful quantity of light, the incident rays I will in fact occupy a range of angles, and the diffracted rays 0 and +1/-1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray as shown. 0047 Different modes of illumination are possible by using different apertures. Apertures 13N (‘north’) and 13S (‘south’) each provide off-axis illumination from a specific narrow range of angles only. Returning to Figure 5(a), this is illustrated by designating diametrically opposite portions of the annular aperture as north (N) and south (S). The +1 diffracted rays from the north portion of the cone of illumination, which are labeled +1(13N), enter the objective lens 16, and so do the -1 diffracted rays from the south portion of the cone (labeled -1(13S)). As described in the prior applications mentioned in the introduction, using the dark-field imaging senor 23 while switching between apertures 13N, 13S of this type is one way of obtaining asymmetry measurements from multiple small targets. Aperture stop 21a can be used to block the zeroth order radiation when using off-axis illumination. 0048 While off-axis illumination is shown, on-axis illumination of the targets may instead be used and an aperture stop with an off-axis aperture is used to pass substantially only one first order of diffracted light to the sensor. In one example, prisms 21b are used in place of aperture stop 21 which have the effect of diverting the +1 and -1 orders to different locations on sensor 23 so that they can be detected and compared without making two images. This technique, is disclosed in the above-mentioned published patent application US2011102753A1, the contents of which are hereby incorporated by reference. 2nd, 3rd and higher order beams (not shown in Figure 5) can be used in measurements, instead of or in addition to the first order beams. 0049 When monitoring a lithographic process, it is desirable to monitor focus of the lithography beam on the substrate. One known method of determining the focus setting from a printed structure is by measuring the critical dimension (CD) of the printed structure. CD is a measure of the smallest feature (e.g., line width of an element). The printed structure may be a target, such as a line-space grating, formed specifically for focus monitoring. It is known that CD usually displays 2nd order response to focus, forming w'hat is known as a “Bossung curve” on a plot of CD (y-axis) against focus (x-axis). A Bossung curve is a substantially symmetrical curve which is substantially symmetrical around a peak representing the best focus. The Bossung curve may be substantially parabolic in shape. There are several drawbacks to this approach. One drawback is that the method shows low sensitivity near best focus (due to the parabolic shape of the curve). Another drawback is that the method is insensitive to the sign of any defocus (as the curve is largely symmetrical around best focus). Also this method is sensitive to inter alia dose and process variation (crosstalk). 0050 To address these issues, diffraction based focus (DBF) was devised. Diffraction based focus may use target forming features on the reticle which print targets having a degree of asymmetry which is dependent on the focus setting during printing. This degree of asymmetry can then be measured using a scatterometery based inspection method, for example by measuring the intensity asymmetry between the intensities of+1st and - 1st order radiation diffracted from the target, to obtain a measure of the focus setting. 0051 Figure 6 illustrates DBF target forming design 615 configured for diffraction based focus measurements. It comprises plural DBF structures 620, each of which comprises high resolution substructures 625. The high resolution substructures 625 on top of a base pitch creates an asymmetric resist profile for each DBF structure 620, with the degree of asymmetry being dependent upon focus. Consequently a metrology tool can measure the degree of asymmetry from a target formed using DBF target forming design 615 and translate this into the scanner focus. 0052 While the DBF target forming design 615 enables diffraction based focus measurements, it is not suitable for use in all situations. EUV resist film thicknesses are significantly lower than those used in immersion lithography, which makes it difficult to extract accurate asymmetry information from the asymmetric profile of the structures forming part of a target. In addition such structures may not comply with the strict design constraints applicable to certain product structures. During the chip making process all features on the reticle must print and stand up to subsequent processing steps.DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS 0014 Before describing the invention in detail, it is instructive to present an example of the environment in which can be implemented. 0015 Figure 1 schematically depicts a lithographic apparatus LA. The apparatus includes an illumination system (illuminator) IL configured to condition a radiation beam B (eg, UV radiation or DUV radiation), a patterning device support or support structure (eg, a mask table) MT constructed to support a patterning device (eg , a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; two substrate tables (e.g., a wafer table) WTa and WTb each constructed to hold a substrate (e.g., a resist coated wafer) W and each connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (eg, a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (eg, including one or more dies) of the substrate W. A reference frame RF connects the various components, and serves as a reference for setting and measuring positions of the patterning device and substrate and of features on them. 0016 The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination of, for directing, shaping, or controlling radiation. 0 () 17 The patterning device support holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment, The patterning device support can take many forms, The patterning device support may ensure that the patterning device is at a desired position, for example with respect to the projection system, 0018 The term "patterning device" used should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. 0019 As here depicted, the apparatus is of a transmissive type (e.g., employing a transmissive patterning device). Alternatively, the apparatus may be of a reflective type (e.g., employing a programmable mirror array or a type referred to above, or employing a reflective mask). Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Any use of the terms "reticle" or "mask" Can be considered synonymous with the more general term "patterning device." The term "patterning device" can also be interpreted as referring to a device failure in digital form pattern information for use in controlling such a programmable patterning device. 0020 The term "projection system" used should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the radiation being used or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term "projection lens" may be considered as synonymous with the more general term "projection system". 0021 The lithographic apparatus may also be a type of at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. Liquid immersion may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. 0022 In operation, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to be part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD including, for example, suitable directing mirrors and / or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system. 0023 The illuminator IL may for example include an adjuster AD for adjusting the angular intensity distribution of the radiation beam, an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section. 0024 The radiation beam B is incident on the patterning device MA, which is hero on the patterning device support MT, and is patterned by the patterning device. Having traversed the patterning device (eg, mask) MA, the radiation beam B passes through the projection system PS, which is the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF ( eg, an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WTa or WTb can be moved accurately, eg, so as to position different target portions C in the path of the radiation beam B. the first positioner PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device (eg, reticle / mask) MA with respect to the path of the radiation beam B, eg, after mechanical retrieval from a mask library, or a scan. () 025 Patterning device (e.g., reticle / mask) MA and substrate May be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one provided on the patterning device (e.g., mask) MA, the mask alignment marks may be located between the dies. Small alignment mark may also be included within dies, in among the device features, in which case it is desirable that the markers are as small as possible and do not require any different imaging or process conditions than adjacent features. The alignment system, which detects the alignment markers is described further below. 0026 The depicted apparatus could be used in a variety of modes. In a scan mode, the patterning device support (e.g., mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure). The speed and direction of the substrate table WT relative to the patterning device support (e.g., mask table) MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) or the target portion in a single dynamic exposure, whereas the length of the scanning motion has the height (in the scanning direction) of the target portion. Other types of lithographic apparatus and modes or operation are possible, as is well-known in the art. For example, a step mode is known. In so-called "maskless" lithography, a programmable patterning device is a stationary but with a changing pattern, and the substrate table WT is moved or scanned. 0027 Combinations and / or variations on the modes described above or use or entirely different modes or use may also be employed. 0028 Lithographic apparatus LA is a so-called dual stage type which has two substrate tables WTa, WTb and two stations - an exposure station EXP and a measurement station MEA - between w'hich the substrate tables can be exchanged. While one substrate on one substrate table is being exposed at the exposure station, another substrate can be loaded onto the other substrate table at the measurement station and various preparatory steps earned out. This allows a substantial increase in the throughput of the apparatus. The preparatory steps may include mapping the surface height contour of the substrate using a level sensor LS and measuring the position of alignment markers on the substrate using an alignment sensor AS. If the position sensor IF is not capable of measuring the position of the substrate table while it is at the measurement station as well as at the exposure station, a second position sensor may be provided to enable the positions of the substrate table to be tracked at both stations, relative to reference frame RF. Other arrangements are known and usable instead of the dual-stage arrangement shown. For example, other lithographic apparatuses are known in which a substrate table and a measurement table are provided. These are docked together when performing preparation measurements, and then undocked while the substrate table undergoes exposure. 0029 As shown in Figure 2, the lithographic apparatus LA forms part of a lithographic cell LC, also sometimes referred to a lithocell or cluster, which also includes apparatus for perform pre-and post-exposure processes on a substrate. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK. A substrate handler, or robot, RO picks up substrates from input / output ports I / Ol, 1/02, moves them between the different process apparatus and delivers then to the loading bay LB of the lithographic apparatus. These devices, which are often collectively referred to as the track, tire under the control or a track control unit TCU which is itself controlled by the supervisory control system SCS, which also controls the lithographic apparatus via the LACU lithography control unit. Thus, the different apparatus can be operated to maximize throughput and processing efficiency. 0030 In order that the substrates that are exposed by the lithographic apparatus are exposed correctly and consistently, it is desirable to inspect exposed substrates to measure properties such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc. manufacturing facility in which lithocell LC is located also includes metrology system WITH which receives some or all of the substrates W that have been processed in the lithocell. Metrology results are provided directly or indirectly to the supervisory control system SCS. If errors are detected, adjustments may be made to exposures or subsequent substrates, especially if the inspection can be done soon and fast enough that other substrates or the same batch are still exposed. Also, already exposed substrates may be stripped and reworked to improve yield, or discarded, avoiding performing further processing on substrates that are known to be faulty. In a case where only some target portions or a substrate are faulty, further exposures can be performed only on those target portions which are good. 0031 Within metrology system MET, an inspection apparatus is used to determine the properties of the substrates, and in particular, how the properties of different substrates or different layers or the same substrate vary from layer to layer. The inspection apparatus may be integrated into the lithographic apparatus LA or the lithocell LC or may be a standalone device. To enable most rapid measurements, it is desirable that the inspection apparatus measure properties in the exposed resist layer immediately after the exposure. However, the latent image in the resist has a very low contrast - there is only a very small difference in refractive index between the parts of the resist that have been exposed to radiation and those that have not - and not have all inspection apparatus sufficient sensitivity to make useful measurements of the latent image. Therefore measurements may be tasks after the post-exposure bake step (PEB) which is customarily the first step carried out on exposed substrates and increases the contrast between exposed and unexposed parts of the resist. At this stage, the image in the resist may be referred to as semi-latent. It is also possible to make measurements of the developed resist image - at which point either the exposed or unexposed parts of the resist have been removed - or after a pattern transfer step such as etching. The latter possibility limits the possibilities for rework or faulty substrates but may still provide useful information. (X) 32 Figure 3 depicts a known spectroscopic scatterometer which may be used as an inspection apparatus in a metrology system or the type described above. It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate W. The reflected radiation is passed to a spectrometer 4, which measures a spectrum 6 (intensity as a function of wavelength) or the specular reflected radiation. From this data, the structure or profile 8 giving rise to the detected spectrum may be reconstructed by calculation within processing unit PU. The reconstruction can be performed for example by Rigorous Coupled Wave Analysis and non-linear regression, or comparison with a library or pre-measured spectra or pre-computed simulated spectra. In general, for the reconstruction the general form of the structure is known and some parameters are assumed from knowledge of the process by which the structure was made, leaving only a few parameters or the structure to be determined from the scatterometry data. Such a scatterometer may be configured as a normal-incidence scatterometer or an oblique-incidence scatterometer. 0033 Figure 4 shows the basic elements of a known angle-resolved scatterometer that may be used instead of or in addition to a spectroscopic scatterometer. In this type of inspection apparatus, radiation emitted by a radiation source 11 is conditioned by an illumination system 12. For example, illumination system 12 may include a collimating using lens system 12a, a color filter 12b, a polarizer 12c and an aperture device 13 The conditioned radiation follows an illumination path IP, in which it is reflected by partially reflecting surface 15 and focused into a spot S on substrate W via a microscope objective lens 16. A metrology target May be formed on substrate W. Lens 16, has a high numerical aperture (NA), preferably at least 0.9 and more preferably at least 0.95. Immersion fluid can be used to obtain with numerical apertures about 1 if desired. 0034 As in the lithographic apparatus LA, one or more substrate tables may be provided to hold the substrate W during measurement operations. The substrate tables may be similar or identical in form to the substrate tables WTa, WTb or Figure 1. (In an example where the inspection apparatus is integrated with the lithographic apparatus, they may equally be the same substrate tables.) Coarse and fine positioners may be configured to accurately position the substrate in relation to a measurement optical system. Various sensors and actuators are provided for example to acquire the position of a target of interest, and to bring it into position under the objective lens 16. Typically many measurements will be made at targets at different locations across substrate W. The substrate support can be moved in X and Y directions to acquire different targets, and in the Z direction to obtain a desired focusing of the optical system on the target. It is convenient to think and describe operations as the objective lens and optical system being brought to different locations on the substrate, when in practice the optical system remains substantially stationary and only the substrate moves. Provided the relative position of the substrate and the optical system is correct, it does not matter in principle whether one or both is moving in the real world. 0035 When the radiation beam is incident on the beam splitter 16 part of it is transmitted through the beam splitter and follows a reference path RP towards a reference mirror 14. 0036 Radiation reflected by the substrate, including radiation diffracted by any metrology target T, is collected by lens 16 and follows a collection path CP in which it passes through partially reflecting surface 15 into a detector 19. The detector may be located in the back-projected pupil plane P, which is at the focal length F of the lens 16. In practice, the pupil plane itself may be inaccessible, and may instead be re-imaged with auxiliary optics (not shown) on the detector located in a so-called conjugate pupil plane P '. The detector is preferably a two-dimensional detector so that a two-dimensional angular scatter spectrum or diffraction spectrum or a substrate target 30 can be measured. In the pupil plane or conjugate pupil plane, the radial position of radiation defines the angle of incidence / departure of the radiation in the plane of focused spot S, and the angular position around an optical axis O defines azimuth angle of the radiation. The detector 19 may be, for example, an array or CCD or CMOS sensors, and may use an integration time or, for example, 40 milliseconds per frame. 0037 Radiation in reference path RP is projected onto a different part of the same detector 19 or alternatively on a different detector (not shown). A reference beam is often used for example to measure the intensity of the incident radiation, to allow normalization of the intensity values measured in the scatter spectrum. 0038 The various components of illumination system 12 can be adjustable to implement different metrology within the same apparatus. Color filter 12b may be implemented for example by a set of interference filters to select different wavelengths of interest in the range of, say, 405 - 790 nrn or even lower, such as 200 - 300 nm. An interference filter may be tunable rather than including a set of different filters. A grating could be used instead of interference filters. Polarizer 12c may be rotatable or swappable so as to implement different polarization states in the radiation spot S. Aperture device 13 can be adjusted to implement different illumination profiles. Aperture device 13 is located in a plane P ”conjugate with pupil plane P or objective lens 16 and the plane of the detector 19. In this way, an illumination profile defined by the aperture device defines the angular distribution of light incident on substrate radiation passing through different locations on aperture device 13. 0039 The detector 19 may measure the intensity of scattered light at a single wavelength range, the intensity separately at multiple wavelengths or integrated over a wavelength range. Furthermore, the detector may separately measure the intensity of transverse magnetic and transverse electric-polarized light and / or the phase difference between the transverse magnetic and transverse electric-polarized light. 0040 Where a metrology target T is provided on substrate W, this may be a 1-D grating, which is printed such that after development, the bars are formed or solid resist lines. The target may be a 2-D grating, which is printed such that after development, the grating is formed of solid resist pillars or vias in the resist. The bars, pillars or vias may alternatively be etched into the substrate. This pattern is sensitive to chromatic aberrations in the lithographic projection apparatus, particularly the projection system PS. Illumination symmetry and the presence of such aberrations will manifest themselves in a variation in the printed grating. Due, the scatterometry data or the printed gratings is used to reconstruct the gratings. The parameters of the 1-D grating, such as line widths and shapes, or parameters of the 2-D grating, such as pillar or via widths or lengths or shapes, may be input to the reconstruction process, performed by processing unit PU, from knowledge of the printing step and / or other scatterometry processes. 0041 In addition to measurement or parameters by reconstruction, angle resolved scatterometry is useful in the measurement of asymmetry or features in product and / or resist patterns. A particular application of asymmetry measurement is for the measurement of a focus parameter (for example, the focus setting during exposure or the target) from targets which print with a focus dependent asymmetry. The concepts of asymmetry measurement using the instrument of Figure 3 or 4 are described for example in published patent application US2006066855A1 cited above. Simply stated, while the positions of the diffraction orders in the diffraction spectrum or the target are determined only by the periodicity of the target, asymmetry or intensity levels in the diffraction spectrum is indicative of asymmetry in the individual features which make up the target. In the instrument of Figure 4, where detector 19 may be an image sensor, such asymmetry in diffraction orders appears directly as asymmetry in the pupil image recorded by detector 19. This asymmetry can be measured by digital image processing in unit PU, and from this, focus can be determined. 0042 Figure 5 (a) shows in more detail an inspection apparatus implementing angle-resolved scatterometry by the same principles as the apparatus of Figure 4, with additional adaptations for performing so-called dark field imaging. The apparatus may be a standalone device or incorporated in either the lithographic apparatus LA, e.g., at the measurement station, or the lithographic cell LC. An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O. A target grating T and diffracted rays are illustrated in more detail in Figure 5 (b). 0043 The same reference numbers are used for components described in the Figure 4 apparatus. The illumination path is labeled IP as before. The reference path RP is omitted, for clarity. Compared with that apparatus, a second beam splitter 17 divides the collection path into two branches. In a first measurement branch, detector 19 records a scatter spectrum or diffraction spectrum or the target exactly as described above. This detector 19 may be referred to as the pupil image detector. 0044 In the second measurement branch, imaging optical system 22 forms an image of the target on the substrate W on sensor 23 (e.g. a CCD or CMOS sensor). An aperture stop 21 is provided in a plane that is in the collection path in a plane conjugate to the pupil plane (it may also be called a pupil stop). Aperture stop 21 can take different forms, just as the illumination aperture can take different forms. Typically, aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the first order beam (s). This is the so-called dark field image, equivalent to dark field microscopy. The images captured by sensors 19 and 23 are output to image processor and controller PU, the function of which will depend on the particular type of measurements being performed. 0045 In the illumination path in this example, additional optics are shown such that a field stop 13 can be placed in a plane conjugate with the plane of the target and the image sensor 23. This plane may be referred to as a field plane, or conjugate image plane, and has the property that each spatial position across the field plane agreed to a position across the target. This field stop may be used for example to shape the illumination spot for a particular purpose, or simply to avoid illuminating features that are within the field or view of the apparatus but not part of the target of interest. The following drawings and discussion refer, by way of example, to techniques for implementation of the function of aperture device 13, but the present disclosure and also use of the same techniques to implement the function of field stop 13 ". 0046 As shown in more detail in Figure 5 (b), target grating T is placed with substrate W normal to the optical axis O or objective lens 16. In the case of an off-axis illumination profile, A ray of illumination I impinging on grating T from an angle off the axis O gives rise to a zeroth order ray (solid line 0) and two first order rays (dot-chain line +1 and double dot-chain line -1). It should be remembered that with an overfilled small target grating, these rays are just one of many parallel rays covering the area of the substrate including metrology target grating T and other features. Since the aperture in plate 13 has a finite width (necessary to admit a useful quantity of light, the incident rays I will in fact occupy a range of angles, and the diffracted rays 0 and + 1 / -1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray as shown 0047 Different modes of illumination are possible by using different apertures Apertures 13N ('north' and 13S ('south') each provide off-axis illumination from a specific narrow range of angles only. Returning to Figure 5 (a), this is illustrated by designating diametrically opposite portions of the annular aperture as north ( N) and south (S) .The +1 diffracted rays from the north portion of the cone of illumination, which are labeled +1 (13N), enter the objective lens 16, and so do the -1 diffracted rays from the south portion of the cone (labeled -1 (13S)) .As described in the prior applications mention ed in the introduction, using the dark-field imaging senor 23 while switching between apertures 13N, 13S or this type is one way of receiving asymmetry measurements from multiple small targets. Aperture stop 21a can be used to block the zeroth order radiation when using off-axis illumination. 0048 While off-axis illumination is shown, on-axis illumination of the targets may instead be used and an aperture stop with an off-axis aperture is used to pass substantially only one first order or diffracted light to the sensor. In one example, prisms 21b are used in place of aperture stop 21 which have the effect of diverting the +1 and -1 orders to different locations on sensor 23 so that they can be detected and compared without making two images. This technique is disclosed in the above-mentioned published patent application US2011102753A1, the contents of which are incorporated by reference. 2nd, 3rd and higher order beams (not shown in Figure 5) can be used in measurements, instead of or in addition to the first order beams. 0049 When monitoring a lithographic process, it is desirable to focus on the lithography beam on the substrate. One known method of determining the focus from a printed structure is by measuring the critical dimension (CD) or the printed structure. CD is a measure of the smallest feature (e.g., line width or an element). The printed structure may be a target, such as a line-space grating, formed specifically for focus monitoring. It is known that CD usually displays 2nd order response to focus, forming what is known as a "Bossung curve" on a plot of CD (y-axis) against focus (x-axis). A Bossung curve is a substantially symmetrical curve which is substantially symmetrical around a peak representing the best focus. The Bossung curve may be substantially parabolic in shape. There are several drawbacks to this approach. One drawback is that the method shows low sensitivity near best focus (due to the parabolic shape of the curve). Another drawback is that the method is insensitive to the sign of any defocus (as the curve is largely symmetrical around best focus). Also this method is sensitive to inter alia dose and process variation (crosstalk). 0050 To address these issues, diffraction based focus (DBF) was devised. Diffraction based focus may use target forming features on the reticle which print targets having a degree of asymmetry which is dependent on the focus setting during printing. This degree of asymmetry can then be measured using a scatterometer-based inspection method, for example by measuring the intensity asymmetry between the intensities of + 1st and - 1st order radiation diffracted from the target, to obtain a measure of the focus setting. 0051 Figure 6 illustrates DBF target forming design 615 configured for diffraction based focus measurements. It comprises plural DBF structures 620, each of which comprises high resolution substructures 625. The high resolution substructures 625 on top of a base pitch creates an asymmetric resist profile for each DBF structure 620, with the degree of asymmetry being dependent upon focus. Expect a metrology tool can measure the degree of asymmetry from a target formed using DBF target forming design 615 and translate this into the scanner focus. 0052 While the DBF target forming design 615 allows diffraction based focus measurements, it is not suitable for use in all situations. EUV resist film thicknesses are significantly lower than those used in immersion lithography, which makes it difficult to extract accurate asymmetry information from the asymmetric profile of the structures forming part of a target. In addition such structures may not comply with the strict design constraints applicable to certain product structures. During the chip making process all features on the reticle must print and stand up to subsequent processing steps.

Semiconductor manufacturers use design rules as a means to restrict the feature designs to ensure the printed features conform to their process requirements. An example of such a design rule relates to the allowable size of structures or pitches. Another example design rule relates to pattern density, which may restrict the density of a resulting resist pattern to be within a particular range. 0053 It is therefore proposed to monitor focus using at least a first target and a second target which have been formed with a best focus offset df between the two targets. As before, the focus response with a measurement value for a target parameter (for example CD or other measurements as will be described below) takes the form of a Bossung curve for each of the first and second targets. Focus is a function of a first measurement value of a target parameter obtained from measurement of the first target and a second measurement value of a target parameter obtained from measurement of the second target. Therefore, it is proposed that measured values of a parameter from the first and second targets be obtained and a value for focus derived from these measured values. A specific example of how focus may be derived is described below, with reference to Figure 7. However, the skilled person will realise that there are many alternative methods that allow focus to be extracted from the measured values obtained from the first and second targets. While the description below specifically discusses using a difference of the two measurement values (whether they be intensity values or otherwise), other mathematical operations and methods may be used to extract a focus value. For example, it is possible to divide one of the measurement values (from one of the first and second targets) into the other measurement value (from the other of the first and second targets). 0054 Figure 7(a) show's a plot of a target parameter Pt against focus f for both the first target and second target. It shows a first Bossung curve 700 corresponding to the first target and a second Bossung curve 710 corresponding to the second target. Also shown is the best focus offset df (the focus offset between the two peaks of Bossung curves 700,710). Where the targets overlap 720 is the focus range through which a difference of the target parameter for the first target and the target parameter for the second target has an essentially linear relationship with focus. This is illustrated in Figure 7(b), which is a plot of this difference Pt2-Pti (where Pn is the target parameter for the first target and Pt2 is the target parameter for the second target) against focus. As can be seen, the relationship 740 is linear. The Pt2-Pti metric may be sensitive to crosstalk, e.g. by dose and/or process. A more robust metric may be Pe-Pti/ Piav, where PtAv. is the average of Po and Pn. The relationship 750 (dotted) of Pt2-Pti/ PtAv with focus is also shown in Figure 7(b). This relationship is still sufficiently linear while being more robust against crosstalk. 0055 In the specific example illustrated, the slope of relationship 740 or relationship 750 can be described by 2*df*a where df is the best focus offset and a is the Bossung curvature. Accordingly, focus can be obtained from the following equation (Equation 1):Semiconductor manufacturers use design rules as a means to restrict the feature designs to ensure the printed features conform to their process requirements. An example of such a design rule relates to the allowable size of structures or pitches. Another example design rule relates to pattern density, which may restrict the density or a resulting resist pattern to be within a particular range. 0053 It is therefore proposed to use monitor focus at least a first target and a second target which have been formed with a best focus offset between the two targets. As before, the focus response with a measurement value for a target parameter (for example CD or other measurements as will be described below) takes the form of a Bossung curve for each of the first and second targets. Focus is a function of a first measurement value or a target parameter obtained from measurement of the first target and a second measurement value or a target parameter obtained from measurement of the second target. Therefore, it is proposed that measured values or a parameter from the first and second targets are obtained and a value for focus derived from these measured values. A specific example of how focus may be derived is described below, with reference to Figure 7. However, the skilled person will realize that there are many alternative methods that allow focus to be extracted from the measured values obtained from the first and second targets. While the description below specifically discusses using a difference of the two measurement values (whether they are intensity values or otherwise), other mathematical operations and methods may be used to extract a focus value. For example, it is possible to divide one of the measurement values (from one of the first and second targets) into the other measurement value (from the other of the first and second targets). 0054 Figure 7 (a) shows a plot of a target parameter Pt against focus f for both the first target and second target. It shows a first Bossung curve 700 corresponding to the first target and a second Bossung curve 710 corresponding to the second target. Also shown is the best focus offset df (the focus offset between the two peaks or Bossung curves 700,710). Where the targets overlap 720 is the focus range through which a difference of the target parameter for the first target and the target parameter for the second target has an essentially linear relationship with focus. This is illustrated in Figure 7 (b), which is a plot of this difference Pt2-Pti (where Pn is the target parameter for the first target and Pt2 is the target parameter for the second target) against focus. As can be seen, the 740 relationship is linear. The Pt2-Pti metric may be sensitive to crosstalk, e.g. by dose and / or process. A more robust metric may be Pe-Pti / Piav, where PtAv. is the average of Po and Pn. The relationship 750 (dotted) or Pt2-Pti / PtAv with focus is also shown in Figure 7 (b). This relationship is still quite linear while being more robust against crosstalk. 0055 In the specific example illustrated, the slope of relationship 740 or relationship 750 can be described by 2 * df * a where df is the best focus offset and a is the Bossung curvature. Cons, focus can be obtained from the following equation (Equation 1):

where Piav in the denominator is optional. 0056 To increase focus sensitivity, it is possible to increase the best focus offset, thereby increasing the slope of relationship 740 or relationship 750. 0057 In the above discussion, it should be appreciated that any target parameter Pt can be used provided it has a Bossung curve response with focus. While CD may be used, a new diffraction based focus methodology, is proposed which has significant advantages over prior methods. This method comprises using intensity signals obtained from diffraction orders of radiation scattered by the first target and second target to determine focus. In particular, it is proposed to use intensity values of a single diffraction order from each of the first and second targets to determine focus. The proposed method may use intensity values from corresponding diffraction orders of the first and second targets. For example, the intensity values could be that of the +lst (or -1st) diffraction orders from the first and second targets. Alternatively, or in combination, the intensity values could be that of the zeroth diffraction orders from the first and second targets. 0058 In a specific example of such a method, it is proposed to use the difference dl in the measured intensity of a diffraction order of radiation scattered by the first target and the measured intensity of the corresponding diffraction order of radiation scattered by the second target. This difference is hereafter referred to as the dl metric. However, the dl metric may be any metric derived from diffraction intensity values from the first and second targets (e.g., by dividing one of the intensity values into the other). 0059 In an embodiment, the dl metric may be the difference in corresponding first diffraction orders (or higher diffraction orders), e.g., the +1st diffraction order of radiation scattered by the first target and the +P‘ diffraction order of radiation scattered by the second target (clearly minus orders could equally be used). In another embodiment, the dl metric may comprise the difference between intensity measurements of zeroth orders from the first and second targets. 0()60 As before, the dl metric may be divided by an average of the intensity measurements lav, to reduce the effect of crosstalk. However, the Bossung curvature for the dl metric is only weakly dependent on dose, such that the dl metric may already exhibit a sufficiently low dose crosstalk. (X)61 Using the dl metric in this way provides good signal strength and signal-to noise response, even when the targets comprise shallow gratings (e.g., for use in EUV lithography). 0062 As mentioned above, the dl metric may comprise the difference of the zeroth orders of radiation scattered by the first and second targets. In this way, targets with smaller pitches can be used. Consequently, target pitch for the first and second targets can be chosen to agree with any customer design rules. Also, smaller target pitches means that the overall target size can be reduced. Multiple pitches are also possible. Using the zeroth order radiation means that the diffracted radiation does not need to be captured, and the dl metric describes differences in light absorbed by targets having a relative best focus offset. Measurement of zeroth orders may also increase signal strength and signal-noise characteristics. 0063 Where first order diffracted radiation is used, and since only a single first order is required per measurement, the pitch required to use first order radiation light is reduced to )72 (where λ is the detection wavelength) in the limit of numerical aperture NA-1. At present this limit is λ. This will mean that the linear target dimension can be reduced by a factor of 2 and the real estate by a factor of 4. 0064 The best focus offset between the first target and second target can be introduced in a number of ways. In one embodiment, the lithographic apparatus used to print the targets may have a deliberate, controlled astigmatism. The astigmatism may be introduced to the projection optics via a number of manipulators included within the projection optics. The projection lenses in many lithographic apparatuses enable a sufficiently large astigmatism offset to create a best focus offset, without unwanted wavefront effects. In an embodiment, the astigmatism may introduce a best focus offset between horizontal and vertical features. To exploit this, the first and second targets may comprise respectively a horizontal grating and a vertical grating (or vice versa). 0065 In an embodiment, the best focus offset can be introduced by the substrate (also referred to as a wafer). Figure 8 illustrates the provision of applying a first feature (eg target) 811 to a first region 801 and applying a second feature (eg target) 812 to a second region 802 on the substrate W. It is proposed to have pairs of targets (e.g., line-space gratings) incorporated on a reticle. Typically the pitch of the gratings is between 400nm and 80()nm (when measured at wafer level), while the size of the line features is typically small enough to be considered an isolated feature (hence the feature size must be smaller than half of the pitch, preferably smaller than a quarter of the pitch). One of the first and second target pairs is exposed during a lithographic process step at a first region of the substrate 801 and the other one of the first and second target pairs is exposed at another region 802 of the substrate; wherein an effective best focus (BF) setting associated with one region is different from an effective best focus setting associated with the other region. In an embodiment more than two regions 801 and 802 are present (for example a rectangular area is divided into four quadrants, each quadrant associated with focus characteristics of either region 801 or region 802). In an embodiment the best focus difference is associated with a height difference of the wafer surface. This is illustrated in figure 8 wherein the region 801 of the substrate surface is located at another height compared to the region 802 of the substrate surface. In an embodiment the height difference is achieved by taking away material from the substrate at the region 801 (eg etching, polishing or alternative methods). In an embodiment the height difference is achieved by selective deposition of material to the region 802. In an embodiment the step of taking away material or selective deposition of material is performed during regular production steps associated with the substrate. In an embodiment the regular production process of semiconductors is adapted to include formation of the regions 801 and 802 such to enable determination of the best focus offset in the vicinity of the regions 801 and 802. The difference in height could be selected according to the required depth of focus (DOF) of the features (targets). In one embodiment the height difference is chosen to be in the range of lOnm to lOOnm. Preferably the targets pairs should be not too remote from each other in order to enable accurate focus measurements. 0066 Figure 9 illustrates another embodiment of the invention. The first region 901 differs with respect to the second region 902 of the surface of the coated substrate W due to the provision of a layer ‘L’ applied to the surface of the substrate W. The layer L has different characteristics (for example a refractive index n) left of the dotted line with respect to the area right of the dotted line. The dotted line then separates the substrate into two regions 901 and 902, each region having different characteristics regarding a focus setting associated with patterned features 911 and 912. During patterning of feature 911 the best focus setting corresponds to a configuration with a layer L having a refractive index ‘n’ equal to nl. During patterning of feature 912 the best focus setting corresponds to a configuration with a layer L having a refractive index V of n2. The mere fact that the optical properties of the stack ‘ST’ underlying the surface to which the features are applied (patterned) differ causes a relative focus offset between the region 901 and the region 902. In an embodiment the refractive index difference is achieved by selective treatment (thermal treatment, implantation of ions, laser treatment) of material associated with the region 901 and/or region 902. In an embodiment the refractive index difference is achieved by selective deposition of a material to create the layer L within the region 901 which is different from a material deposited within the region 902. In an embodiment the step of treatment or selective deposition of material is performed during regular production steps associated with the substrate. In an embodiment the regular production process of semiconductors is adapted to include formation of the regions 901 and 902 such to enable determination of the best focus offset in the vicinity of the regions 901 and 902. The difference in refractive index may be selected according to the required depth of focus (DOF) of the features (targets). 0067 Figure 10 illustrates another embodiment of the invention. The layer L does have a varying thickness; causing the surface of the coated substrate W to demonstrate a height variation between a first region 1001 and a second region 1002. Feature 1011 is applied to region 1001 where the layerLhas a thickness *d’ of dl and feature 1012 is applied to region 1002 for which the layer L has a thickness ‘d’ of d2. The result is that the first feature 1011 is applied at a different focus setting compared to the second feature 1012. In an embodiment the thickness variation of the layer L is created due to selective processing of the layer L during regular process conditions. The selective processing may be one of the following: polishing, laser treatment, selective (plasma) etching, selective deposition of material during formation of the layer L. 0068 Figure 11 illustrates another embodiment of the invention. The layer L underlying the region 1101 of the surface of the coated substrate is provided with a pattern PAT 1 .The layer L underlying the region 1102 of the surface of the coated wafer is provided with a pattern PAT2 being different (for example number of patterns, pitch of patterns, size of patterns) from the first pattern PAT1. A first feature 1111 is applied to region 1101 of the surface of the coated substrate and the second feature 1112 is applied to the region 1102 of the surface of the coated substrate. As the patterning also affects the optical characteristic of the stack ST, also the focus setting associated with the patterning of feature Fill and the focus setting associated with the patterning of feature 1112 will be different, hi an embodiment the patterns PAT1 and PAT2 are formed during regular processing of the substrate. Any of the following methods may be utilized to provide the patterns PAT1 and/or PAT2: lithographic processing, imprint lithography, laser treatment. 0069 Alternatively the density of the patterns PAT1 and PAT2 may be chosen differently in order to cause a height difference between the regions 1101 and 1102 (comparable to the height difference between regions 1101 and 1002 as illustrated by figure 10). The difference in density of the patterns may affect the height position of the surface of a secondary layer (not shown) deposited on the layer comprising the patterns PAT1 and PAT2. A possible deposition method maybe a spin-on deposition of a material (carbon, glass or any other material suitable for the chosen deposition method). The height difference of the secondary layer will result in height difference of surfaces associated with subsequent layers applied to the substrate, including the layer on which a layer of photoresist will be applied. 0070 The first target 1000 and second target 1020 each have a target parameter response with focus which describe Bossung curves having a best focus offset, similar to the response illustrated in Figure 7. This best focus offset is as a result of the focus dependent SWA of only one of the targets. SWA varies linearly with focus, which causes the shift in the Bossung peak. By this method, an asymmetric target (such as that illustrated in Figure 6) can be separated into two separate symmetric targets having similar performance. This enables more efficient target selection and the use of the full pitch for parameter values. 0071 Figure 12 is a flowchart of the steps of a method for monitoring a focus parameter during a lithographic process according to an exemplary embodiment. The steps are as follows, and are then described in greater detail thereafter: 1200- Start. 1210- Print first and second targets with a relative best focus offset; 1220- Perform first measurement from inspection of the first target to obtain first measurement value; 1230- Perform second measurement from inspection of second target to obtain second measurement value; 1240- Calculate focus from difference of first measurement value and second measurement value; 1250- Use calculated focus measurement in focus setting for subsequent exposures. 1260-End. 0072 At step 1210, first and second targets (at least) are printed with a relative best focus offset as already described. The relative best focus offset may be introduced, for example, via a relative depth offset on the wafer between the target forming structures which form the first and second targets.. 0073 At step 1220, a first measurement is performed from inspection of the first target to obtain a first measurement value for a target parameter. In an embodiment, this first measurement may be of the intensity (or related parameter) of one of the diffraction orders of radiation scattered by the first target. This first measurement may be obtained using any of the scatterometer devices described herein, for example. It is also contemplated within the scope of this disclosure that the first measurement be a CD measurement (whether obtained using a scatterometer, scamiing electron microscope or other suitable device) or any other measurement of a parameter which has a Bossung curve relationship with focus. 0074 At step 1230, a second measurement is performed from inspection of the second target to obtain a second measurement value for a target parameter. This second measurement should be performed using the same method as the first measurement. Where the first measurement is of the intensity (or related parameter) of one of the diffraction orders of radiation scattered by the first target, the second measurement should be of the same diffraction order of radiation scattered by the second target. The diffraction order may be either of the first diffraction orders or the zeroth diffraction order. However, higher diffraction orders can also be used and are within the scope of the disclosure. Further measurements may be made if there are more than two targets printed. These additional targets may each comprise best focus offsets that are different to that of said first target and/or said second target. 0075 It should be appreciated that step 1220 and step 1230 may be performed as a single step such that the first measurement value and second measurement value are obtained in a single acquisition. In addition, where there are more than two targets being measured, all the targets may be measured in a single acquisition to obtain a corresponding number of measurement values. In a specific example, a measurement device, such as that illustrated in Figure 5, can be used to measure a composite target comprising multiple individual targets (individual periodic structures or gratings). The gratings of the composite target may be positioned closely together so that they will all be within an image field or measurement spot formed by the illumination beam of the metrology apparatus. In this way, the gratings can be all simultaneously illuminated and simultaneously imaged on the detector. These images can then be processed to identify the separate images of the gratings. This can be done by pattern matching techniques, so that the images do not have to be aligned very precisely at a specific location within the sensor frame. Once the separate images of the gratings have been identified, the intensities of those individual images can be measured, e.g., by averaging or summing selected pixel intensity values within the identified areas. In another embodiment, the first and second targets may be comprised within a composite target, but measured separately in two separate acquisitions. (X)76 At step 1240, the focus is calculated from the first and second measurement values, for example from the difference of the first and second measurement values. This calculation can be performed using Equation 1 or other suitable equation or method. 0077 At step 1250, the calculated focus can then be used in focus parameter monitoring during subsequent lithographic processes, so as to maintain focus accuracy and consistency during exposure. 0078 A method of manufacturing devices using the lithographic process can be improved by providing an inspection apparatus as disclosed herein, using it to measure processed substrates to measure parameters of performance of the lithographic process, and adjusting parameters of the process (particularly focus) to improve or maintain performance of the lithographic process for the processing of subsequent substrates. 0079 It should be understood that the particular parameters used in the above examples are not the only ones that may be defined. Additional and/or alternative parameters can be used in a real design process, according to limitations of the lithographic apparatus and the inspection apparatus to be used for the metrology. While the target structures described above are metrology targets specifically designed and formed for the purposes of measurement, in other embodiments, properties may be measured on targets which are functional parts of devices formed on the substrate. Many devices have regular, grating-like structures. The terms ‘target grating' and ‘target structure’ as used herein do not require that the structure has been provided specifically for the measurement being performed. 0080 In association with the physical grating structures of the targets as realized on substrates and patterning devices, an embodiment may include a computer program containing one or more sequences of machine-readable instructions describing a methods of designing metrology recipes and/or controlling the inspection apparatus to implement the illumination modes and other aspects of those metrology recipes. This computer program may be executed for example in a separate computer system employed for the design/control process. Alternatively, the design process may be wholly or partly performed within unit PU in the apparatus of Figure 3, 4 or 5 and/or the control unit LACU of Figure 2. There may also be provided a data storage medium (e.g., semiconductor memory, magnetic or optical disk) having such a computer program stored therein.where Piav in the denominator is optional. 0056 To increase focus sensitivity, it is possible to increase the best focus offset, increasing the slope of relationship 740 or relationship 750. 0057 In the above discussion, it should be appreciated that any target parameter Pt can be used provided it has a Bossung curve response with focus. While CD may be used, a new diffraction based focus methodology, it is proposed which has significant advantages over prior methods. This method comprises using intensity signals obtained from diffraction orders or radiation scattered by the first target and second target to determine focus. In particular, it is proposed to use intensity values or a single diffraction order from each or the first and second targets to determine focus. The proposed method may use intensity values from corresponding diffraction orders or the first and second targets. For example, the intensity values could be that of the + lst (or -1st) diffraction orders from the first and second targets. Alternatively, or in combination, the intensity values could be that of the zeroth diffraction orders from the first and second targets. 0058 In a specific example or such a method, it is proposed to use the difference dl in the measured intensity or a diffraction order of radiation scattered by the first target and the measured intensity of the corresponding diffraction order or radiation scattered by the second target. This difference is then referred to as the dl metric. However, the dl metric may be any metric derived from diffraction intensity values from the first and second targets (e.g., by dividing one or the intensity values into the other). 0059 In an embodiment, the dl metric may be the difference in corresponding first diffraction orders, eg, the + 1st diffraction order of radiation scattered by the first target and the + P 'diffraction order of radiation scattered by the second target (clearly minus orders could be equally used). In another embodiment, the dl metric may include the difference between intensity measurements or zero orders from the first and second targets. 0 () 60 As before, the dl metric may be divided by an average of the intensity measurements, to reduce the effect of crosstalk. However, the Bossung curve for the dl metric is only weakly dependent on dose, such that the dl metric may already exhibit a sufficient low dose crosstalk. (X) 61 Using the dl metric in this way provides good signal strength and signal-to-noise response, even when the targets include shallow gratings (e.g., for use in EUV lithography). 0062 As mentioned above, the dl metric may include the difference of the zeroth orders or radiation scattered by the first and second targets. In this way, targets with smaller pitches can be used. Target, target pitch for the first and second targets can be chosen to agree with any customer design rules. Also, smaller target pitches means that the overall target size can be reduced. Multiple pitches are also possible. Using the zeroth order radiation means that the diffracted radiation does not need to be captured, and the dl metric describe differences in light absorbed by targets having a relative best focus offset. Measurement of zero orders may also increase signal strength and signal-noise characteristics. 0063 Where first order diffracted radiation is used, and since only a single first order is required per measurement, the pitch required to use first order radiation light is reduced to) 72 (where λ is the detection wavelength) in the limit of numerical aperture NA -1. At present this limit is λ. This will mean that the linear target dimension can be reduced by a factor of 2 and the real estate by a factor of 4. 0064 The best focus offset between the first target and the second target can be introduced in a number of ways. In one edition, the lithographic apparatus used to print the targets may have a deliberate, controlled astigmatism. The astigmatism may be introduced to the optics projection via a number of manipulators included within the projection optics. The projection lenses in many lithographic apparatuses enable a large enough astigmatism offset to create a best focus offset, without unwanted wavefront effects. In an edition, the astigmatism may introduce a best focus offset between horizontal and vertical features. To exploit this, the first and second targets may include a horizontal grating and a vertical grating (or vice versa). 0065 In an embodiment, the best focus offset can be introduced by the substrate (also referred to as a wafer). Figure 8 illustrates the provision of applying a first feature (eg target) 811 to a first region 801 and applying a second feature (eg target) 812 to a second region 802 on the substrate W. It is proposed to have pairs or targets (eg , line-space gratings) incorporated on a reticle. Typically the pitch of the gratings is between 400nm and 80 () nm (when measured at wafer level), while the size of the line features is typically small enough to be considered an isolated feature (hence the feature size must be narrower than half or the pitch, preferably narrower than a quarter of the pitch). One of the first and second target pairs is exposed during a lithographic process step at a first region of the substrate 801 and the other one of the first and second target pairs is exposed at another region 802 of the substrate; where an effective best focus (BF) setting associated with one region is different from an effective best focus setting associated with the other region. In an embodiment more than two regions 801 and 802 are present (for example, a rectangular area is divided into four quadrants, each quadrant associated with focus characteristics or either region 801 or region 802). In an embodiment the best focus difference is associated with a height difference of the wafer surface. This is illustrated in figure 8 according to the region 801 or the substrate surface is located at another height compared to the region 802 or the substrate surface. In an embodiment the height difference is achieved by taking away material from the substrate at the region 801 (eg etching, polishing or alternative methods). In an embodiment the height difference is achieved by selective deposition of material to the region 802. In an embodiment the step of taking away material or selective deposition of material is performed during regular production steps associated with the substrate. In an embodiment the regular production process or semiconductors is adapted to include formation of the regions 801 and 802 such to enable determination of the best focus offset in the vicinity of the regions 801 and 802. The difference in height could be selected according to the required depth of focus (DOF) or the features (targets). In one embodiment the height difference is chosen to be in the range or lOnm to lOOnm. Preferably the targets pairs should not be too remote from each other in order to enable accurate focus measurements. 0066 Figure 9 illustrates another embodiment of the invention. The first region 901 differs with respect to the second region 902 of the surface of the coated substrate W due to the provision of a layer 'L' applied to the surface of the substrate W. The layer L has different characteristics (for example a refractive index n) left of the dotted line with respect to the area right of the dotted line. The dotted line then separates the substrate into two regions 901 and 902, each region having different characteristics regarding a focus setting associated with patterned features 911 and 912. During patterning of feature 911 the best focus setting agreed to a configuration with a layer L having a refractive index 'n' equal to nl. During patterning of feature 912 the best focus setting corresponds to a configuration with a layer L having a refractive index V or n2. The mere fact that the optical properties of the 'ST' stack underlying the surface to which the features are applied (patterned) different causes a relative focus offset between the region 901 and the region 902. In an edition the refractive index difference is achieved by selective treatment (thermal treatment, implantation of ions, laser treatment) or material associated with the region 901 and / or region 902. In an embodiment the refractive index difference is achieved by selective deposition of a material to create the layer L within the region 901 which is different from a material deposited within the region 902. In an embodiment the step of treatment or selective deposition of material is performed during regular production steps associated with the substrate. In an embodiment the regular production process or semiconductors is adapted to include formation of the regions 901 and 902 such to enable determination of the best focus offset in the vicinity of the regions 901 and 902. The difference in refractive index may be selected according to the required depth of focus (DOF) or the features (targets). 0067 Figure 10 illustrates another embodiment of the invention. The layer L does have a varying thickness; causing the surface of the coated substrate W to demonstrate a height variation between a first region 1001 and a second region 1002. Feature 1011 is applied to region 1001 where the layerLhas a thickness * d 'or dl and feature 1012 is applied to region 1002 for which the layer L has a thickness 'd' or d2. The result is that the first feature 1011 is applied at a different focus setting compared to the second feature 1012. In an embodiment the thickness variation of the layer L is created due to selective processing or the layer L during regular process conditions. The selective processing may be one of the following: polishing, laser treatment, selective (plasma) etching, selective deposition of material during formation of the layer L. 0068 Figure 11 illustrates another embodiment of the invention. The layer L underlying the region 1101 or the surface of the coated substrate is provided with a pattern PAT 1. The layer L underlying the region 1102 or the surface of the coated wafer is provided with a pattern PAT2 being different (for example number of patterns , pitch of patterns, size of patterns) from the first pattern PAT1. A first feature 1111 is applied to the region 1101 or the surface of the coated substrate and the second feature 1112 is applied to the region 1102 or the surface of the coated substrate. As the patterning also affects the optical characteristic of the stack ST, also the focus setting associated with the patterning or feature Fill and the focus setting associated with the patterning or feature 1112 will be different, hi an edition the patterns PAT1 and PAT2 are formed during regular processing of the substrate. Any of the following methods may be utilized to provide the patterns PAT1 and / or PAT2: lithographic processing, imprint lithography, laser treatment. 0069 Alternative the density of the patterns PAT1 and PAT2 may be chosen differently in order to cause a height difference between regions 1101 and 1102 (comparable to the height difference between regions 1101 and 1002 as illustrated by figure 10). The difference in density of the patterns may affect the height position of the surface of a secondary layer (not shown) deposited on the layer including the patterns PAT1 and PAT2. A possible deposition method maybe a spin-on deposition of a material (carbon, glass or any other material suitable for the chosen deposition method). The height difference of the secondary layer will result in height difference of surfaces associated with subsequent layers applied to the substrate, including the layer on which a layer or photoresist will be applied. 0070 The first target 1000 and second target 1020 each have a target parameter response with focus which describe Bossung curves having a best focus offset, similar to the response illustrated in Figure 7. This best focus offset is as a result of the focus dependent SWA or only one of the targets. SWA varies linearly with focus, which causes the shift in the Bossung peak. By this method, an asymmetric target (such as that illustrated in Figure 6) can be separated into two separate symmetric targets having similar performance. This allows more efficient target selection and the use of the full pitch for parameter values. 0071 Figure 12 is a flowchart of the steps of a method for monitoring a focus parameter during a lithographic process according to an exemplary embodiment. The steps are as follows, and are then described in greater detail thereafter: 1200- Start. 1210- Print first and second targets with a relative best focus offset; 1220- Perform first measurement from inspection of the first target to obtain first measurement value; 1230 - Perform second measurement from inspection or second target to obtain second measurement value; 1240- Calculate focus from difference of first measurement value and second measurement value; 1250 - Use calculated focus measurement in focus setting for subsequent exposures. 1260 End. 0072 At step 1210, first and second targets (at least) are printed with a relative best focus offset as already described. The relative best focus offset may be introduced, for example, via a relative depth offset on the wafer between the target forming structures which form the first and second targets .. 0073 At step 1220, a first measurement is performed from inspection of the first target to obtain a first measurement value for a target parameter. In an embodiment, this first measurement may be the intensity (or related parameter) or one of the diffraction orders or radiation scattered by the first target. This first measurement may be obtained using any of the scatterometer devices described, for example. It is also contemplated within the scope of this disclosure that the first measurement is a CD measurement (whether obtained using a scatterometer, scamiing electron microscope or other suitable device) or any other measurement or parameter that has a Bossung curve relationship with focus. 0074 At step 1230, a second measurement is performed from inspection of the second target to obtain a second measurement value for a target parameter. This second measurement should be performed using the same method as the first measurement. Where the first measurement is the intensity (or related parameter) or one of the diffraction orders of radiation scattered by the first target, the second measurement should be the same diffraction order of radiation scattered by the second target. The diffraction order may be either of the first diffraction orders or the zeroth diffraction order. However, higher diffraction orders can also be used and are within the scope of the disclosure. Further measurements may be made if there are more than two targets printed. These additional targets may each include best focus offsets that are different to that or said first target and / or said second target. 0075 It should be appreciated that step 1220 and step 1230 may be performed as a single step such that the first measurement value and second measurement value are obtained in a single acquisition. In addition, where there are more than two targets being measured, all the targets may be measured in a single acquisition to obtain a corresponding number of measurement values. In a specific example, a measurement device, such as that illustrated in Figure 5, can be used to measure a composite target comprising multiple individual targets (individual periodic structures or gratings). The gratings of the composite target may be positioned closely together so that they will all be within an image field or measurement spot formed by the illumination beam of the metrology apparatus. In this way, the gratings can all be simultaneously illuminated and simultaneously imaged on the detector. These images can then be processed to identify the separate images of the gratings. This can be done by pattern matching techniques, so that the images do not have to be aligned very precisely at a specific location within the sensor frame. Once the separate images of the gratings have been identified, the intensities of those individual images can be measured, e.g., by averaging or summing selected pixel intensity values within the identified areas. In another embodiment, the first and second targets may be comprised within a composite target, but measured separately in two separate acquisitions. (X) 76 At step 1240, the focus is calculated from the first and second measurement values, for example from the difference from the first and second measurement values. This calculation can be performed using Equation 1 or other suitable equation or method. 0077 At step 1250, the calculated focus can then be used in focus parameter monitoring during subsequent lithographic processes, so as to maintain focus accuracy and consistency during exposure. 0078 A method of manufacturing devices using the lithographic process can be improved by providing an inspection apparatus as disclosed, using it to measure processed substrates to measure parameters or performance of the lithographic process, and adjusting parameters of the process (particularly focus) to improve or maintain performance of the lithographic process for the processing of subsequent substrates. 0079 It should be understood that the particular parameters used in the above examples are not the only ones that may be defined. Additional and / or alternative parameters can be used in a real design process, according to limitations of the lithographic apparatus and the inspection apparatus to be used for the metrology. While the target structures described above are metrology targets specifically designed and formed for the purposes of measurement, in other expires, properties may be measured on targets which are functional parts of devices formed on the substrate. Many devices have regular, grating-like structures. The terms "target grating" and "target structure" used as used do not require that the structure has been provided specifically for the measurement being performed. 0080 In association with the physical grating structures of the targets as realized on substrates and patterning devices, an embodiment may include a computer program containing one or more sequences of machine-readable instructions describing a methods of designing metrology recipes and / or controlling the inspection apparatus to implement the illumination modes and other aspects of those metrology recipes. This computer program may be executed for example in a separate computer system employed for the design / control process. Alternatively, the design process may be wholly or partly performed within unit PU in the apparatus or Figure 3, 4 or 5 and / or the control unit LACU or Figure 2. There may also be a data storage medium (eg, semiconductor memory, magnetic or optical disk) having such a computer program stored therein.

Further embodiments of the invention are disclosed in the list of numbered embodiments below: 1. A method for determining a focus parameter associated with a patterning process applied to a substrate, the method comprising: providing a first feature to a first location and a second feature to a second location on the substrate using the patterning process, wherein a characteristic of the substrate at the second location differs from the characteristic at the first location causing a focus parameter shift between said locations; acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of die second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 2. A method for determining a focus parameter associated with a patterning process applied to a substrate, the method comprising: positioning a substrate comprising a first and a second region, each region associated with a different characteristic causing a focus parameter shift between said first and second region; providing a first feature to the first region and a second feature to the second region on the substrate using the patterning process: acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of the second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 3. A method according to embodiment 1, wherein the characteristic of the substrate is a height of a substrate surface. 4. A method according to embodiment 3, wherein the height of the substrate surface at the first location is adapted using a method of removing material from the substrate at said first location. 5. A method according to embodiment 3, wherein the height of the substrate surface at the first location is adapted using a method of adding material to the substrate at said first location. 6. A method according to embodiment 4, wherein the removal of material is achieved by one or more of the steps of: etching, polishing. 7. A method according to embodiment 5, wherein the addition of material is achieved by one or more of the steps of: deposition, coating. 8. A method according to embodiment 1, wherein the characteristic of the substrate is a thickness of a layer applied to the substrate. 9. A method according to embodiment 8, wherein the layer is part of a multi-layer stack. 10. A method according to embodiment 1, wherein the characteristic of the substrate is an optical characteristic of a layer applied to the substrate. 11. A method according to embodiment 10, wherein the optical characteristic is a refractive index. 12. A method according to embodiment 1, wherein the characteristic of the substrate is a characteristic of a pattern applied to a layer applied to the substrate. 13. A method according to embodiment 12, wherein the characteristic of the pattern is one or more of: a density of the pattern, a size of a feature belonging to the pattern, a periodicity of the pattern, a number of features being part of the pattern. 14. A method according to any preceding embodiment, wherein said step of determining the focus parameter comprises determining the difference of said first measurement value and said second measurement value. 15. A method according to any preceding embodiment, wherein said first target and said second target each comprise line-space grating structures. 16. A metrology apparatus for measuring a focus parameter of a lithographic process, the metrology apparatus being operable to perform the method of any of embodiments 1 to 15. 17. A lithographic system comprising: an illumination optical system arranged to illuminate a pattern; a projection optical system arranged to project an image of the pattern onto a substrate; and a metrology apparatus according to embodiment 16, wherein the lithographic apparatus is arranged to use the determined focus parameter in applying the pattern to further substrates. 18. A computer program comprising processor readable instructions which, when run on suitable processor controlled apparatus, cause the processor controlled apparatus to perform the method according to any of embodiments 1-15. 19. A method of manufacturing devices wherein a device pattern is applied to a substrate using a lithographic process, the method including: using the method according to any of embodiments 1-15 to determine said focus parameter and a step of adjusting the patterning process in accordance with the determined focus parameter. 20. A patterning device configured to pattern a beam of radiation in a lithographic process as claimed in a desired pattern, said patterning device comprising first features for forming a first target on a substrate during the lithographic process, and second features for forming a second target on the substrate during the lithographic process; wherein said second features are taller than said first features, in a direction transverse to the plane of the target and such that said first target and said second target have a relative best focus offset. 0081 The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g., having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g., having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams. 0082 The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. 0083 The foregoing description of the specific embodiments will so fully reveal the general nature of the invention that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present invention. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description by example, and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance, 0084 The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.Further terms of the invention are disclosed in the list of numbered numerals below: 1. A method for determining a focus parameter associated with a patterning process applied to a substrate, the method including: providing a first feature to a first location and a second feature to a second location on the substrate using the patterning process, a characteristic of the substrate at the second location differs from the characteristic at the first location causing a focus parameter shift between said locations; acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection or that second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 2. A method for determining a focus parameter associated with a patterning process applied to a substrate, including the method: positioning a substrate including a first and a second region, each region associated with a different characteristic causing a focus parameter shift between said first and second region; providing a first feature to the first region and a second feature to the second region on the substrate using the patterning process: acquiring a first measurement value obtained from inspection of the first feature and a second measurement value obtained from inspection of the second feature; and determining the focus parameter based on the first measurement value and the second measurement value. 3. A method according to embodiment 1, where the characteristic of the substrate is a height of a substrate surface. 4. A method according to embodiment 3, according to the height of the substrate surface at the first location is adapted using a method or removing material from the substrate at said first location. 5. A method according to embodiment 3, according to the height of the substrate surface at the first location is adapted using a method or adding material to the substrate at said first location. 6. A method according to embodiment 4, where the removal of material is achieved by one or more of the steps of: etching, polishing. 7. A method according to embodiment 5, whether the addition of material is achieved by one or more of the steps of: deposition, coating. 8. A method according to embodiment 1, where the characteristic of the substrate is a thickness or a layer applied to the substrate. 9. A method according to embodiment 8, where the layer is part of a multi-layer stack. 10. A method according to embodiment 1, where the characteristic of the substrate is an optical characteristic or a layer applied to the substrate. 11. A method according to embodiment 10, where the optical characteristic is a refractive index. 12. A method according to embodiment 1, the characteristic of the substrate is a characteristic of a pattern applied to a layer applied to the substrate. 13. A method according to embodiment 12, whether the characteristic of the pattern is one or more of: a density of the pattern, a size of a feature belonging to the pattern, a periodicity of the pattern, a number of features being part of the pattern. 14. A method according to any preceding embodiment, said said step or determining the focus parameter comprises determining the difference or said first measurement value and said second measurement value. 15. A method according to any preceding embodiment, said first target and said second target each include line-space grating structures. 16. A metrology apparatus for measuring a focus parameter of a lithographic process, the metrology apparatus being operable to perform the method or any of expire 1 to 15. 17. A lithographic system including: an illumination optical system arranged to illuminate a pattern; a projection optical system arranged to project an image of the pattern onto a substrate; and a metrology apparatus according to edition 16, the lithographic apparatus is arranged to use the determined focus parameter in applying the pattern to further substrates. 18. A computer program comprising processor readable instructions which, when run on suitable processor controlled apparatus, cause the processor controlled apparatus to perform the method according to any of expire 1-15. 19. A method of manufacturing devices in accordance with a device pattern is applied to a substrate using a lithographic process, the method including: using the method according to any of exp 1-15 to determine said focus parameter and a step of adjusting the patterning process in in accordance with the determined focus parameter. 20. A patterning device configured for a beam of radiation in a lithographic process as claimed in a desired pattern, said patterning device including first features for forming a first target on a substrate during the lithographic process, and second features for forming a second target on the substrate during the lithographic process; say said second features are taller than said first features, in a direction transverse to the plane of the target and such that said first target and said second target have a relative best focus offset. 0081 The terms "radiation" and "beam" used include and compass all types of electromagnetic radiation, including ultraviolet (UV) radiation (eg, having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra -violet (EUV) radiation (eg, having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams. 0082 The term "lens", where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. 0083 The foregoing description of the specific expired will so fully reveal the general nature of the invention that others can, by applying knowledge within the skill of the art, readily modify and / or adapt for various applications such specific expired, without undue experimentation, without departing from the general concept of the present invention. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed exponent, based on the teaching and guidance presented. It has been understood that the phraseology or terminology is for the purpose of description by example, and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance , 0084 The breadth and scope of the present invention should not be limited by any of the above-described examples, but should be defined only in accordance with the following claims and their equivalents.

Claims (11)

1. Een werkwijze voor het bepalen van een focusparameter behorende bij een patroon vormingsproces toegepast op een substraat, waarbij de werkwijze de volgende stappen omvat: aanbrengen van een eerste structuur op een eerste locatie en een tweede structuur op een tweede locatie van het substraat met behulp van het patroonvormingsproces, waarbij een eigenschap van het substraat op de tweede locatie verschilt van de eigenschap op de eerste locatie waardoor een verschuiving van de focusparameter tussen de locaties wordt veroorzaakt; verkrijgen van een eerste meetwaarde verkregen uit inspectie van de eerste structuur en een tweede meetwaarde verkregen uit inspectie van de tweede structuur; en bepalen van de focusparameter op basis van de eerste meetwaarde en de tweede meetwaarde.A method for determining a focus parameter associated with a patterning process applied to a substrate, the method comprising the steps of: applying a first structure to a first location and a second structure to a second location of the substrate using of the patterning process, wherein a property of the substrate at the second location differs from the property at the first location causing a shift of the focus parameter between the locations; obtaining a first measurement value obtained from inspection of the first structure and a second measurement value obtained from inspection of the second structure; and determining the focus parameter based on the first measurement value and the second measurement value. 2. Een werkwijze volgens conclusie 1, met het kenmerk dat de eigenschap van het substraat een hoogte van het substraat oppervlak is..A method according to claim 1, characterized in that the property of the substrate is a height of the substrate surface. 3. Een werkwijze volgens conclusie 2, met het kenmerk dat de hoogte van het substraat oppervlak op de eerste locatie is aangepast door middel van het verwijderen van materiaal ter plaatste van de eerste locatie op het substraat..A method according to claim 2, characterized in that the height of the substrate surface at the first location is adjusted by means of removing material placed at the first location on the substrate. 4. Een werkwijze volgens conclusie 2, met het kenmerk dat de hoogte van het substraat oppervlak op de eerste locatie is aangepast door middel van toevoeging van materiaal op de eerste locatie.A method according to claim 2, characterized in that the height of the substrate surface at the first location is adjusted by adding material at the first location. 5. Een werkwijze volgens conclusie 1, met het kenmerk dat de eigenschap van het substraat een optische eigenschap is van een laag welke is aangebracht op het substraat.A method according to claim 1, characterized in that the property of the substrate is an optical property of a layer applied to the substrate. 6. Een werkwijze volgens conclusie 5, met het kenmerk dat de optische eigenschap een brekingsindex is.A method according to claim 5, characterized in that the optical property is a refractive index. 7. Een werkwijze volgens conclusie 1, met het kenmerk dat de eigenschap van het substraat een eigenschap van een structuur is welke is aangebracht op een laag op het substraat.A method according to claim 1, characterized in that the property of the substrate is a property of a structure applied to a layer on the substrate. 8. Een werkwijze volgens conclusie 7, met het kenmerk dat de eigenschap van de structuur een of meerdere van de volgende eigenschappen omvat: de dichtheid van de structuur, een grootte van een onderdeel van de structuur, de periodiciteit van de structuur, het aantal onderdelen van de structuur.A method according to claim 7, characterized in that the property of the structure comprises one or more of the following properties: the density of the structure, a size of a part of the structure, the periodicity of the structure, the number of parts of the structure. 9. Een werkwijze volgens een voorgaande conclusie, met het kenmerk dat het bepalen van de focus parameter een stap voor het bepalen van het verschil tussen de eerste en de tweede meetwaarde omvat.A method according to a preceding claim, characterized in that determining the focus parameter comprises a step of determining the difference between the first and the second measurement value. 10. Een werkwijze volgens een voorgaande conclusie, met het kenmerk dat de eerste en de tweede structuren lijnen en spaties omvatten.A method according to a preceding claim, characterized in that the first and the second structures comprise lines and spaces. 11. Een meetapparaat ingericht voor het meten van een focusparameter behorend bij een patroon vormingsproces met het kenmerk dat het meetapparaat in ingericht voor het uitvoeren van een werkwijze volgens een van de voorgaande conclusies.A measuring device adapted to measure a focus parameter associated with a patterning process, characterized in that the measuring device is adapted to perform a method according to any one of the preceding claims.
NL2020323A 2017-02-20 2018-01-26 Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method NL2020323B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP17156898 2017-02-20

Publications (2)

Publication Number Publication Date
NL2020323A true NL2020323A (en) 2018-02-13
NL2020323B1 NL2020323B1 (en) 2018-12-21

Family

ID=58094302

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2020323A NL2020323B1 (en) 2017-02-20 2018-01-26 Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method

Country Status (1)

Country Link
NL (1) NL2020323B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11733615B2 (en) 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11102061A (en) * 1997-09-26 1999-04-13 Matsushita Electron Corp Photomask pattern for projection exposure, photomask for projection exposure, focusing position detecting method, focusing position control method, and manufacture of semiconductor device
US20160154922A1 (en) * 2014-12-01 2016-06-02 Globalfoundries Inc. Optical proximity correction taking into account wafer topography
US20160363871A1 (en) * 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection Apparatus, Inspection Method, Lithographic Apparatus, Patterning Device and Manufacturing Method
US20170023867A1 (en) * 2015-07-24 2017-01-26 Asml Netherlands B.V. Inspection Apparatus, Inspection Method, Lithographic Apparatus and Manufacturing Method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11102061A (en) * 1997-09-26 1999-04-13 Matsushita Electron Corp Photomask pattern for projection exposure, photomask for projection exposure, focusing position detecting method, focusing position control method, and manufacture of semiconductor device
US20160154922A1 (en) * 2014-12-01 2016-06-02 Globalfoundries Inc. Optical proximity correction taking into account wafer topography
US20160363871A1 (en) * 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection Apparatus, Inspection Method, Lithographic Apparatus, Patterning Device and Manufacturing Method
US20170023867A1 (en) * 2015-07-24 2017-01-26 Asml Netherlands B.V. Inspection Apparatus, Inspection Method, Lithographic Apparatus and Manufacturing Method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11733615B2 (en) 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Also Published As

Publication number Publication date
NL2020323B1 (en) 2018-12-21

Similar Documents

Publication Publication Date Title
US10054862B2 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
US9786044B2 (en) Method of measuring asymmetry, inspection apparatus, lithographic system and device manufacturing method
US10001710B2 (en) Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
US9933250B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US10691030B2 (en) Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
IL297220B1 (en) Metrology method, computer product and system
WO2016034428A2 (en) Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method
EP3447580A1 (en) Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
US20080259343A1 (en) Angularly resolved scatterometer and inspection method
US10705430B2 (en) Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
IL267310B2 (en) Method for monitoring a characteristic of illumination from a metrology apparatus
WO2020126266A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
WO2021224009A1 (en) A substrate comprising a target arrangement, and associated at least one patterning device, lithographic method and metrology method
EP3492984A1 (en) Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
NL2020323B1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
KR20190134804A (en) Target measuring methods, metrology devices, lithography cells and targets

Legal Events

Date Code Title Description
MM Lapsed because of non-payment of the annual fee

Effective date: 20210201