JP2020502808A - 露光後処理装置 - Google Patents

露光後処理装置 Download PDF

Info

Publication number
JP2020502808A
JP2020502808A JP2019532810A JP2019532810A JP2020502808A JP 2020502808 A JP2020502808 A JP 2020502808A JP 2019532810 A JP2019532810 A JP 2019532810A JP 2019532810 A JP2019532810 A JP 2019532810A JP 2020502808 A JP2020502808 A JP 2020502808A
Authority
JP
Japan
Prior art keywords
processing
processing space
module
chamber
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019532810A
Other languages
English (en)
Other versions
JP6882483B2 (ja
Inventor
ヴィアチェスラフ ババヤン,
ヴィアチェスラフ ババヤン,
ルドヴィーク ゴデット,
ルドヴィーク ゴデット,
カイル エム. ハンソン,
カイル エム. ハンソン,
ロバート ビー. ムーア,
ロバート ビー. ムーア,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020502808A publication Critical patent/JP2020502808A/ja
Application granted granted Critical
Publication of JP6882483B2 publication Critical patent/JP6882483B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本明細書に記載の実施形態は、露光後処理のための装置に関する。より具体的には、本明細書に記載の実施形態は、プロセスプラットフォームで使用される電界誘導露光後処理チャンバおよび冷却/現像チャンバに関する。一実施形態では、露光後処理チャンバと冷却/現像チャンバの複数の対が、プロセスプラットフォームに積み重ね配置で配置され、共有の配管モジュールを利用する。別の実施形態では、複数の露光後処理チャンバおよび冷却/現像チャンバが、線形配置でプロセスプラットフォームに配置され、各チャンバは、個別に専用の配管モジュールを利用する。【選択図】図5

Description

[0001]本開示の実施形態は、一般に、リソグラフィ露光後に基板を処理するための装置に関する。
[0002]集積回路は、単一チップ上に何百万もの構成要素(例えば、トランジスタ、コンデンサ、および抵抗器)を含むことができる複雑な装置へと進化してきた。フォトリソグラフィは、チップ上に構成要素を形成するために使用され得るプロセスである。一般に、フォトリソグラフィのプロセスは、いくつかの基本的な段階を含む。最初に、フォトレジスト層が、基板上に形成される。化学増幅型フォトレジストは、レジスト樹脂と光酸発生剤とを含むことができる。光酸発生剤は、後続の露光段階で電磁放射線に曝されると、現像プロセスにおけるフォトレジストの溶解度を変える。電磁放射線は、任意の適切な波長、例えば193nmのArFレーザ、電子ビーム、イオンビーム、または他の適切な放射線源を有することができる。
[0003]露光段階では、フォトマスクまたはレチクルを使用して、基板の特定の領域を電磁放射線に選択的に曝すことができる。他の露光方法は、マスクレス露光方法であってもよい。光に曝されると光酸発生剤が分解されて、酸を発生させ、その結果、レジスト樹脂に酸潜像が生じる。露光後に、露光後ベークプロセスで基板を加熱することができる。光酸発生剤によって発生した酸が、露光後ベークプロセス中に、レジスト樹脂と反応して、後続の現像プロセス中にレジストの溶解度を変化させる。
[0004]露光後ベークの後に、基板、特にフォトレジスト層を現像してリンスすることができる。使用されるフォトレジストの種類に応じて、電磁放射線に曝された基板の領域は、除去されにくくなることもあるし、または除去されやすくなることもある。現像およびリンス後、マスクのパターンは、湿式または乾式エッチングプロセスを使用して基板に転写される。
[0005]最近の現像では、リソグラフィ露光/現像解像度を改善するように、電磁放射線が伝達されるフォトレジスト層の部分の化学的性質を修正するために、露光プロセスの前または後に、基板上に配置されたフォトレジスト層に電界を発生させるために、電極アセンブリが利用される。しかしながら、そのようなシステムを実施する際の課題は、まだ十分に克服されていない。
[0006]したがって、露光後ベークプロセスおよび現像プロセスを改善するための装置が必要とされている。
[0007]一実施形態では、プラットフォーム装置が提供される。装置は、ファクトリインターフェースと、配管モジュールと、ファクトリインターフェースと配管モジュールとの間に配置されたプロセスモジュールとを含む。プロセスモジュールは、ロボットが配置された中央領域と、中央領域の周囲に配置された複数のプロセスステーションとを含む。各プロセスステーションは、積み重ね配置で処理チャンバと後処理チャンバとを含む。
[0008]別の実施形態では、プラットフォーム装置が提供される。装置は、ファクトリインターフェースと、配管モジュールと、ファクトリインターフェースと配管モジュールとの間に配置されたプロセスモジュールとを含む。プロセスモジュールは、ロボットが配置された中央領域を含む。ロボットは、複数のエンドエフェクタを含み、エンドエフェクタは、3軸で可動である。複数のプロセスステーションが、中央領域の周囲に配置され、各プロセスステーションは、積み重ね配置で処理チャンバと後処理チャンバとを含む。
[0009]さらに別の実施形態では、プラットフォーム装置が提供される。装置は、ファクトリインターフェースと、ファクトリインターフェースに隣接して配置された中間モジュールとを含む。バッファステーションが、中間モジュール内に配置され、サポートモジュールが、中間モジュールに隣接して配置される。複数の洗浄ステーションが、サポートモジュール内に配置され、プロセスモジュールが、サポートモジュールに隣接して配置される。プロセスモジュールは、複数のプロセスステーションを含み、各プロセスステーションは、積み重ね配置の処理チャンバおよび後処理チャンバと、各プロセスステーション専用の配管モジュールとを含む。
[0010]本開示の上記の特徴を詳細に理解することができるように、上で簡単に要約された本開示のより詳細な説明が、実施形態を参照することによって得られ、それらのいくつかが、添付の図面に示されている。しかし、添付の図面は例示的な実施形態のみを示し、したがって、その範囲を限定すると見なされるべきではなく、他の同等に有効な実施形態を認めることができることに、留意されたい。
本明細書に記載の実施形態による、処理チャンバの概略断面図を示す。 本明細書に記載の実施形態による、図1の処理チャンバの一部の詳細図を示す。 本明細書に記載の実施形態による、後処理チャンバを示す。 本明細書に記載の実施形態による、プロセスプラットフォームの斜視図を示す。 本明細書に記載の実施形態による、図4のプロセスプラットフォームの概略平面図を示す。 本明細書に記載の実施形態による、図4のプロセスプラットフォーム内の処理チャンバおよび後処理チャンバの配置の概略側面図である。 本明細書に記載の実施形態による、図4のプロセスプラットフォーム内の処理チャンバおよび後処理チャンバの配置の概略側面図である。 本明細書に記載の実施形態による、プロセスプラットフォームの斜視図を示す。
[0019]理解を容易にするために、可能な場合には、図面に共通の同一の要素を示すために、同一の参照番号が使用されている。一つの実施形態の要素および特徴は、さらに詳述することなく他の実施形態に有益に組み込むことができることが、予期されている。
[0020]本明細書に記載の実施形態は、露光後処理のための装置に関する。より具体的には、本明細書に記載の実施形態は、プロセスプラットフォームに実装された電界誘導露光後処理チャンバおよび冷却/現像チャンバに関する。一実施形態では、露光後処理チャンバと冷却/現像チャンバの複数の対が、プロセスプラットフォームに積み重ね配置で配置され、共有の配管モジュールを利用する。別の実施形態では、複数の露光後処理チャンバおよび冷却/現像チャンバが、線形配置でプロセスプラットフォームに配置され、各チャンバは、個別に専用の配管モジュールを利用する。
[0021]図1は、本明細書に記載の実施形態による、処理チャンバ100の概略断面図を示す。一実施形態では、処理チャンバ100は、浸漬電界誘導露光後ベーク(iFGPEB:immersion field guided post exposure bake)プロセスを実行するように構成される。チャンバ100は、基板が処理されているときに、基板の長軸が垂直に配向され、基板の短軸が水平に配向されるように、垂直配向に配置される。チャンバ100は、アルミニウム、ステンレス鋼、ならびにそれらの合金および組み合わせなどの金属材料から製造されるチャンバ本体102を含む。あるいは、チャンバ本体102は、ポリテトラフルオロエチレン(PTFE)などのポリマー材料、またはポリエーテルエーテルケトン(PEEK)などの耐熱性プラスチックから製造される。
[0022]本体102は、その中に少なくとも部分的に処理空間104を画定する。例えば、本体102の側壁148は、処理空間104の直径を画定する。処理空間104の長軸は、垂直に配向され、処理空間104の短軸は、水平に配向される。第1の複数の流体ポート126が、側壁148を貫通してチャンバ本体102に形成されている。第2の複数の流体ポート128が、第1の複数の流体ポート126とは反対側のチャンバ本体102の側壁148に、さらに形成されている。第1の複数の流体ポート126は、第1の導管134を介してプロセス流体源132と流体連通している。第2の複数の流体ポート128は、第2の導管138を介して流体出口136と流体連通している。プロセス流体源132は、単独でまたは他の装置と組み合わせて、プロセス流体が処理空間104に入る前に、プロセス流体を約70℃〜約130℃、例えば約110℃の温度に予熱するように構成される。
[0023]一実施形態では、パージガス源150もまた、第1の流体導管134および第1の複数の流体ポート126を介して処理空間104と流体連通している。パージガス源150によって供給されるガスは、iFGPEB処理中または処理後に処理空間104をパージするために、窒素、アルゴン、不活性ガスなどを含み得る。必要に応じて、パージガスは、処理空間104から流体出口136を介して排出され得る。
[0024]ドア106が、チャンバ本体102に動作可能に結合されている。図示の実施形態では、ドア106は、ドア106がチャンバ本体102に隣接して配置され、かつチャンバ本体102に接触しているような処理位置に配向されている。ドア106は、チャンバ本体102用に選択された材料と同様の材料から形成されている。あるいは、チャンバ本体が、ポリマー材料などの第1の材料から形成され、ドア106が、金属材料などの、第1の材料とは異なる第2の材料から形成されてもよい。シャフト107が、ドア106を貫通して延び、ドア106を開閉するためにドア106が回転する軸(すなわちZ軸)を提供する。
[0025]ドア106は、トラック(図示せず)に結合されてもよく、ドア106は、X軸のトラックに沿って並進するように構成される。X軸に沿ったドア106の移動を容易にするように、モータ(図示せず)が、ドア106および/またはトラックに結合されてもよい。ドア106は閉じた処理位置に示されているが、ドア106の開閉は、ドア106をZ軸の周りに回転させる前にドア106をチャンバ本体02からX軸に沿って離すことによって行われてもよい。例えば、ドア106は、ローディング中に基板が破損する可能性を低減させて第1の電極108上に基板110を配置することができるように、図示の処理位置からローディング位置まで約90°回転することができる。
[0026]バッキングプレート112が、ドア106に結合され、第1の電極108が、バッキングプレート112に結合されている。バッキングプレート112は、所望の実施形態に応じて、ドア106またはチャンバ本体102と同様の材料から形成される。第1の電極108は、導電性金属材料から形成することができる。さらに、第1の電極108に利用される材料は、非酸化性材料であってもよい。第1の電極108用に選択された材料は、第1の電極108の表面にわたって望ましい電流均一性および低抵抗を提供する。いくつかの実施形態では、第1の電極108は、第1の電極108の表面にわたって電圧の不均一性を導入するように構成されたセグメント化された電極である。この実施形態では、第1の電極108の異なるセグメントに電力を供給するために複数の電源が利用される。
[0027]第1の電極108は、その上に基板110を取り付けることができるような大きさに作られている。第1の電極108はまた、チャンバ本体102および処理空間104に隣接して配置することを可能にするような大きさに作られている。一実施形態では、第1の電極108は、バッキングプレート112およびドア106に固定的に結合されている。他の実施形態では、第1の電極108は、バッキングプレート112およびドア106に回転可能に結合されている。この実施形態では、モータ109がドア106に結合されており、バッキングプレート112または第1の電極108のいずれかに回転運動を与えるように構成されている。一実施形態では、第1の電極108は、接地電極として構成されている。
[0028]真空源116が、第1の電極108の基板受け面と流体連通している。真空源116は、真空源116からドア106、バッキングプレート112、および第1の電極108を貫通して延びる導管114に結合されている。一般に、真空源116は、基板110を第1の電極108に真空チャックするように構成されている。
[0029]熱源118、温度感知装置120、電源122、および感知装置124が、第1の電極108に結合されている。熱源118は、第1の電極108内に配置された抵抗加熱器などの1つ以上の加熱要素に電力を供給する。熱源118が、バッキングプレート112内に配置された加熱要素に電力を供給することも、考えられる。熱源118は、一般に、iFGPEBプロセス中の流体の予熱を容易にするために、第1の電極108および/またはバッキングプレート112のいずれかを加熱するように構成されている。熱源118はまた、プロセス流体を予熱することに加えて、またはそれとは別個に、基板処理中にプロセス流体の所望の温度を維持するために利用されてもよい。一実施形態では、熱源118は、第1の電極108を約70℃〜約130℃、例えば約110℃の温度に加熱するように構成されている。
[0030]熱電対などの温度感知装置120が、温度フィードバックを提供し、第1の電極108の加熱を容易にするために、熱源118に通信可能に結合されている。電源122は、例えば、約1V〜約20kVを第1の電極108に供給するように構成されている。利用されるプロセス流体の種類に応じて、電源122によって生成される電流は、数十ナノアンペアから数百ミリアンペアのオーダーであり得る。一実施形態では、電源122は、約1kV/mから約2MV/mの範囲の電界を生成するように構成されている。いくつかの実施形態では、電源122は、電圧制御モードまたは電流制御モードのいずれかで動作するように構成されている。両方のモードにおいて、電源は、AC、DC、および/またはパルスDC波形を出力することができる。必要ならば、方形波または正弦波を利用することができる。電源122は、約0.1Hzから約1MHzの間、例えば約5kHzの周波数で電力を供給するように構成されてもよい。パルスDC電力またはAC電力のデューティサイクルは、約5%から約95%の間、例えば約20%から約60%の間であり得る。
[0031]パルスDC電力またはAC電力の立ち上がりおよび立ち下がり時間は、約1nsから約1000nsの間、例えば約10nsから約500nsの間であり得る。電圧計などの感知装置124が、電気的フィードバックを提供し、第1の電極108に印加される電力の制御を容易にするために、電源122に通信可能に結合されている。感知装置124はまた、電源122によって第1の電極108に印加された電流を感知するように構成されてもよい。
[0032]第2の電極130が、処理空間104に隣接してチャンバ本体102に結合され、処理空間104を部分的に画定する。第1の電極108と同様に、第2の電極130は、熱源140、温度感知装置142、電源144、および感知装置146に結合されている。熱源140、温度感知装置142、電源144、および感知装置146は、熱源118、温度感知装置120、電源122、および感知装置124と同様に機能することができる。一実施形態では、第2の電極130は、能動的に給電される電極であり、第1の電極108は接地電極である。前述の電極配置の結果として、基板110上に配置されたレジストの露光時に発生する酸が、iFGPEB処理中に調整されて、パターニングおよびレジスト脱保護特性を改善することができる。
[0033]図2は、本明細書に記載の実施形態による、図1の処理チャンバ100の一部の詳細図を示す。処理空間104は、基板110と第2の電極130との間に画定された幅214を有する。一実施形態では、処理空間104の幅214は、約1.0mmから約10mmの間、例えば約4.0mmから約4.5mmの間である。基板110と第2の電極130との間の比較的小さい間隙は、処理空間104の容積を減少させ、iFGPEB処理中におけるプロセス流体の利用量の減少を可能にする。さらに、第2の電極130と基板との間の距離を画定する幅214は、基板110の表面にわたって実質的に均一な電界を提供するように構成される。実質的に均一な電界は、iFGPEB処理の結果として、改善されたパターニング特性を提供する。幅214を有する間隙の他の利点は、所望の電界を発生させるために利用される電圧の低減である。
[0034]動作中、処理空間104は、iFGPEB処理の間、プロセス流体で満たされている。一実施形態では、電界の活性化の前に処理空間104をプロセス流体で満たすのに利用される第1の流量は、約5L/分から約10L/分の間である。処理空間104がプロセス流体で満たされると、電界が印加され、約0L/分から約5L/分の間の、プロセス流体の第2の流量が、iFGPEB処理中に利用される。一実施形態では、プロセス流体充填時間は、約1秒から約5秒の間であり、処理時間は、約30秒から約90秒の間、例えば約60秒である。一実施形態では、プロセス流体は、iFGPEB処理中に流れ続ける。この実施形態では、処理空間104の容積は、処理される基板当たり約1回から約10回の間で交換される。他の実施形態では、プロセス流体は、処理中に大部分が静止している。この実施形態では、処理空間104の容積は、各基板の基板処理中に交換されない。
[0035]別の動作上の実施形態では、第1の流量が、最初に処理空間104を満たすために利用される。第1の流量は、第1の流体ポート126が沈められるように処理空間104を満たすのにかかる時間の間、5L/分未満である。5L/分を超える第2の流量が、次に、処理空間104の残りを満たすために利用される。iFGPEB処理における電界の印加中、5L/分未満の第3の流量が利用される。第1の流量と第2の流量との間の流量変化は、処理空間104内での流体の乱流を減少させ、その中での泡の形成を減少または排除するように設定される。しかしながら、泡が形成された場合、泡の浮力により、泡が第2の流体ポート128を介して処理空間104から出ることが可能になり、iFGPEB処理中に電界に対する泡の絶縁効果を最小限に抑える。したがって、より均一な電界が達成されて、iFGPEB処理を改善することができる。
[0036]処理空間からプロセス流体が漏れる可能性を減らすために、複数のOリングを利用して、処理空間の流体閉じ込めの完全性を維持する。第1のOリング202が、第1の電極108内において第1の電極108の基板受け面上に配置されている。第1のOリング202は、基板110の外径から半径方向内側の第1の電極上に配置することができる。
[0037]一例では、第1のOリング202は、基板110の外径から半径方向内側に約1mmから約10mmの間の距離で第1の電極108上に配置されている。第1のOリングは、基板が第1の電極108にチャックされたときに基板110の裏面に接触するように配置されている。側壁148の第1の表面206は、基板110が図示の処理位置にあるときに基板110の縁部領域と接触するような形状および寸法に作られている。
[0038]一実施形態では、第1のOリング202は、第1の電極108内において側壁148の第1の表面206の向かい側に配置されている。第1のOリング202は、処理空間104から、第1の電極108の基板支持面などの基板110の後ろの領域へのプロセス流体の漏れを防ぐことができると考えられる。有利には、基板110の真空チャックが維持され、プロセス流体が真空源116に到達するのが防止される。
[0039]第1の電極108は、第1のOリングの半径方向外側に配置された棚部210を有する。棚部210は、第1のOリング202の位置から半径方向外側に配置されている。第2のOリング204が、棚部210の半径方向外側で第1の電極108に結合されている。側壁148の第2の表面208は、第1の電極108の外径に隣接しかつ外径から半径方向内側に延びたところで第1の電極108と接触するような形状および寸法に作られている。一実施形態では、第2のOリング204は、基板110が処理位置に配置されたときに側壁148の第2の表面208と接触するように配置されている。第2のOリング204は、第1の電極108の外径を超えて処理空間108からプロセス流体が漏れるのを防ぐことができると考えられる。
[0040]第3のOリング212が、第2の電極130の外径に沿って第2の電極130に結合されている。第3のOリング212もまた、チャンバ本体102の側壁148と接触して配置されている。第3のOリング212は、プロセス流体が第2の電極130の後ろに流れるのを防ぐように構成される。各Oリング202、204、212は、ポリマーなどのエラストマー材料から形成されている。一実施形態では、Oリング202、204、212は、円形の断面を有する。他の実施形態では、Oリング202、204、212は、三角形の断面などの非円形の断面を有する。また、各Oリング202、204、212は、プロセス流体がOリング202、204、212を越えて通過するのを防止し、処理空間104を流体的にシールするのに適した圧縮力を受けていると考えられる。
[0041]図3は、本明細書に記載の実施形態による、後処理チャンバ300を示す。処理チャンバ100内で基板をiFGPEB処理した後、基板は、後処理チャンバ300に移送される。後処理チャンバ300は、処理空間304を画定するチャンバ本体302と、処理空間304内に配置されたペデスタル308とを含む。ペデスタル308上に配置された基板306は、基板306を冷却してリンスすることによって後処理される。冷却とリンスを組み合わせることによって、基板処理のベークから冷却への遅延が、最小限に抑えられる。
[0042]基板306がペデスタル308上に配置されると、基板は、真空源314からの真空の適用によって真空チャックされる。基板306がチャックされると、基板306の冷却が始まる。流体導管310が、ペデスタル308内に形成されており、流体導管310は、冷却流体源312と流体連通している。冷却流体が流体導管310を通って流れて、基板306を冷却する。
[0043]冷却中に、基板306はリンスもされて、基板表面上に依然として存在するあらゆる残留プロセス流体を除去する。リンス流体が、流体供給ノズル320を含み得る流体供給アーム318から基板306のデバイス面に分配される。脱イオン水などのリンス流体が、リンス流体源322からアーム318およびノズル320を経由して供給される。
[0044]リンスおよび冷却の後、基板306は、ペデスタル308を回転させることによって任意選択でスピン乾燥される。ペデスタル308は、ペデスタル308の回転を可能にする電源316に結合されている。基板306のスピン乾燥中、シールド324が上げられて、基板306から振り落とされた流体を集める。特定の実施形態では、シールド324は、基板306の冷却および/またはリンス中においても上げられている。シールド324は、リング状の形状であり、ペデスタル308の直径よりも大きい内径を有する大きさである。シールド324はまた、ペデスタル308の半径方向外側に配置されている。シールド324は、シールド324が基板306より高く延びるようにシールド324を昇降させるモータ328に結合されている。シールド324によってスピン乾燥中に集められた流体は、ドレイン326を介して処理空間304から除去される。基板306の冷却およびリンス中、シールド324を任意選択で下降位置に配置し、続いて基板306のスピン乾燥中、上昇させてもよいことに、留意されたい。シールド324はまた、基板306のローディングおよびアンローディング中、下げられていてもよい。
[0045]基板306が乾燥すると、基板306上のレジストが、水酸化テトラメチルアンモニウム(TMAH)などの現像剤を塗布することによって現像される。一実施形態では、現像剤は、アーム318およびノズル320から分配される。現像後、基板306は、脱イオン水でリンスされ、次の処理のために基板306を準備するために再び乾燥させられる。
[0046]図4は、本明細書に記載の実施形態による、プロセスプラットフォーム400の斜視図を示す。プロセスプラットフォーム400の一例は、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なBLAZER(商標)プラットフォームである。他の製造業者からの他の適切に構成されたプラットフォームもまた、本明細書に記載の実施形態に従って利用され得ると考えられる。
[0047]プロセスプラットフォーム400は、ファクトリインターフェース402、プロセスモジュール404、および配管モジュール406を含む。ファクトリインターフェース402は、プロセスモジュール404に結合され、プロセスモジュール404は、配管モジュール406に結合される。ファクトリインターフェース402は、複数の前方開口型統一ポッド(FOUP)502、例えば4つのFOUP502を含む。しかしながら、ファクトリインターフェース402は、プロセスモジュール404のスループット能力に応じて、より多いまたはより少ない数のFOUP502を利用できることが、予期されている。
[0048]プロセスモジュール404は、複数の処理チャンバを含む。一実施形態では、プロセスモジュール404は、複数の処理チャンバ100と複数の後処理チャンバ300を含む。プロセスモジュール404は、一致する数の処理チャンバ100および後処理チャンバ300を用いて実現することができると考えられる。一例では、プロセスモジュール404は、4つの処理チャンバ100と4つの後処理チャンバ300を含む。別の例では、プロセスモジュール404は、6つの処理チャンバ100と6つの後処理チャンバ300を含む。処理チャンバ100と後処理チャンバ300の対を利用することにより、プロセス効率が改善し、スループットが向上すると考えられる。
[0049]プロセスモジュール404は、複数の洗浄チャンバを、さらに含むことができる。洗浄チャンバは、例えば、処理チャンバ100内で処理する前に基板を前洗浄すること、または後処理チャンバ300内で処理した後に基板を洗浄することなど、様々な実施形態において利用することができる。動作上の実施形態において、基板は、FOUP502のうちの1つを通ってプロセスプラットフォーム400に入り、そこで基板は、ファクトリインターフェース402を通ってプロセスモジュール404に移送される。その後、基板は、処理チャンバ100のうちの1つにおいて処理され、後処理チャンバ300のうちの対応する1つに移送される。上述のように、基板の洗浄は、プロセスモジュール404内でのiFGPEB処理および現像の前または後に任意選択で行われる。iFGPEB処理および任意の所望の後処理洗浄が完了すると、基板は、ファクトリインターフェース402およびFOUP502のうちの1つに戻される。
[0050]配管モジュール406は、プロセスモジュール404内に配置された処理チャンバ100および後処理チャンバ300を利用してiFGPEB処理を実行するための全ての必要な装置を含む。配管モジュール406は、一般に、プロセスモジュール404の各チャンバのための全ての流体取り扱い構成要素を含む。そのようなものとして、配管構成要素は、メンテナンスのために容易にアクセス可能な単一の場所に配置される。さらに、配管モジュール406は、iFGPEB処理に利用される流体の供給および帰還のための単一の場所を可能にする。配管モジュール406は、インシトゥ(その場)流体分析装置を、さらに含んでもよい。例えば、iFGPEB処理に利用される流体は、熱電対による温度、流量計による流量などの様々な面について分析することができる。その場で流体データおよび他のプロセスデータを集めることができる能力は、リアルタイムのプロセスパラメータ調整を可能にすることによってプラットフォーム400のより効率的な動作を提供する。
[0051]配管モジュール406は、全ての必要な配管装置に関連するコストを削減するために、処理チャンバ100と後処理チャンバ300との間で特定の構成要素を共有するように構成される。例えば、各処理チャンバ100が単一の処理チャンバ100専用の個々の配管構成要素を有する代わりに、配管モジュールは、複数の処理チャンバ100間で共有される配管装置を利用する。同様に、後処理チャンバ300は、複数の後処理チャンバ300間で共有される配管装置を利用する。
[0052]処理チャンバ100を動作させるために、配管モジュール406は、プロセス流体を処理チャンバ100に順次に供給するのに十分な容積を有するプロセス流体源リザーバを含む。例えば、プロセス流体源リザーバ(例えば、流量計、フローコントローラ、導管、ヒータ、フィルタ、バルブ、ドレインなどの関連する全ての必要な配管構成要素)は、処理チャンバ100を順次に動作させるのに十分な量でプロセス流体を連続的に供給するように構成される。別の実施形態では、プロセス流体リザーバおよび関連する全ての必要な配管装置は、複数の処理チャンバ100を同時に動作させるのに十分な量のプロセス流体を供給するように構成される。
[0053]同様に、後処理チャンバ300の動作のために、配管モジュール406は、少なくとも、リンス流体源リザーバ、冷却流体源リザーバ、現像剤供給源リザーバ、および関連する全ての必要な配管装置を含む。後処理チャンバ300は、順次または同時に動作させることができ、全ての必要な配管装置およびリザーバは、後処理チャンバ300の効率的な動作を可能にするのに十分な量の流体を供給することによって、いずれの実施形態でも処理を容易にするように構成される。
[0054]図5は、本明細書に記載の実施形態による、図4のプロセスプラットフォーム400の概略平面図を示す。処理チャンバ100および後処理チャンバ300を含むプロセスモジュール404は、チャンバ100および300の対がプロセスステーション504、506、508、510内にあるように構成されている。4つのプロセスステーションが示されているが、より少ないまたはより多い数のプロセスステーション、例えば6つのプロセスステーションが、本明細書に記載の実施形態に従って使用されてもよいということが、予期されている。プロセスステーション504、506、508、510は、プロセスモジュール404の周囲に配置され、ロボット512が、複数のプロセスステーション504、506、508、510の間の中央領域514に配置される。したがって、プロセスステーション504、506、508、510は、中央領域514に隣接して配置され、ロボット512に近接して配置されている。
[0055]一実施形態では、ロボット512は、様々なモジュール402、404の間、およびプロセスステーション504、506、508、510の間で基板を運ぶようにサイズ設定された単一のエンドエフェクタを有するアームを有する。別の実施形態では、ロボット512は、2つのアームを有し、各アームは、基板を運ぶためのエンドエフェクタを有する。この実施形態では、第1のエンドエフェクタを利用して、ファクトリインターフェース402から基板を取り出し、その基板をプロセスステーション504、506、508、510のうちの1つの処理チャンバ100に搬送することができる。第2のエンドエフェクタを利用して、処理チャンバ100内で処理された基板を同じプロセスステーションの後処理チャンバ300に移送する。第2のエフェクタは、処理チャンバ100から取り出された基板を冷却するために利用されてもよい。この実施形態では、第2のエンドエフェクタは、例えば流体によって冷却することができ、第2のエンドエフェクタの表面積は、基板移送中の基板冷却速度を改善するため基板と接触するのに十分な大きさとすることができる。その後、第1のエンドエフェクタを利用して、基板を後処理チャンバ300からファクトリインターフェース402に戻すことができる。有利には、特定の移送動作用に設計された種々のエンドエフェクタを有するロボットを利用することは、異なるプロセス工程間の基板汚染の可能性を低減し、スループットを向上させることができると考えられる。
[0056]ロボット512は、X方向に直線的に移動してファクトリインターフェース402から基板を取り出し、その基板をプロセスモジュール404内の様々なプロセスステーション504、506、508、510に搬送する。ロボット512は、基板を搬送し、プロセスステーション504、506、508、510のチャンバ100、300から基板を取り出すために、Y方向およびZ方向にも移動する。
[0057]動作上の実施形態において、基板は、プロセスステーション504、506、508、510のうちの1つの処理チャンバ100に搬送され、iFGPEB処理が、約30秒間と約90秒間の間の時間、例えば約60秒間実行される。基板の処理中、ロボット512は、他の基板をプロセスステーション504、506、508、510のチャンバ100、300の間で、またはファクトリインターフェース402との間で移送することができる。基板が処理チャンバ100内でiFGPEB処理された後、ロボット512は、基板をiFGPEB処理した処理チャンバ100を有する同じプロセスステーションの後処理チャンバ300に、基板を移送する。冷却および現像プロセスを含む後処理工程は、約15秒間と約90秒間の間の時間、例えば約30秒間実行することができる。後処理の後、基板は任意選択で洗浄され、その後ファクトリインターフェース402に送り返されることができる。
[0058]図6は、本明細書に記載の実施形態による、図4のプロセスプラットフォーム内の処理チャンバ100と後処理チャンバ300の配置の概略側面図である。より具体的には、図6は、単一のプロセスステーション内の処理チャンバ100と後処理チャンバ300の配置を示す。図示の実施形態では、後処理チャンバ300は、処理チャンバ100より上に配置されている。つまり、後処理チャンバ300は、処理チャンバ100上に積み重ねられている。チャンバ300、100はまた、チャンバ300、100の開口部が中央領域514およびロボット512に面して、基板の出入りを可能にするように、配置されている。
[0059]図7は、本明細書に記載の実施形態による、図4のプロセスプラットフォーム内の処理チャンバ100と後処理チャンバ300の配置の概略側面図である。より具体的には、図7は、単一のプロセスステーション内の処理チャンバ100と後処理チャンバ300の配置を示す。図示の実施形態では、処理チャンバは、後処理チャンバ300より上に配置されている。つまり、処理チャンバ100は、後処理チャンバ300上に積み重ねられている。図6に関して説明した実施形態と同様に、チャンバ100、300は、チャンバ100、300の開口部が中央領域514およびロボットに面して、基板の出入りを可能にするように、配置されている。
[0060]処理チャンバ100および後処理チャンバ300をプロセスステーション504、506、508、510のそれぞれの中に積み重ね配置で配置することによって、ロボットによるチャンバ100、300間の基板の移送時間が短縮され、スループットの向上が実現され得る。上記の実施形態は、基板がプロセスステーション504、506、508、510のうちの1つにおいて処理されることを予期したが、基板は、第1のプロセスステーションの処理チャンバ100および第1のプロセスステーションとは異なる第2のプロセスステーションの後処理チャンバ300において処理されてもよいことが、予期されている。
[0061]図8は、本明細書に記載の実施形態による、プロセスプラットフォーム800の斜視図を示す。プロセスプラットフォーム800の一例は、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なRAIDER(登録商標)プラットフォームである。他の製造業者からの他の適切に構成されたプラットフォームもまた、本明細書に記載の実施形態に従って利用され得ると考えられる。
[0062]プロセスプラットフォーム800は、ファクトリインターフェース802、中間モジュール804、サポートモジュール806、およびプロセスモジュール808を含む。ファクトリインターフェース802は中間モジュール804に結合されており、中間モジュール804はサポートモジュール806に結合されており、サポートモジュール806はプロセスモジュール808に結合されている。ファクトリインターフェース402は、複数の前方開口型統一ポッド(FOUP)820、例えば3つのFOUP820を含む。しかしながら、ファクトリインターフェース802は、プロセスモジュール808のスループット能力に応じて、より多いまたはより少ない数のFOUP820を利用できることが、予期されている。
[0063]プロセスモジュール808は、複数のプロセスステーション814を含む。プロセスステーション814は、各プロセスステーション814が積み重ねられた処理チャンバ100および後処理チャンバ300を含むという点で、プロセスステーション504、506、508、510と同様であり得る。図示の実施形態は、処理チャンバ100が後処理チャンバ30の上に配置されていることを示しているが(図7参照)、図6と同様に、後処理チャンバ300が処理チャンバ100の上に配置されてもよいことが、予期されている。したがって、プロセスモジュール808は、一致する数の処理チャンバ100および後処理チャンバ300を用いて実現されると考えられる。
[0064]一例では、プロセスモジュール808は、中央に配置されたロボットトラック(図示せず)の両側に配置された5つの処理チャンバ100および5つの後処理チャンバ300を含み、合計で10個の処理チャンバ100および10個の後処理チャンバ300を含む。5つのプロセスステーション814が示されているが、4つ(合計8つ)または6つ(合計12)のプロセスステーション814もまた有利に利用され得ることが、予期されている。複数の配管モジュール818もまた、プロセスモジュール808内に設けられている。この実施形態では、各プロセスステーション814は、専用の配管モジュール818を有する。ロボット512と同様のロボット(図示せず)もまた、プロセスモジュール808内に配置されて、プロセスステーション814のチャンバ100、300間およびプロセスモジュール808とサポートモジュール806との間で基板を移送するように動作してもよい。
[0065]サポートモジュール806は、複数の洗浄チャンバ822を含む。洗浄チャンバ822は、プロセスモジュール808のプロセスステーション814内でiFGPEB処理された基板をリンスしてスピン乾燥するように構成される。洗浄チャンバ822は、基板のデバイス面と裏面の両方を順次または同時にリンスするように構成されてもよい。洗浄チャンバ822はまた、プロセスモジュール808内での処理の前に基板を洗浄してもよい。複数の配管モジュール816もまた、サポートモジュール806内に設けられている。この実施形態では、洗浄チャンバ822を有する各洗浄ステーション812は、専用の配管モジュール812を有する。したがって、配管モジュール816は、洗浄工程を可能にするように構成された全ての必要な装置で基板の洗浄を支援するように構成される。いくつかの実施形態では、サポートモジュール806は、洗浄ステーション812とプロセスモジュール808または中間モジュール804との間で基板を移送するロボット(図示せず)を、さらに有することができる。あるいは、プロセスモジュールロボットが、基板移送を可能にするためにプロセスモジュール808とサポートモジュール806との間を移動してもよい。
[0066]中間モジュール804は、ファクトリインターフェース802とサポートモジュール806との間の基板移送の効率を向上させるための1つ以上のバッファステーション810を含む。バッファステーション810は、サポートモジュール806とプロセスモジュール808で実行されるプロセス工程間のプロセス時間の不一致を補整するために利用されてもよい。バッファステーション810はまた、サポートモジュール806での処理の前またはファクトリインターフェース802への返送の前に基板温度を調整するように温度制御されてもよい。
[0067]要約すると、本明細書に記載の実施形態は、iFGPEBおよび関連するプロセス工程を実行するための改善されたプラットフォームを提供する。積み重ねられた処理チャンバおよび後処理チャンバを有するプロセスステーションを利用することは、より効率的な基板移送およびスループットの増加を可能にする。加えて、様々な配管モジュール構成は、改善されたプロセスパラメータ調整およびメンテナンス作業を提供する。したがって、iFGPEB処理工程は、本明細書に記載の装置で有利に実施することができる。
[0068]上記は、本開示の実施形態に向けられているが、本開示の基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考え出すこともでき、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. ファクトリインターフェースと、
    配管モジュールと、
    前記ファクトリインターフェースと前記配管モジュールとの間に配置されたプロセスモジュールと、
    を備えるプラットフォーム装置であって、前記プロセスモジュールが、
    ロボットが配置されている中央領域と、
    前記中央領域の周囲に配置された複数のプロセスステーションであって、各プロセスステーションが積み重ね配置で処理チャンバと後処理チャンバとを含む、複数のプロセスステーションと、
    を備える、プラットフォーム装置。
  2. 前記処理チャンバが、
    処理空間を画定するチャンバ本体であって、前記処理空間の長軸が垂直に配向され、前記処理空間の短軸が水平に配向されている、チャンバ本体と、
    前記チャンバ本体に結合された可動ドアと、
    前記ドアに結合された第1の電極であって、その上に基板を支持するように構成された第1の電極と、
    前記チャンバ本体に結合された第2の電極であって、前記処理空間を少なくとも部分的に画定する第2の電極と、
    前記処理空間に隣接して前記チャンバ本体の側壁に形成された第1の複数の流体ポートと、
    前記第1の複数の流体ポートとは反対側で前記処理空間に隣接して前記チャンバ本体の前記側壁に形成された第2の複数の流体ポートと、
    を備える、請求項1に記載の装置。
  3. 前記第1の電極と前記ドアとの間に配置されたバッキングプレートを、さらに備える、請求項2に記載の装置。
  4. 第1の複数のチャネルおよび前記第1の複数の流体ポートを介して前記処理空間と流体連通しているプロセス流体源を、さらに備える、請求項2に記載の装置。
  5. 第2の複数のチャネルおよび前記第2の複数の流体ポートを介して前記処理空間と流体連通している流体出口を、さらに備える、請求項4に記載の装置。
  6. 前記第1の電極が、その上に基板を真空チャックするように構成されている、請求項2に記載の装置。
  7. 前記チャンバ本体が、ポリテトラフルオロエチレンから形成されている、請求項2に記載の装置。
  8. 前記後処理チャンバが、
    処理空間を画定するチャンバ本体と、
    前記処理空間内に配置された回転可能なペデスタルと、
    前記処理空間に洗浄流体を供給するように構成された流体供給アームと、
    モータによって昇降可能なシールドであって、前記回転可能なペデスタルの直径よりも大きい内径を有し、前記回転可能なペデスタルの半径方向外側に配置されたシールドと、
    を備える、請求項1に記載の装置。
  9. ファクトリインターフェースと、
    配管モジュールと、
    前記ファクトリインターフェースと前記配管モジュールとの間に配置されたプロセスモジュールと、
    を備えるプラットフォーム装置であって、前記プロセスモジュールが、
    ロボットが配置されている中央領域であって、前記ロボットが複数のエンドエフェクタを備え、前記エンドエフェクタが3つの軸で可動である、中央領域と、
    前記中央領域の周囲に配置された複数のプロセスステーションであって、各プロセスステーションが積み重ね配置で処理チャンバと後処理チャンバとを含む、複数のプロセスステーションと、
    を備える、プラットフォーム装置。
  10. 前記処理チャンバが、
    処理空間を画定するチャンバ本体であって、前記処理空間の長軸が垂直に配向され、前記処理空間の短軸が水平に配向されている、チャンバ本体と、
    前記チャンバ本体に結合された可動ドアと、
    前記ドアに結合された第1の電極であって、その上に基板を支持するように構成された第1の電極と、
    前記チャンバ本体に結合された第2の電極であって、前記処理空間を少なくとも部分的に画定する第2の電極と、
    前記処理空間に隣接して前記チャンバ本体の側壁に形成された第1の複数の流体ポートと、
    前記第1の複数の流体ポートとは反対側で前記処理空間に隣接して前記チャンバ本体の前記側壁に形成された第2の複数の流体ポートと、
    を備える、請求項9に記載の装置。
  11. 前記後処理チャンバが、
    処理空間を画定するチャンバ本体と、
    前記処理空間内に配置された回転可能なペデスタルと、
    前記処理空間に洗浄流体を供給するように構成された流体供給アームと、
    モータによって昇降可能なシールドであって、前記回転可能なペデスタルの直径よりも大きい内径を有し、前記回転可能なペデスタルの半径方向外側に配置されたシールドと、
    を備える、請求項9に記載の装置。
  12. ファクトリインターフェースと、
    前記ファクトリインターフェースに隣接して配置された中間モジュールであって、バッファステーションが前記中間モジュール内に配置されている、中間モジュールと、
    前記中間モジュールに隣接して配置されたサポートモジュールであって、複数の洗浄ステーションがその中に配置されているサポートモジュールと、
    前記サポートモジュールに隣接して配置されたプロセスモジュールと、
    を備えるプラットフォーム装置であって、前記プロセスモジュールが、
    複数のプロセスステーションであって、各プロセスステーションが積み重ね配置で処理チャンバと後処理チャンバとを含む、複数のプロセスステーションと、
    各プロセスステーション専用の配管モジュールと、
    を備える、プラットフォーム装置。
  13. 前記処理チャンバが、
    処理空間を画定するチャンバ本体であって、前記処理空間の長軸が垂直に配向され、前記処理空間の短軸が水平に配向されている、チャンバ本体と、
    前記チャンバ本体に結合された可動ドアと、
    前記ドアに結合された第1の電極であって、その上に基板を支持するように構成された第1の電極と、
    前記チャンバ本体に結合された第2の電極であって、前記処理空間を少なくとも部分的に画定する第2の電極と、
    前記処理空間に隣接して前記チャンバ本体の側壁に形成された第1の複数の流体ポートと、
    前記第1の複数の流体ポートとは反対側で前記処理空間に隣接して前記チャンバ本体の前記側壁に形成された第2の複数の流体ポートと、
    を備える、請求項12に記載の装置。
  14. 前記後処理チャンバが、
    処理空間を画定するチャンバ本体と、
    前記処理空間内に配置された回転可能なペデスタルと、
    前記処理空間に洗浄流体を供給するように構成された流体供給アームと、
    モータによって昇降可能なシールドであって、前記回転可能なペデスタルの直径よりも大きい内径を有し、前記回転可能なペデスタルの半径方向外側に配置されたシールドと、
    を備える、請求項12に記載の装置。
  15. 前記サポートモジュールの前記洗浄ステーションのそれぞれが、専用の配管モジュールを有する、請求項12に記載の装置。


JP2019532810A 2016-12-20 2017-11-03 露光後処理装置 Active JP6882483B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662436873P 2016-12-20 2016-12-20
US62/436,873 2016-12-20
US15/435,007 US9964863B1 (en) 2016-12-20 2017-02-16 Post exposure processing apparatus
US15/435,007 2017-02-16
PCT/US2017/059969 WO2018118230A1 (en) 2016-12-20 2017-11-03 Post exposure processing apparatus

Publications (2)

Publication Number Publication Date
JP2020502808A true JP2020502808A (ja) 2020-01-23
JP6882483B2 JP6882483B2 (ja) 2021-06-02

Family

ID=62045102

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019532810A Active JP6882483B2 (ja) 2016-12-20 2017-11-03 露光後処理装置

Country Status (7)

Country Link
US (4) US9964863B1 (ja)
EP (1) EP3559753B1 (ja)
JP (1) JP6882483B2 (ja)
KR (2) KR102284076B1 (ja)
CN (1) CN110036346B (ja)
TW (3) TW202349135A (ja)
WO (1) WO2018118230A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
WO2020149903A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. A film structure for electric field guided photoresist patterning process
JP7267215B2 (ja) * 2020-01-22 2023-05-01 東京エレクトロン株式会社 搬送装置、処理システム及び搬送方法
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
JP2024509727A (ja) * 2021-02-15 2024-03-05 アプライド マテリアルズ インコーポレイテッド フォトレジストの露光後ベークのための装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2007242854A (ja) * 2006-03-08 2007-09-20 Tokyo Electron Ltd 基板処理装置の制御装置および基板処理装置の制御方法
JP2016178185A (ja) * 2015-03-19 2016-10-06 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100239440B1 (ko) 1997-08-20 2000-01-15 김영환 화학 증폭형 포토레지스트의 패터닝 방법
KR100557579B1 (ko) 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
JPH11312640A (ja) * 1998-02-25 1999-11-09 Canon Inc 処理装置および該処理装置を用いたデバイス製造方法
US6187152B1 (en) 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
KR100811964B1 (ko) 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
JP3696156B2 (ja) 2000-12-26 2005-09-14 株式会社東芝 塗布膜の加熱装置、レジスト膜の処理方法
US20020096114A1 (en) 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US7160521B2 (en) 2001-07-11 2007-01-09 Applied Materials, Inc. Treatment of effluent from a substrate processing chamber
US6841342B2 (en) * 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7187796B1 (en) 2003-10-01 2007-03-06 Advanced Micro Devices, Inc. Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7274429B2 (en) 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
JP4282500B2 (ja) 2004-01-29 2009-06-24 株式会社東芝 構造検査方法及び半導体装置の製造方法
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
JP4410121B2 (ja) * 2005-02-08 2010-02-03 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法
JP4685584B2 (ja) * 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
US7780813B2 (en) 2005-06-09 2010-08-24 Alcatel-Lucent Usa Inc. Electric field mediated chemical reactors
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
JP4549959B2 (ja) 2005-09-14 2010-09-22 大日本スクリーン製造株式会社 基板処理装置
JP4771816B2 (ja) 2006-01-27 2011-09-14 大日本スクリーン製造株式会社 基板処理装置
US20080050679A1 (en) 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5430873B2 (ja) * 2008-04-16 2014-03-05 株式会社Sokudo 基板洗浄装置およびそれを備えた基板処理装置
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
US8097402B2 (en) 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
TWI556309B (zh) * 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5449239B2 (ja) 2010-05-12 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及びプログラムを記録した記憶媒体
US8485204B2 (en) * 2010-05-25 2013-07-16 Lam Research Ag Closed chamber with fluid separation feature
JP5174098B2 (ja) 2010-08-09 2013-04-03 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
TWI563550B (en) * 2011-01-06 2016-12-21 Screen Holdings Co Ltd Substrate processing method and substrate processing apparatus
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
JP5604371B2 (ja) * 2011-06-09 2014-10-08 東京エレクトロン株式会社 液処理装置および液処理方法
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US20130149852A1 (en) * 2011-12-08 2013-06-13 Tokyo Electron Limited Method for forming a semiconductor device
KR20160118205A (ko) * 2014-02-06 2016-10-11 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 전도성 및 균일성을 위해 축방향으로 대칭가능한 인라인 dps 챔버 하드웨어 설계
TWI665753B (zh) * 2014-06-05 2019-07-11 美商應用材料股份有限公司 多晶圓旋轉料架ald中的集成兩軸升降旋轉電動機的中央基座
US9280070B2 (en) 2014-07-10 2016-03-08 Applied Materials, Inc. Field guided exposure and post-exposure bake process
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6235974B2 (ja) * 2014-09-24 2017-11-22 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
WO2016070036A1 (en) * 2014-10-31 2016-05-06 Veeco Precision Surface Processing Llc A system and method for performing a wet etching process
CN107533288B (zh) 2015-05-28 2021-10-19 英特尔公司 用于解耦合光致抗蚀剂的扩散和溶解性切换机制的手段
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2007242854A (ja) * 2006-03-08 2007-09-20 Tokyo Electron Ltd 基板処理装置の制御装置および基板処理装置の制御方法
JP2016178185A (ja) * 2015-03-19 2016-10-06 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体

Also Published As

Publication number Publication date
US11262662B2 (en) 2022-03-01
US20180224754A1 (en) 2018-08-09
KR20210110340A (ko) 2021-09-07
TWI723235B (zh) 2021-04-01
JP6882483B2 (ja) 2021-06-02
WO2018118230A1 (en) 2018-06-28
US20210026257A1 (en) 2021-01-28
EP3559753A4 (en) 2020-09-30
US9964863B1 (en) 2018-05-08
KR102284076B1 (ko) 2021-07-30
EP3559753B1 (en) 2022-03-02
KR102483287B1 (ko) 2022-12-30
TWI812927B (zh) 2023-08-21
CN110036346B (zh) 2021-06-15
EP3559753A1 (en) 2019-10-30
TW202144927A (zh) 2021-12-01
US20190377272A1 (en) 2019-12-12
US10845715B2 (en) 2020-11-24
CN110036346A (zh) 2019-07-19
US10401742B2 (en) 2019-09-03
TW201837610A (zh) 2018-10-16
KR20190089229A (ko) 2019-07-30
TW202349135A (zh) 2023-12-16

Similar Documents

Publication Publication Date Title
JP6882483B2 (ja) 露光後処理装置
JP7389174B2 (ja) 露光後ベークのための装置
JP2021057596A (ja) フォトレジストウエハの露光後プロセスの方法及び装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190902

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190902

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210406

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210506

R150 Certificate of patent or registration of utility model

Ref document number: 6882483

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250