JP2019534559A - 半導体構造体を形成する方法および縦型トランスポートfet構造体 - Google Patents

半導体構造体を形成する方法および縦型トランスポートfet構造体 Download PDF

Info

Publication number
JP2019534559A
JP2019534559A JP2019520417A JP2019520417A JP2019534559A JP 2019534559 A JP2019534559 A JP 2019534559A JP 2019520417 A JP2019520417 A JP 2019520417A JP 2019520417 A JP2019520417 A JP 2019520417A JP 2019534559 A JP2019534559 A JP 2019534559A
Authority
JP
Japan
Prior art keywords
silicon
single crystal
source
vtfet
doped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019520417A
Other languages
English (en)
Other versions
JP6931052B2 (ja
Inventor
省吾 望月
省吾 望月
ジャガンナタン、ヘマンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2019534559A publication Critical patent/JP2019534559A/ja
Application granted granted Critical
Publication of JP6931052B2 publication Critical patent/JP6931052B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】VTFET構造体の上部ソースまたはドレイン領域を形成するための低温エピタキシャル・シリコン堆積を提供する。【解決手段】この方法は、一般には、第1の表面上および別の表面上に500℃未満の温度でドーパントを有するシリコン層をエピタキシャル成長させて、第1の表面上に単結晶シリコンを形成し、別の表面上にポリシリコンまたはアモルファス・シリコンを形成することを含む。次に、エピタキシャル成長させたシリコン層を500℃未満の温度で、別の表面上のポリシリコン/アモルファス・シリコンを選択的に除去するためと第1の表面上に形成された単結晶シリコンの外表面の上および中にゲルマニウム拡散領域を形成するために有効な時間だけ、HClとゲルマンとを含むエッチャントに暴露する。【選択図】図6

Description

本発明は、一般には半導体デバイス製造方法およびその結果の構造体に関する。より詳細には、本発明は、製造方法およびその結果としての縦型トランスポート電界効果トランジスタを含む半導体デバイス構造体に関する。
現代の半導体デバイス製造プロセスにおいては、単一のウエハ上に電界効果トランジスタ(FET)などの多数の半導体デバイスが作製される。縦型電界効果トランジスタ(VFET)など、ある種の非平面トランジスタ・デバイス・アーキテクチャは、アクティブ領域の外部に接触させることができる半導体フィンとサイドゲートとを採用し、その結果、横型デバイスよりもデバイス密度およびパフォーマンスが向上している。縦型トランスポート電界効果トランジスタ(VTFET)は、とりわけ、回路占有面積の縮小という点で利点があるため、標準横型FET構造に代わる有望な構造の1つである。この種の構造では、フィンFETにおける横方向の電流の流れとは異なり、電流の流れが支持ウエハに対して垂直である。
本発明は、半導体構造体を形成する方法、VTFETデバイスに上部ソースまたはドレイン領域を形成する方法およびVTFET構造体を提供する。
本発明の1つまたは複数の実施形態によると、半導体構造体を形成する方法が提供される。この方法は、単結晶シリコンを含む第1の表面と、誘電材料を含む少なくとも1つの別の表面とを含む基板を設けることを含む。基板上に、ドーパントを有するシリコン層を500℃未満の温度でエピタキシャル成長させて、第1の表面上の単結晶シリコンと、別の表面上のポリシリコン/アモルファス・シリコンとを形成する。エピタキシャル成長させたシリコン層は、500℃未満の温度でHClとゲルマンとを含むエッチャントに、別の表面上のポリシリコン/アモルファス・シリコンを選択的に除去するとともに第1の表面上に形成された単結晶シリコンの外面の上および中にゲルマニウム拡散領域を形成するのに有効な時間だけ暴露される。
1つまたは複数の実施形態では、VTFETデバイスにおける上部ソースまたはドレイン領域を形成する方法が、部分的VTFET構造体を含む半導体基板をエピタキシャル堆積チャンバ内に配置することを含む。部分的VTFET構造体は、基板と、底部ドープ・ソースまたはドレイン領域と、底部ドープ・ソースまたはドレイン領域から延びる縦向きチャネル領域と、チャネル領域の上で、底部ドープ・ソースまたはドレイン領域の一部に重なる底部スペーサと上部スペーサとの間にあるゲート・スタックとを含む。部分的VTFET構造体の堆積面は、誘電材料と、チャネル領域の最上部とを含む。材料層の堆積のために、堆積面に第1のソース・ガスが500℃未満の温度で当てられる。第1のソース・ガスは、リン含有ガスと、SiHとSiとSIとSi10とからなるグループから選択されたシリコン含有ガスとを含む。誘電材料上にドープ・ポリシリコン/アモルファス・シリコン層が形成され、チャネル領域の露出された最上部上にドープ単結晶シリコン層が形成される。表面改質およびエッチングのために第2のソース・ガスが当てられる。第2のソース・ガスは、HClとゲルマンとを含む。表面改質は、ドープ単結晶シリコンに対してドープ・ポリシリコン/アモルファス・シリコン層の一部を選択的に除去するとともにVTFETデバイスに上部ソースまたはドレイン領域を形成するように、HClのエッチャントとの接触時にゲルマニウム原子拡散部分を除去可能にするために、ゲルマニウム原子をポリシリコン/アモルファス・シリコンの一部に選択的に拡散させる。
1つまたは複数の実施形態では、VTFET構造体は、フィン構造の縦向きチャネル領域から延びる上部ソースまたはドレイン領域の外面に形成されたゲルマニウム原子拡散領域を含むドープ単結晶シリコンの上部ソースまたはドレイン領域を含み、縦向きチャネル領域は、底部ソースまたはドレイン領域と上部ソースまたはドレイン領域との間に延びる。
本発明の対象物は、本明細書の末尾にある特許請求の範囲で具体的に示され、明確に定義されている。上記およびその他の特徴および利点は、添付図面とともに以下の詳細な説明を読めば明らかになる。
本発明の1つまたは複数の実施形態による、上部ソースまたはドレイン領域の形成までのVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、誘電体面上にドープ・ポリシリコン/アモルファス・シリコンが形成され、チャネル領域面上にドープ単結晶シリコンが形成された、図1のVTFET構造体上のドープ・シリコン層の低温堆積後のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、基板をHClとゲルマンとのガス状混合物に暴露してドープ・ポリシリコン/アモルファス・シリコンの一部を選択的に改質した後の、図2のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、基板をHClとゲルマンとのガス状混合物に継続して暴露して、改質部分を選択的にエッチングし、ドープ・ポリシリコン/アモルファス・シリコンの別の一部を選択的に改質した後の、図3のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、基板をHClとゲルマンとのガス状混合物に継続して暴露して、上記別の改質部分を選択的にエッチングし、ドープ・ポリシリコン/アモルファス・シリコンの残りの部分を選択的に改質した後の、図4のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、基板をHClとゲルマンとのガス状混合物に継続して暴露して、ポリシリコン/アモルファス・シリコンの上記残りの改質部分を選択的にエッチングした後の、図5のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、層間誘電体堆積と、上部ソースまたはドレイン領域への接点の形成との後の、図6のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、図1のVTFET構造体上のドープ・シリコン層の低温堆積と基板のHClとゲルマンとのガス状混合物への暴露とのサイクル後の、図1のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、図のVTFET構造体がドープ・シリコン層の低温堆積と基板のHClとゲルマンとのガス状混合物への暴露との1サイクル後のものである、誘電体面を越えて延びるチャネル領域を含む図1のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、図のVTFET構造体がドープ・シリコン層の低温堆積と基板のHClとゲルマンとのガス状混合物への暴露とのサイクルの後のものである、誘電体面を越えて延びるチャネル領域を含む図1のVTFET構造体の断面図を示す図である。 本発明の1つまたは複数の実施形態による、低温堆積によりシリコン基板およびシリコン窒化物ハード・マスク層上に堆積されたリン・ドープ・シリコン層の顕微鏡写真を示す図である。 本発明の1つまたは複数の実施形態による、HClのエッチャントへの暴露後の、シリコン基板およびシリコン窒化物ハード・マスク層上に堆積された図11のリン・ドープ・シリコン層を示す図である。 本発明の1つまたは複数の実施形態による、HClとゲルマンとのエッチャントへの暴露後の、シリコン基板およびシリコン窒化物ハード・マスク層上に堆積された図11のリン・ドープ・シリコン層を示す図である。 本発明の1つまたは複数の実施形態による、ポリシリコン/アモルファス・シリコンを基板から選択的に除去するのに有効な時間だけHClとゲルマンとのエッチャントに暴露した後の、シリコン基板およびシリコン窒化物ハード・マスク層上に堆積された図11のリン・ドープ・シリコン層を示す図である。
本発明は、一般には、VTFET構造体のための上部ソース/ドレインを形成するためにドープ・シリコンの非選択的低温堆積を使用した、VTFET構造体およびそのVTFET構造体の形成方法を対象とする。以下でより詳細に説明するように、この方法およびその結果としてのVTFET構造体は、一般に、非選択的堆積シリコンを表面改質し、それに続いてエッチングを行うことを含む。表面改質およびエッチングは、500℃未満の比較的低温で表面をHClとゲルマン(GeH)とのガス状混合物に暴露することを利用する。この表面改質およびエッチングは、シリコンの非選択的堆積と同じエピタキシャル・チャンバ内で行うことができるので有利である。
本明細書では、本発明の様々な実施形態について関連図面を参照しながら説明する。本発明の範囲から逸脱することなく、他の実施形態も考案することができる。なお、以下の説明および図面では、要素間の様々な接続および位置関係(例えば、上、下、隣接など)が記載されている。これらの接続または位置関係あるいはその両方は、特に記載のない限り、直接または間接とすることができ、本発明はこれに関して限定的であることを意図しない。したがって、実体間の結合とは、直接的または間接的結合を指すことがあり、実体間の位置関係は直接的または間接的位置関係であり得る。
特許請求の範囲および本明細書の解釈のために以下の定義および略語を使用するものとする。本明細書で使用される「含んでいる(comprises)」、「含む(comprising)」、「含んでいる(includes)」、「含む(including)」、「有している(has)」、「有する(having)」、「含有している(contains)」、または「含有する(containing)」あるいはこれらの任意の他の変形は、非排他的包含を含むものと意図されている。例えば、列挙されている要素を含む組成、混合物、プロセス、方法、品目、または装置は、必ずしもそれらの要素のみに限定されず、明示的に列挙されていない他の要素、またはそのような組成、混合物、プロセス、方法、品目または装置に特有の他の要素も含み得る。
さらに、本明細書では「例示の」という用語を使用して、「例、事例または例示となる」ことを意味する。「例示の」として本明細書に記載されているいずれの実施形態または設計も、必ずしも、他の実施形態または設計よりも好ましいかまたは有利であるものと解釈されるべきではない。「少なくとも1つの」および「1つまたは複数の」という用語は、1以上の任意の整数、すなわち1、2、3、4などを含むものと理解される。「複数の」という用語は、2以上の任意の整数、すなわち、2、3、4、5などを含むものと理解される。「接続」という用語は、間接的な「接続」と直接的な「接続」とを含み得る。
本明細書で「一実施形態」「ある実施形態」、「例示の実施形態」などと言う場合、それは、記載されているその実施形態が、特定の特徴、構造または特性を含み得ることを示している。また、そのような語句は必ずしも同じ実施形態を指していない。さらに、ある実施形態に関連して特定の特徴、構造または特性が記載されている場合、明示的に記載されているか否かを問わず、他の実施形態に関連してそのような特徴、構造または特性に影響を及ぼすことが当業者の知識の範囲内にあるものと認められる。
以下の説明において、「上部」、「下部」、「右」、「左」、「垂直すなわち縦」、「水平」、「最上部」、「底部」という用語およびこれらの派生語は、記載されている構造および方法に対して、図面における向きの通りの関係にあるものとする。「重なっている」、「〜の上に(atop)」、「〜上に(on top)」、「〜の上に位置する」または「〜上に位置する」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素の上に存在することを意味し、その際、第1の要素と第2の要素との間にインターフェース構造などの介在要素が存在し得る。「直接接触」という用語は、第1の構造などの第1の要素と、第2の構造などの第2の要素とが、それら2つの要素の接合部に中間の導電層、絶縁層または半導体層なしに接続されることを意味する。なお、例えば「第1の要素が第2の要素に対して選択的」などの、「〜に対して選択的」という用語は、第1の要素がエッチングされることができ、第2の要素がエッチ・ストップとして機能することができることを意味する。
簡潔にするために、本明細書では半導体デバイスおよび集積回路(IC)製造に関する従来の技術については詳述しない。また、本明細書に記載の様々な作業およびプロセス工程は、本明細書に詳細に記載されていない追加の工程または機能を有するより包括的な手順またはプロセスに組み込むことができる。具体的には、半導体デバイスおよび半導体ベースのICの製造における様々な工程が周知であり、したがって、簡潔にするために、本明細書では多くの従来の工程については、プロセスの周知の詳細を示さずに、簡単に言及するだけであるか、またはまったく省くものとする。
ただし、背景として、本発明の1つまたは複数の実施形態の実装において利用可能な半導体デバイス製造プロセスのより概説的な説明を以下に示す。本発明の1つまたは複数の実施形態を実装する際に使用される具体的な製造作業は個々には知られている場合があるが、本発明の作業またはその結果の構造あるいはその両方の、記載されている組み合わせは独自のものである。したがって、本発明によるVTFETデバイスにおける上部ソース/ドレインを形成するための非選択的低温堆積プロセスを利用する半導体デバイスの製造に関連して記載されている作業の独自の組み合わせは、半導体(例えばシリコン)基板上で行われる様々な個別に知られた物理的および化学的プロセスを利用しており、そのうちのいくつかについて以下の各段落で説明する。
一般に、ICにパッケージ化されるマイクロチップを形成するために使用される様々なプロセスは、4つの大まかなカテゴリ、すなわち、膜堆積と、除去/エッチングと、半導体ドーピングと、パターン形成/リソグラフィとに分類される。堆積は、ウエハ上に材料を成長、コーティング、またはその他の方法で移す任意のプロセスである。利用可能な技術としては、物理気相堆積(PVD)、化学気相堆積(CVD)、電気化学堆積(ECD)、分子線エピタキシ(MBE)、および最近では原子層堆積(ALD)などがある。
除去/エッチングは、ウエハから材料を除去する任意のプロセスである。例としては、エッチング・プロセス(ウェットまたはドライ)、化学機械平坦化(CMP)などがある。例えば、反応性イオン・エッチング(RIE)は、化学反応性プラズマを使用して、露出表面から材料の一部を取り除くイオンの衝撃に材料をさらすことにより、半導体材料のマスクされたパターンなどの材料を除去するドライ・エッチングの一種である。プラズマは、電磁界により低圧(真空)下で生成される。
半導体ドーピングは、例えば、一般には拡散またはイオン注入あるいはその両方によってトランジスタのソースおよびドレインをドーピングすることによる電気特性の改変である。これらのドーピング・プロセスの後に、炉アニールまたは高速熱アニール(RTA)が行われる。アニールは、注入されたドーパントを活性化する役割を果たす。導体(例えばポリシリコン、アルミニウム、銅など)と絶縁体(例えば様々な形態の二酸化シリコン、シリコン窒化物など)の両方の膜を使用して、トランジスタとその構成要素を接続および分離する。半導体基板の様々な領域の選択的ドーピングによって、電圧の印加により基板の導電率を変化させることができる。これらの様々な構成要素からなる構造を形成することによって、数百万個のトランジスタを作製し、互いに配線して最新のマイクロエレクトロニクス・デバイスの複雑な回路を形成する。
半導体リソグラフィは、後でパターンを基板に転写するために、半導体基板上に3次元レリーフ・イメージまたはパターンを形成することである。半導体リソグラフィでは、フォトレジストと呼ばれる感光性ポリマーによってパターンが形成される。トランジスタを構成する複雑な構造と、回路の数百万個のトランジスタを接続する多数の配線とを作製するために、リソグラフィ工程とエッチ・パターン転写工程とが複数回繰り返される。ウエハ上にプリントされる各パターンは、その前に形成されたパターンと位置合わせされ、導体、絶縁体および選択的ドープ領域が徐々に構築されて最終的なデバイスを形成する。
次に、本発明に関連する技術のより詳細な説明に移ると、本明細書で前述したように、縦型トランスポート電界効果トランジスタ(VTFET)などの一部の非平面トランジスタ・デバイス・アーキテクチャは、アクティブ領域の外部に接触させることができる半導体フィンとサイドゲートとを採用し、その結果、横型デバイスよりもデバイス密度とパフォーマンスが向上する。
本発明の実施形態による、VTFET構造体の上部ソース/ドレイン領域を形成するための非選択的低温堆積プロセスを含む方法およびその結果としての構造について、図1ないし図10の添付図面を参照しながら以下に詳細に説明する。これらの方法は、低温堆積プロセスに加えて、堆積ドープ・ポリシリコンまたはアモルファス・シリコンあるいはその両方の表面改質と、その、上部ソース/ドレインの堆積ドープ単結晶シリコンに対する選択的エッチングとを含む。
図1に、上部ソースまたはドレイン・モジュール(以下、「ソース/ドレイン」と呼ぶ)の形成までのVTFET構造体100を表す断面図を示す。本発明はこの特定の構造には限定されず、上部ソース/ドレイン・モジュールの形成までのVTFETのいかなる特定の形成方法にも限定されないものと意図されていることはわかるであろう。当業者には、上部ソース/ドレイン・モジュールの直前のVTFET構造体は、平面を含むことができ、あるいは、トポグラフィを含むことができることがわかるであろう。
上部ソース/ドレイン・モジュールの形成までのVTFET構造体100は、概ね、図1に示すように以下の構成要素を含む。まず、構造体100は、基板104上に形成された底部エピタキシ領域102を含み、底部エピタキシ領域は、底部ソースまたはドレイン領域を画定するようにドーピングされる。底部エピタキシ領域102のドーピングは、例えば拡散またはイオン注入あるいはその両方などの様々な方法によって基板104に形成されたソースまたはドレイン領域として構成されることができる。
基板104は、例えば、Si、Ge、SiGe、SiC、SiGeC、II/IV、および例えばInAs、GaAsおよびInPなどのIII/V化合物半導体を含むがこれらには限定されない、任意の半導体材料などの任意の適合する基板材料とすることができる。これらの半導体材料からなる多層構造も基板104として使用することができる。1つまたは複数の実施形態において、および、基板104がバルク半導体基板の残りの半導体材料部分である場合、基板104は、例えば単結晶シリコンなどの単結晶半導体材料からなり得る。ある実施形態では、バルク半導体基板の残りの半導体部分の結晶方位は、{100}、{110}、{111}または、周知の結晶方位のうちの他の任意の結晶方位とすることができる。ある実施形態において、および、基板104がバルク半導体基板の残りの半導体材料部分である場合に、以下で詳細に説明するように、各半導体フィンが、基板104と同じ半導体材料または基板104と異なる半導体材料を含むことができる。
別の実施形態では、基板104は、セミコンダクタ・オン・インシュレータ(Semiconductor−on−insulator:SOI)基板(具体的に図示せず)の絶縁層を少なくとも含む。具体的に図示されていないが、当業者には、SOI基板はハンドル基板と、ハンドル基板の上面上に位置する絶縁体層と、絶縁体層の最上面上に位置する半導体層とを含むことがわかる。ハンドル基板は、絶縁体層および半導体層に機械的支持を与える。このようなSOI基板の半導体層は、半導体フィンに加工することができる。
SOI基板のハンドル基板と半導体層とは、同じかまたは異なる半導体材料を含むことができる。本明細書でハンドル基板および半導体層の半導体材料に関連して使用される「半導体」という用語は、例えば、Si、Ge、SiGe、SiC、SiGeC、II/VI、および例えばInAs、GaAsまたはInPなどのIII/V化合物半導体を含む、任意の半導体材料を指す。これらの半導体材料からなる多層構造を、ハンドル基板および半導体層の半導体材料として使用することも可能である。1つまたは複数の実施形態では、ハンドル基板と半導体層の両方がシリコンで形成される。ある実施形態では、ハンドル基板は、例えば誘電材料または導電材料あるいはその両方を含む、非半導体材料である。さらに他の実施形態では、ハンドル基板を省くことができ、基板104は絶縁体層のみを含む。
1つまたは複数の実施形態では、ハンドル基板と半導体層とは、同じかまたは異なる結晶方位を有することができる。例えば、ハンドル基板または半導体層あるいはその両方の結晶方位は、{100}、{110}、または{111}とすることができる。これらの具体的に挙げたものに加えて、他の結晶方位も本願において使用可能である。SOI基板のハンドル基板または半導体層あるいはその両方は、単結晶半導体材料、多結晶材料、またはアモルファス材料とすることができる。典型的には、少なくとも半導体層が単結晶半導体材料である。
SOI基板の、基板104として採用することができる絶縁層は、結晶または非結晶酸化物または窒化物あるいはその両方とすることができる。一実施形態では、絶縁層は、例えば二酸化シリコンなどの酸化物である。別の実施形態では、絶縁層は、例えばシリコン窒化物または窒化ホウ素などの窒化物である。さらに他の実施形態では、絶縁層は、二酸化シリコンと、シリコン窒化物または窒化ホウ素の一方との任意の順序の多層積層体である。
SOI基板は、例えばSIMOX(Separation by IMplantation of OXygen)または層転写を含む標準プロセスを利用して形成することができる。層転写プロセスが採用される場合、2つの半導体ウエハを互いに接着した後で任意選択の薄化工程を行うことができる。この任意選択の薄化工程は、半導体層の厚さを薄くして、より望ましい薄さを有する層とする。
一例として、SOI基板の半導体層の厚さは10nmないし100nmとすることができる。上記の厚さ範囲より薄いかまたは厚いその他の厚さも、SOI基板の半導体層の厚さとして使用可能である。SOI基板の絶縁体層は、1nmないし200nmの厚さを有することができる。上記の厚さ範囲より薄いかまたは厚いその他の厚さも絶縁体層として採用可能である。
図1に示す第1の例示の半導体構造体は、最初に、(上記で定義したような)バルク半導体基板または(上記で定義したような)SOI基板を設けることによって形成可能である。基板上に形成される隣接し合うデバイスは、シャロー・トレンチ・アイソレーション領域105によって分離されることができる。シャロー・トレンチ・アイソレーション領域105は、半導体デバイス製造プロセスの初期段階、例えば例示のVTFETなどのトランジスタが形成される前に、形成することができる。シャロー・トレンチ・アイソレーション領域105を形成するための重要なステップは、典型的には、基板104にトレンチのパターンをエッチングすることと、トレンチを埋めるように1つまたは複数の誘電材料(二酸化シリコンなど)を堆積させることと、化学機械平坦化などの技術を使用して余分な誘電体を除去することとを含む。
底部エピタキシ領域102上に縦向き半導体フィン106が形成され、底部エピタキシ領域102に結合される。半導体フィン106を形成する任意の知られている組成および方式を使用することができる。1つまたは複数の実施形態では、パターン形成されたハード・マスク(図示せず)をエッチングして基板104の一部を露出させる。基板104の露出部分を除去して、複数あるうちの2つが図示されている複数の半導体フィンを形成することができる。次に、パターン形成されたハード・マスクを、ウェット・エッチング・プロセスまたはドライ・エッチング・プロセスによって除去する。ゲート金属112と、high k(高誘電率)誘電領域114と、仕事関数金属116とを含むゲート・スタック110が、半導体フィン106のチャネル領域108の上に形成される。ゲート・スタック110を形成する任意の知られている組成および方式を利用することができる。ゲート・スタック110は、VTFETを形成するための作業中に、例えば、タングステン(W)、窒化チタン(TiN)などで形成することができる。ある実施形態では、ゲート・スタック110の仕事関数を改変するように、high k誘電領域114が、ゲート・スタック110と半導体フィン106との間に形成される。high k誘電領域114は、2成分または3成分high k誘電膜、例えばHfO、La、Al、TiO、HfSiO、LaAlOなどで形成することができる。
ある実施形態では、ゲート・スタック110と底部エピタキシ領域102との間に底部スペーサ118が形成される。底部スペーサ118は、例えば、low k(低誘電率)窒化物、シリコン窒化物(SiN)、シリコン酸素窒化炭素(SiOCN),またはシリコンボロン窒化炭素(SiBCN)などの任意の適合する材料とすることができる。ある実施形態では、ハード・マスクとして機能することができるスペーサ120を半導体フィン106に重ねて形成することができる。スペーサ120は、例えば、SiNなどの任意の適合する材料とすることができ、底部スペーサ118と同じかまたは異なるものとすることができる。
構造体100は、層間誘電層(ILD)122をさらに含む。ILD122を形成する任意の知られている組成および方式を利用することができる。一例として、ILDは、無機誘電体または有機誘電体を含む任意の誘電材料を含むことができる。誘電材料として使用可能な適合する誘電体のいくつかの例としては、SiO、シルセスキオキサン、Si、C、OおよびHの原子を含む炭素ドープ酸化物(すなわち有機シリケート)、熱硬化性ポリアリーレン・エーテル、またはこれらからなる多層構造などがあるが、これらには限定されない。「ポリアリーレン」という用語は、例えば、酸素、硫黄、スルホン、スルホキシド、カルボニルなどの、化学結合、縮合環、または不活性連結基によって結合された、アリール部分または不活性置換アリール部分を指すために使用されている。ILDは、当技術分野で一般に知られているように、スピン・オン・プロセス、PECVDプロセスなどによって堆積させることができる。さらに他の実施形態では、上部スペーサ118に対して選択的な化学機械平坦化(CMP)を使用して、ILDの余分な部分(オーバーバーデンと呼ばれる)を除去する。
図のように、半導体フィン106の表面が露出される。表面を露出させる任意の知られている方式を利用することができる。ある実施形態では、方向性エッチ・バックによって表面500を露出させる。
次に図2を参照すると、リン・ドープ・シリコン層の非選択的低温堆積後の、構造体100の断面図が示されている。先進的ゲート・スタック材料の熱履歴の限度のために、500℃未満の温度の低温堆積が一般に望まれる。しかし、上述のように低温堆積は一般には非選択的であり、シリコン成長のためにSiH、Si、Si、SiH110などのプリカーサを含むシリコンを利用する。リンのドーピングのために、PHをガス源として使用することができる。非選択的低温成長プロセスを使用して、誘電体表面、例えば122、120に、リン・ドープ半結晶(すなわちポリシリコン)またはリン・ドープ非結晶アモルファス・シリコンあるいはその両方(本明細書では「ポリ/アモルファス・シリコン」と呼ぶ)を形成することができ、フィン構造106のチャネル領域108の露出表面上にリン・ドープ・単結晶シリコンを形成することができる。異なる結晶方位における成長速度の違いにより、チャネル108上に単結晶シリコンの多面上部ソース/ドレイン領域が形成され、これは菱形(diamond)形状として図示されている。
選択的エピタキシを実現するための低温プロセスに付随する課題の1つは、ポリ/アモルファス層のエッチ速度が大幅に低下することである。また、ポリ/アモルファス・シリコンと単結晶シリコンとのエッチ速度差はほぼ同じである。
図3に、ポリ/アモルファス・シリコン層132の一部150の表面改質後の構造体100の断面図が示されている。この部分150の改質は、エピタキシャル・チャンバ内で500℃未満の温度でHClとゲルマン(GeH)とを含むガス状混合物の低温フロー・プロセスにさらすことによってもたらされる。このガス状混合物から提供されるゲルマニウム原子は、きわめて低い単結晶シリコンよりも著しく速い速度でポリ/アモルファス・シリコン層内に拡散することがわかっている。また、ゲルマニウム原子は、ポリ/アモルファス・シリコン層の一部に拡散し、その後、改質された表面はガス状混合物中に存在するHClによるHClエッチングでエッチングされやすい。ポリ/アモルファス・シリコン層132の一部150へのゲルマニウム原子の拡散がない場合、ポリ/アモルファス・シリコン層は、HClエッチングに対して耐性を示す。すなわち、ゲルマンへの暴露で表面が改質されて初めて、エッチング速度が著しく速くなる。シリコン単独でのHClエッチング速度は、ポリシリコンかアモルファス・シリコンか単結晶シリコンかを問わず、ごく低く、すなわちゼロに近い。
表面改質およびエッチング・プロセスは、一般に、温度、圧力、時間、ゲルマンに対するHClの比、シリコン中のリン・ドーピング濃度などに依存する。これらのパラメータの変更は、十分に当業者の技術の範囲内である。
500℃未満の温度でのポリ/アモルファス層へのゲルマニウム原子の拡散とガス状HClへの同時暴露との結果として、ポリ/アモルファス層132のゲルマニウム拡散部分150が図4に図示されている点線のエッチングされた領域で示されているように除去された後の構造体100の断面図が、図4に示されている。さらに、ガス状混合物中に存在するゲルマンが、ポリ/アモルファス・シリコン層132の別の部分152に拡散するゲルマニウム原子を提供する。
図5に、部分152の除去と、ポリ/アモルファス・シリコン層132の残りの部分154におけるゲルマニウム原子による表面改質の後の、構造体100の断面図が示されている。参照番号160で示されているように、数オングストローム程度の小量のゲルマニウムが、リン・ドープ単結晶シリコンの露出表面内に拡散する。図のように、上部ソースまたはドレイン領域を画定するリン・ドープ単結晶シリコン134は、結晶構造への限定されたゲルマニウム原子拡散をもたらす。一方、ポリ/アモルファス・シリコン層132内へのゲルマニウム原子の拡散率はそれより著しく高く、これによって、単結晶シリコン134に対してポリ/アモルファス・シリコン層132を除去するように、選択的エッチングが可能になる。単結晶シリコン中のゲルマニウム原子の存在は、特に、複数のゲルマニウム原子拡散結晶シリコン層を含む構造の場合に検出可能である。
図6に、ポリ/アモルファス・シリコン層132の残りの部分154の選択的エッチング後の構造体100の断面図が示されている。
図7に、ILD162の堆積と基板104上に形成されたVTFETへの接点164の形成後の、構造体100の断面図が示されている。一例として、光リソグラフィおよびエッチングによって接点開口166を形成することができる。リソグラフィ工程は、構造体100上にフォトレジスト(有機、無機またはハイブリッド)を形成することを含み得る。1つまたは複数の実施形態では、フォトレジストは、構造体100の上面上に直接形成することができる。フォトレジストは、化学気相堆積、プラズマ気相堆積、スパッタリング、浸漬コーティング、スピン・オン・コーティング、ブラッシング、スプレーなどの従来の堆積技術を使用して堆積させることができ、その他の類似の堆積技術も採用することができる。フォトレジストの形成後、フォトレジストをX線照射、極紫外線(EUV)照射、電子ビーム照射などの照射の所望のパターンに露出させる。次に、露出させたフォトレジストを従来のレジスト現像プロセスを使用して現像する。
現像工程の後、パターン形成されたフォトレジストからパターンを層間誘電体に転写するためにエッチング工程を行うことができる。少なくとも1つの開口の形成で使用されるエッチング工程は、ドライ・エッチング・プロセス(例えば反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、またはレーザ・アブレーションを含む)、ウェット化学エッチング・プロセス、またはこれらの任意の組み合わせを含むことができる。次に、フィン106の上部ソース/ドレイン領域に電気的に接続するように、接点開口に導電材料を充填する。
次に図8を参照すると、1つまたは複数の実施形態によるVTFET構造体200の断面が示されている。上部ソース/ドレイン・モジュールの形成までのVTFET構造体200の形成は、上述の図1で概説した通りとすることができる。図のように、上部ソースまたはドレイン領域202は、ゲルマニウム原子拡散領域204と単結晶シリコン206の1つまたは複数の層を含む。上述のようなシリコンの低温非選択的堆積を、上述のような表面改質/エッチング・プロセスとともに繰り返すことができる。それぞれの層204、206の厚さを制御するために、個々のサイクルの長さを使用することができる。また、上述のプロセスとは異なり、この堆積およびエッチング・プロセスが繰り返されるため、ゲルマニウム拡散領域の厚さは著しくより薄くすることができる。
一例として、非選択的低温堆積プロセスは、VTFET構造体200上にシリコンを第1の厚さだけ堆積させ、その後、ゲルマンとHClとのガス状混合物を使用した低温表面改質/エッチング・プロセスを行い、それによってポリ/アモルファス・シリコン内に著しくより高い度合いで拡散させながら単結晶シリコン上にゲルマニウム拡散領域の比較的薄い層を形成し、その一部がHClガスへの暴露によって除去されるように構成することができる。このプロセスが繰り返されて、第2の厚さでシリコンの追加の層の低温エピタキシャル堆積を設け、次に、これに前述のようにゲルマンとHClとのガス状混合物を使用した低温表面改質/エッチングを施すことができる。堆積−エッチング・サイクル・プロセスは、所望の回数だけ繰り返すことができる。その結果のVTFET構造体200は、単結晶シリコンを備えたゲルマニウム拡散領域の複数の薄い層を有する上部ソースまたはドレイン領域を含む。
次に図9を参照すると、1つまたは複数の実施形態によるVTFET構造体300の断面図が示されている。フィン構造306のチャネル領域308が、上部スペーサ120と層間誘電体122とによって画定される面の上に延びている点を除いて、上部ソース/ドレイン・モジュールの形成までのVTFET構造体300の形成は上記の図1で概説した通りとすることができる。図のように、上部ソースまたはドレイン領域310は、ゲルマニウム原子拡散領域312の単一の層を含む。ゲルマニウム拡散領域312の単一の層の形成は、図1ないし図7に関連して説明したのと同様の方式で形成することができる。すなわち、VTFET構造体の最上面上に非選択的リン・ドープ・シリコンを成長させた後、500℃未満の低温でHClとゲルマンとのガス状混合物に暴露する。単結晶シリコン310がチャネル領域308の露出表面上に形成され、ポリ/アモルファス・シリコン(図示せず)が誘電体表面120、122上に形成される。前述のように、ゲルマンとHClとのガス状混合物を使用した低温表面改質/エッチングにより、ポリ/アモルファス・シリコンを選択的に除去し、単結晶シリコン310上にゲルマニウム拡散領域312を形成する。
図10に、1つまたは複数の実施形態によるVTFET構造体400の断面図が示されている。フィン構造406のチャネル領域408が、上部スペーサ120と層間誘電体122とによって画定される面の上に延びている点を除いて、上部ソース/ドレイン・モジュールの形成までのVTFET構造体400の形成は、上記の図1で概説した通りとすることができる。図のように、上部ソースまたはドレイン領域406は、ゲルマニウム原子拡散領域412の複数の層を含む。ゲルマニウム拡散領域412の複数の層の形成は、図8に関連して説明したのと同様の方式で形成することができる。すなわち、リン・ドープ・シリコンの非選択的堆積と、HClとゲルマンとのガス状混合物による表面改質/エッチングとを500℃未満の低温で反復的に繰り返す。チャネル領域408の露出表面上にゲルマニウム拡散領域の複数の層を有する単結晶シリコン410が形成され、ポリ/アモルファス・シリコン(図示せず)が除去される。
次に、走査電子顕微鏡写真11ないし16を参照すると、低温非選択的堆積によって形成された、リン・ドープ・シリコン層の断面が示されている。図11に示すように、シリコン窒化物ハード・マスク上にリン・ドープ・ポリシリコンまたはアモルファス・シリコンが形成され、単結晶シリコン基板上にリン・ドープ単結晶シリコンが形成されている。堆積シリコン層の厚さは比較的均一であり、単結晶シリコンは、約26ナノメートル(nm)の厚さを有し、ポリシリコンは約24nmの厚さを有していた。
図12は、エピタキシャル・チャンバ内でガス状のHClのエッチャントに暴露された後の、図11のリン・ドープ・シリコン層を示す。図のように、エピタキシャル・リン・ドープ・シリコン層は、HClのエッチャントに対して実質的に耐性を示した。最初のエピタキシャル堆積の厚さが約26ナノメートル(nm)であるのに対して、HClのエッチャントへの暴露後の単結晶シリコンの厚さは約25.5nmであった。同様に、HClのエッチャントへの暴露後のポリシリコンまたはアモルファス・シリコンの厚さは約24nmで、最初の厚さとほぼ同じであった。
図13に、低温でのエピタキシャル・チャンバ内でのガス状HClとゲルマンへの暴露後の図11のリン・ドープ・シリコン層を示す。図のように、ポリシリコンまたはアモルファス・シリコン層の一部がエッチングされて、最初の厚さの約10nmが除去され、すなわち、最初の厚さ24nmからエッチング後の厚さ14nmとなった。それに対して、リン・ドープ・単結晶シリコンは、エッチングに対して実質的に耐性を示し、すなわち、最初の厚さが約26nmであり、HClとゲルマンとのガス状混合物への暴露後の厚さは約24nmであった。
図14は、低温でのエピタキシャル・チャンバ内での持続的ガス状HClとゲルマンへの暴露後の、図11のリン・ドープ・シリコン層を示す。図のように、シリコン窒化物ハード・マスクに重なっているポリシリコンまたはアモルファス・シリコン層がその最初の厚さから完全にエッチングされ、除去されているのに対し、リン・ドープ単結晶シリコンはエッチングに対して実質的に耐性を示し、すなわち、最初の厚さが約26nmで、HClとゲルマンとのガス状混合物への同じ暴露後の厚さは約22nmであった。以上で実証されたように、単結晶シリコンと比較したゲルマニウムのポリ/アモルファス・シリコンへの選択的拡散性により、上部電極の形成のためのロバストな低温選択的エピタキシ・プロセスが実現される。
例示のために本発明の様々な実施形態に関する説明を示したが、網羅的であること、または本明細書に記載の実施形態に限定することを意図したものではない。本発明の範囲および思想から逸脱することなく、当業者には多くの変更および変形が明らかであろう。本明細書で使用されている用語は、実施形態の原理、実際の適用、または市場にある技術を上回る技術的改良を最もよく説明するため、または当業者が本明細書に記載の実施形態を理解することができるようにするために選択されている。

Claims (20)

  1. 半導体構造体を形成する方法であって、
    単結晶シリコンを含む第1の表面と、誘電材料を含む少なくとも1つの別の表面とを含む基板を設けることと、
    前記第1の表面上に単結晶シリコンを形成するためと前記別の表面上にポリシリコン/アモルファス・シリコンを形成するために、ドーパントを有するシリコン層を500℃未満の温度で前記基板上にエピタキシャル成長させることと、
    前記別の表面上の前記ポリシリコン/アモルファス・シリコンを選択的に除去するためと前記第1の表面上に形成された前記単結晶シリコンの外面上および外面内にゲルマニウム拡散領域を形成するために有効な時間だけ、前記エピタキシャル成長させたシリコン層を500℃未満の温度でHClとゲルマンとを含むエッチャントに暴露することと、
    を含む方法。
  2. 前記第1の表面上の前記単結晶シリコンと、前記ポリシリコン/アモルファス・シリコンとはその間に境界を含み、前記境界の形状は多面である、請求項1に記載の方法。
  3. 前記ドーパントを有する前記シリコン層の成長は、リン含有ガスと、SiHとSiとSiとSi10とからなるグループから選択されたシリコン含有ガスとの、ガス状混合物に前記基板を暴露することを含む、請求項1に記載の方法。
  4. 前記第1の表面は、縦型フィンFET構造の縦向きチャネル領域の露出上面である、請求項1に記載の方法。
  5. 前記第1の表面上の前記単結晶シリコンは、縦型フィンFET構造の上部ソースまたはドレイン領域を画定する、請求項1に記載の方法。
  6. 前記ドーパントを有する前記シリコン層の前記成長と、前記成長させたシリコン層の前記エッチャントへの前記暴露とが繰り返され、前記第1の表面上に形成された前記単結晶シリコンの中および上に前記ゲルマニウム拡散領域の複数の層が形成される、請求項1に記載の方法。
  7. 前記誘電材料は酸化物または窒化物である、請求項1に記載の方法。
  8. 前記リン含有ガスはホスフィンである、請求項3に記載の方法。
  9. 縦型トランスポート電界効果トランジスタ(VTFET)デバイスに上部ソースまたはドレイン領域を形成する方法であって、
    部分的VTFET構造体を含む半導体基板をエピタキシャル堆積チャンバ内に配置することであって、前記部分的VTFET構造体は、基板と、底部ドープ・ソースまたはドレイン領域と、前記底部ドープ・ソースまたはドレイン領域から延びる縦向きチャネル領域と、前記チャネル領域の上で、前記底部ドープ・ソースまたはドレイン領域の一部に重なる底部スペーサと上部スペーサとの間にあるゲート・スタックとを含み、前記部分的VTFET構造体の堆積面が誘電材料と前記チャネル領域の最上部とを含む、前記配置することと、
    材料層の堆積のために前記堆積面に500℃未満の温度で第1のソース・ガスを当てることであって、前記第1のソース・ガスはリン含有ガスと、SiHとSiとSiとSi10とからなるグループから選択されたシリコン含有ガスとを含み、前記誘電材料上にドープ・ポリシリコン/アモルファス・シリコン層が形成され、前記チャネル領域の露出された前記最上部上にドープ単結晶シリコン層が形成される、前記第1のソース・ガスを当てることと、
    表面改質とエッチングとのためにHClとゲルマンとを含む第2のソース・ガスを当てることであって、前記表面改質が、前記ドープ単結晶シリコンに対して前記ドープ・ポリシリコン/アモルファス・シリコン層の一部を選択的に除去するとともに前記VTFETデバイスに前記上部ソースまたはドレイン領域を形成するように、前記HClのエッチャントとの接触時にゲルマニウム原子拡散部分を除去可能にするために、ゲルマニウム原子を前記ポリシリコン/アモルファス・シリコンの一部に選択的に拡散させる、前記第2のソース・ガスを当てることと、
    を含む方法。
  10. 前記誘電材料が酸化物または窒化物である、請求項9に記載の方法。
  11. 前記チャネル領域の露出された前記最上部上に形成された前記ドープ単結晶シリコンは多面である、請求項9に記載の方法。
  12. 前記チャネル領域の露出された前記最上部上に形成された前記ドープ単結晶シリコンが菱形形状である、請求項9に記載の方法。
  13. 前記第1の表面上の前記単結晶シリコンが前記VTFETの上部ソースまたはドレイン領域を画定する、請求項9に記載の方法。
  14. 堆積とその後の前記表面改質およびエッチングとの複数回のサイクルを行うために前記第1のソース・ガスと前記第2のソース・ガスとを繰り返すことをさらに含み、前記ドープ単結晶シリコンが、前記ドープ単結晶シリコンの上および中にゲルマニウム原子拡散領域の薄い層を含む、請求項9に記載の方法。
  15. 前記誘電体が酸化物または窒化物である、請求項9に記載の方法。
  16. 前記チャネル領域の露出された前記最上部が前記誘電体を越えて延びる、請求項9に記載の方法。
  17. 前記リン含有ガスがホスフィンである、請求項9に記載の方法。
  18. 縦型トランスポートFET(VTFET)構造体であって、
    フィン構造の縦向きチャネル領域から延びる上部ソースまたはドレイン領域の外面に形成されたゲルマニウム原子拡散領域を含むドープ単結晶シリコンの前記上部ソースまたはドレイン領域を含み、前記縦向きチャネル領域が底部ソースまたはドレイン領域と前記上部ソースまたはドレイン領域との間に延びる、縦型トランスポートFET構造体。
  19. 前記上部ソースまたはドレイン領域が多面である、請求項18に記載のVTFET構造体。
  20. 前記上部ソースまたはドレイン領域が菱形形状である、請求項18に記載のVTFET構造体。
JP2019520417A 2016-10-31 2017-10-25 半導体構造体を形成する方法および縦型トランスポートfet構造体 Active JP6931052B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/338,653 2016-10-31
US15/338,653 US9865730B1 (en) 2016-10-31 2016-10-31 VTFET devices utilizing low temperature selective epitaxy
PCT/IB2017/056610 WO2018078538A1 (en) 2016-10-31 2017-10-25 Vertical transport fet devices utilizing low temperature selective epitaxy

Publications (2)

Publication Number Publication Date
JP2019534559A true JP2019534559A (ja) 2019-11-28
JP6931052B2 JP6931052B2 (ja) 2021-09-01

Family

ID=60812744

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019520417A Active JP6931052B2 (ja) 2016-10-31 2017-10-25 半導体構造体を形成する方法および縦型トランスポートfet構造体

Country Status (6)

Country Link
US (3) US9865730B1 (ja)
JP (1) JP6931052B2 (ja)
CN (1) CN109863578B (ja)
DE (1) DE112017005474T5 (ja)
GB (1) GB2571215A (ja)
WO (1) WO2018078538A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102168936B1 (ko) * 2014-03-28 2020-10-22 인텔 코포레이션 수직 반도체 디바이스들을 위한 선택적으로 재성장된 상부 컨택트
US9865730B1 (en) 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US20190067474A1 (en) * 2017-08-25 2019-02-28 Globalfoundries Inc. Vertical finfet with improved top source/drain contact
US10756170B2 (en) 2018-04-17 2020-08-25 International Business Machines Corporation VFET devices with improved performance
US11139385B2 (en) * 2018-05-17 2021-10-05 International Business Machines Corporation Interface-less contacts to source/drain regions and gate electrode over active portion of device
US10804391B2 (en) 2018-06-15 2020-10-13 Samsung Electronics Co., Ltd. Vertical field-effect transistor (VFET) devices and methods of forming the same
US10622475B2 (en) 2018-07-19 2020-04-14 International Business Machines Corporation Uniform bottom spacer for VFET devices
US10879131B2 (en) * 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10916638B2 (en) * 2018-09-18 2021-02-09 International Business Machines Corporation Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance
US11189724B2 (en) 2018-10-24 2021-11-30 International Business Machines Corporation Method of forming a top epitaxy source/drain structure for a vertical transistor
CN109671677B (zh) * 2018-12-14 2021-02-26 上海集成电路研发中心有限公司 一种半导体器件结构的制造方法以及半导体器件结构
US10943992B2 (en) 2019-05-09 2021-03-09 International Business Machines Corporation Transistor having straight bottom spacers
US11515427B2 (en) * 2020-06-15 2022-11-29 International Business Machines Corporation Precise bottom junction formation for vertical transport field effect transistor with highly doped epitaxial source/drain, sharp junction gradient, and/or reduced parasitic capacitance
CN112017953B (zh) * 2020-09-07 2023-10-24 长江存储科技有限责任公司 一种外延生长方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002025972A (ja) * 2000-07-04 2002-01-25 Asahi Kasei Microsystems Kk 半導体装置の製造方法
JP2009540565A (ja) * 2006-06-07 2009-11-19 エーエスエム アメリカ インコーポレイテッド 半導体膜の選択的なエピタキシャル形成
JP2012054613A (ja) * 2005-02-04 2012-03-15 Asm America Inc シリコン含有膜の選択的堆積
JP2013105770A (ja) * 2011-11-10 2013-05-30 Elpida Memory Inc 半導体装置の製造方法
JP2014501452A (ja) * 2010-12-21 2014-01-20 インテル・コーポレーション トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
US20140170840A1 (en) * 2012-12-19 2014-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial Formation Mechanisms of Source and Drain Regions
US20140264279A1 (en) * 2013-03-14 2014-09-18 International Business Machines Corporation Faceted semiconductor nanowire
JP2016514906A (ja) * 2013-03-30 2016-05-23 インテル・コーポレーション フィンベースのトランジスタアーキテクチャ上のプレーナデバイス

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017392A1 (en) 1997-05-19 2001-08-30 International Business Machines Corporation. Vertical transport MOSFETs and method for making the same
JP3777306B2 (ja) 2000-03-06 2006-05-24 株式会社東芝 半導体装置の製造方法
JP3890202B2 (ja) 2001-03-28 2007-03-07 株式会社日立製作所 半導体装置の製造方法
JP4262433B2 (ja) 2002-02-20 2009-05-13 株式会社日立製作所 半導体装置の製造方法
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US8399314B2 (en) 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
EP2378557B1 (en) * 2010-04-19 2015-12-23 Imec Method of manufacturing a vertical TFET
US8642454B2 (en) * 2011-05-19 2014-02-04 International Business Machines Corporation Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch
US20130040438A1 (en) 2011-08-09 2013-02-14 International Business Machines Corporation EPITAXIAL PROCESS WITH SURFACE CLEANING FIRST USING HCl/GeH4/H2SiCl2
CN103031598B (zh) 2012-08-16 2015-10-14 上海华虹宏力半导体制造有限公司 硅外延生长的工艺方法
US8841188B2 (en) * 2012-09-06 2014-09-23 International Business Machines Corporation Bulk finFET with controlled fin height and high-K liner
US9142643B2 (en) 2012-11-15 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial feature
US8653599B1 (en) * 2012-11-16 2014-02-18 International Business Machines Corporation Strained SiGe nanowire having (111)-oriented sidewalls
US8890119B2 (en) * 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US9006045B2 (en) * 2013-03-11 2015-04-14 Globalfoundries Inc. Transistor including a gate electrode extending all around one or more channel regions
US9287262B2 (en) * 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
CN103715097B (zh) 2013-12-27 2019-03-19 上海集成电路研发中心有限公司 利用外延工艺制备垂直沟道的围栅型mosfet的方法
US10084080B2 (en) * 2015-03-31 2018-09-25 Stmicroelectronics, Inc. Vertical tunneling FinFET
US9368572B1 (en) * 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US9865730B1 (en) 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002025972A (ja) * 2000-07-04 2002-01-25 Asahi Kasei Microsystems Kk 半導体装置の製造方法
JP2012054613A (ja) * 2005-02-04 2012-03-15 Asm America Inc シリコン含有膜の選択的堆積
JP2009540565A (ja) * 2006-06-07 2009-11-19 エーエスエム アメリカ インコーポレイテッド 半導体膜の選択的なエピタキシャル形成
JP2014501452A (ja) * 2010-12-21 2014-01-20 インテル・コーポレーション トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
JP2013105770A (ja) * 2011-11-10 2013-05-30 Elpida Memory Inc 半導体装置の製造方法
US20140170840A1 (en) * 2012-12-19 2014-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial Formation Mechanisms of Source and Drain Regions
US20140264279A1 (en) * 2013-03-14 2014-09-18 International Business Machines Corporation Faceted semiconductor nanowire
JP2016514906A (ja) * 2013-03-30 2016-05-23 インテル・コーポレーション フィンベースのトランジスタアーキテクチャ上のプレーナデバイス

Also Published As

Publication number Publication date
WO2018078538A1 (en) 2018-05-03
US20180122937A1 (en) 2018-05-03
CN109863578B (zh) 2023-02-10
US10056484B2 (en) 2018-08-21
GB201906829D0 (en) 2019-06-26
GB2571215A (en) 2019-08-21
DE112017005474T5 (de) 2019-09-12
US9865730B1 (en) 2018-01-09
JP6931052B2 (ja) 2021-09-01
CN109863578A (zh) 2019-06-07
US20180294354A1 (en) 2018-10-11
US10573746B2 (en) 2020-02-25

Similar Documents

Publication Publication Date Title
JP6931052B2 (ja) 半導体構造体を形成する方法および縦型トランスポートfet構造体
US11430651B2 (en) Nanosheet transistors with sharp junctions
US10083875B2 (en) Vertical transistors having different gate lengths
US10325995B2 (en) Field effect transistor air-gap spacers with an etch-stop layer
US11011643B2 (en) Nanosheet FET including encapsulated all-around source/drain contact
US9842914B1 (en) Nanosheet FET with wrap-around inner spacer
US10833204B2 (en) Multiple width nanosheet devices
US10483166B1 (en) Vertically stacked transistors
US10541128B2 (en) Method for making VFET devices with ILD protection
US10032679B1 (en) Self-aligned doping in source/drain regions for low contact resistance
CN110637367B (zh) Vfet架构内的超长沟道器件
US11004751B2 (en) Vertical transistor having reduced edge fin variation
US10297688B2 (en) Vertical field effect transistor with improved reliability
US10242918B2 (en) Shallow trench isolation structures and contact patterning
US11916143B2 (en) Vertical transport field-effect transistor with gate patterning
US20240072164A1 (en) Vtfet with controlled fin height
TW202418584A (zh) 具有由埋入內間隔物隔開之雙隔離區的奈米片
JPH02214138A (ja) 半導体装置の製造方法
JPH02214137A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210708

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210805

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210812

R150 Certificate of patent or registration of utility model

Ref document number: 6931052

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150