JP2018532264A - 複数チャンバー化学蒸着システム - Google Patents

複数チャンバー化学蒸着システム Download PDF

Info

Publication number
JP2018532264A
JP2018532264A JP2018511618A JP2018511618A JP2018532264A JP 2018532264 A JP2018532264 A JP 2018532264A JP 2018511618 A JP2018511618 A JP 2018511618A JP 2018511618 A JP2018511618 A JP 2018511618A JP 2018532264 A JP2018532264 A JP 2018532264A
Authority
JP
Japan
Prior art keywords
wafer
process tray
chamber
load lock
aligned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018511618A
Other languages
English (en)
Inventor
パパソウリオティス ジョージ
パパソウリオティス ジョージ
サルダナ ミゲル
サルダナ ミゲル
スノウデン ブレット
スノウデン ブレット
ラシュコブスキー ユリー
ラシュコブスキー ユリー
パシエル マイケル
パシエル マイケル
Original Assignee
ビーコ・インストゥルメンツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ビーコ・インストゥルメンツ・インコーポレイテッド filed Critical ビーコ・インストゥルメンツ・インコーポレイテッド
Publication of JP2018532264A publication Critical patent/JP2018532264A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

化学蒸着システムが本明細書において開示される。該化学蒸着システムは、高性能半導体デバイスの製作に必要な品質を維持しつつ処理時間を減少させる目的のために、反応チャンバーの各々の内部でのウェハー上へのエピタキシャル層の成長において独立して動作する複数の反応チャンバーを有する。【選択図】図1

Description

(関連出願情報)
本出願は、2015年9月3日に出願された米国仮出願第62/213,950号、及び2016年4月1日に出願された米国仮出願第62/317,085号の利益を主張し、その双方は、引用により本明細書に組み込まれている。
(技術分野)
本開示は、概して、半導体製作技術に関する。より具体的には、本開示は、基板上でのエピタキシャル層の成長において独立して動作するように構成された複数の反応チャンバーを有する化学蒸着システムに関する。
(背景)
半導体の製作のためのあるプロセスは、エピタキシャル層を成長させ、高性能デバイス、例えば、発光ダイオード、レーザーダイオード、光学検出器、パワーエレクトロニクス、及び電界効果トランジスターの製作における使用のための多層半導体構造を生じさせるために複雑なプロセスを必要とすることがある。該プロセスにおいては、エピタキシャル層を、化学蒸着(CVD)と呼ばれる一般的なプロセスによって成長させる。CVDプロセスの1種は、金属有機化学蒸着(MOCVD)と呼ばれている。MOCVDにおいては、(通常、ウェハーと呼ばれる)基板上に反応ガスが堆積されることを可能とする制御された環境内の密閉された反応器チャンバー内に、反応ガスを導入して、薄いエピタキシャル層を成長させる。そのような生産設備ための現行の製品ラインの例としては、全てがNew York州PlainviewのVeeco Instruments社製である、MOCVDシステムのTurboDisc(登録商標)、MaxBright(登録商標)、EPIK(登録商標)ファミリー、及びPROPEL(登録商標) Power GaN MOCVDシステムがあげられる。
エピタキシャル層成長の間、温度、圧力、及びガス流速などのいくつかのプロセスパラメーターが制御され、該エピタキシャル層において所望の品質が達成される。異なる層は、異なる材料及び異なるプロセスパラメーターを用いて成長させる。例えば、化合物半導体、例えば、III-V半導体から形成されるデバイスは、典型的には、一連の別個の層を成長させることによって形成される。該プロセスにおいては、ウェハーを高い温度に維持しつつ、該ウェハーを、ウェハーの表面上を流れる、典型的には、III族金属源としての金属有機化合物を含み、かつV族元素源も含むガスの組合せに曝す。一般的に、金属有機化合物及びV族源は、感知できるほどには反応に関与しないキャリアーガス、例えば、窒素又は水素と組み合わされる。III-V半導体の1つの例は、窒化ガリウムであり、これは、適当な格子面間隔を有する基板、例えば、サファイア又はシリコンウェハー上での有機ガリウム化合物及びアンモニアの反応によって形成することができる。窒化ガリウム及び/又は関連化合物の堆積の間、ウェハーは、通常、700〜1200℃のオーダーの温度に維持される。III-V半導体の別の例は、インジウム及びホスフィンの反応によって形成することができるリン化インジウム(InP)、又はアルミニウム、ガリウム、及びアルシンの反応により形成することができるヒ化アルミニウム・ガリウム(AlGa1-xAsx)であり、該化合物の反応により、適当な基板上に半導体層が形成される。
一般的に、III-V化合物は、一般式InXGaYAlZNAAsBPCSbDを有し得る(式中、X+Y+Zは、おおよそ1に等しく、A+B+C+Dは、おおよそ1に等しく、かつX、Y、Z、A、B、C、及びDの各々は、0〜1の間であり得る)。ある例において、他のIII族金属の一部又は全ての代わりにビスマスを用いてもよい。適当な基板としては、金属、半導体、又は絶縁基板とすることができ、サファイア、酸化アルミニウム、シリコン(Si)、炭化ケイ素(SiC)、ヒ化ガリウム(GaAs)、リン化インジウム(InP)、ヒ化インジウム(InAs)、リン化ガリウム(GaP)、窒化アルミニウム(AlN)、二酸化ケイ素(SiO2)などが挙げられる。
別の種類のCVDプロセスは、パワーエレクトロニクスデバイスを形成するための、基板上の炭化ケイ素層の成長を伴う。炭化ケイ素層を、反応種としてのシラン及び炭化水素をキャリアーガスとしての水素と共に用いて成長させる。堆積の間、ウェハーは、通常、800〜2000℃のオーダーの温度に維持される。
CVDプロセスチャンバーにおいて、1以上の半導体ウェハーが、通常ウェハーキャリアーと呼ばれるトレー内に配置され、その結果、各々のウェハーの上面が露出され、それにより、該ウェハーの上面の、半導体材料の堆積のための反応器チャンバー内部の雰囲気への一様な曝露が提供される。ウェハーキャリアーは、通常、約100〜1500RPMのオーダーまたはそれを超える回転速度で回転させる。ウェハーキャリアーは、典型的には、熱伝導性の高い材料、例えば、グラファイトから機械加工によって作製され、かつ、多くの場合、炭化ケイ素などの材料の保護層で被覆される。各々のウェハーキャリアーは、1組の円形の窪み又はポケット、及び中に個々のウェハーが配置されるその上面を有する。関連技術のいくつかの例は、米国特許出願公報第2007/0186853号及び第2012/0040097号、並びに米国特許第6,492,625号;第6,506,252号;第6,902,623号;第8,021,487号;及び第8,092,599号に記載されており、それらの開示は、引用により本明細書に組み込まれている。別のウェハーキャリアーは、中に単一のウェハーが配置される単一のポケットを有する。
場合によっては、ウェハーキャリアーは、反応器チャンバー内部のスピンドル上に支持され、その結果、ウェハーの露出した表面を有するウェハーキャリアーの上面が、ガス分配デバイスに向かって上方を向く。スピンドルを回転させつつ、ガスを、ウェハーキャリアーの上面の上まで下向きに向かわせ、該ガスは、ウェハーキャリアーの周辺部に向かって上面にわたって流れる。使用済みガスは、ウェハーキャリアーの下に配されたポートを通じて反応チャンバーから排気することができる。ウェハーキャリアーは、ウェハーキャリアーの底面の下に配された加熱要素、典型的には、電気抵抗加熱要素によって、所望の高い温度に維持することができる。これらの加熱要素は、ウェハー表面の所望の温度よりも高い温度に維持され、その一方で、ガスの早期の反応を防ぐために、ガス分配デバイスは、典型的には、所望の反応温度よりもかなり低い温度に維持される。従って、熱は、加熱要素からウェハーキャリアーの底面へと伝わり、ウェハーキャリアーを経て1以上のウェハーまで上方に流れる。
場合によっては、ウェハーキャリアーを、スピンドルを必要としない回転式システムによって支持して回転させることができる。そのような回転システムは、米国特許出願公報第2015/0075431号に記載されており、その内容は、これによって引用により本明細書に組み込まれている。さらに別の場合、ウェハーキャリアーを、反応チャンバー内で下に向けて(上下を逆にして)配置することができ、ガスインジェクターが、ガス混合物が1以上のウェハーに向かって上に流れるように、ウェハーキャリアーの下に据えつけられる。そのような上下を逆にしたガス注入システムの例は、米国特許出願公報第2004/0060518号及び第2004/0175939号、並びに米国特許第8,133,322号に記載されており、その内容は、これによって引用により本明細書に組み込まれている。
CVDプロセスにおいては、ウェハーは、個々に揃えられウェハーキャリアー内へと装填されなければならない。ウェハーキャリアーは、その後、反応チャンバー内部に慎重に配置されなければならない。化学反応が完了したとき、ウェハーキャリアーは、反応チャンバーから慎重に取り出されなければならない。反応チャンバーには、その後、処理のための別のウェハーキャリアーが装填されなければならない。ウェハー及びウェハーキャリアーのそのような取扱いは、MOCVDプロセス全体に対してかなりの量の時間を追加する可能性がある。さらに、特に、反応チャンバーが通常動作する高い温度を考えれば、オペレーターにその手を反応チャンバーの内部に置くよう要求することは、リスクとなり得る。
従って、本開示の出願人らは、高性能半導体デバイスの製造において要求される品質基準を維持しつつ処理時間を減少させる目的のために、ある程度の自動化の必要性を確認した。さらに、本開示の出願人らは、処理時間を減少させる目的のために、複数のチャンバーを有する化学蒸着システムの必要性を確認した。
(本開示の概要)
本開示の実施態様は、品質を維持しつつ処理時間を減少させる目的のために、反応チャンバーの各々の内部でのウェハー上へのエピタキシャル層の成長において独立して動作する複数の反応チャンバーを有する化学蒸着システムの必要性を満たす。
本開示の一実施態様において、化学蒸着システムは、自動化フロントエンドインターフェース、第1のロードロック、第2のロードロック、及び真空移送モジュールを備える。自動化フロントエンドインターフェースは、第1の出力及び第2の出力を有することができ、かつ2つ以上のプロセストレーを収容するように構成されたプロセストレーハウジング、2つ以上のウェハーを収容するように構成されたウェハーカセット、第2のプロセストレー上の第2のウェハー内の第1のウェハーを第1のプロセストレー上に揃えるように構成されたアライナー、及び:(i)第1のプロセストレー上の第1のウェハーとして揃えることのために第1のウェハー及び第1のプロセストレーをアライナーへと移送し、(ii)第2のプロセストレー上の第2のウェハーとして揃えることのために第2のウェハー及び第2のプロセストレーをアライナーへと移送し、(iii)揃えられた第1のウェハー及びプロセストレーを第1の出力へと移送し、かつ(iv)揃えられた第2のウェハー及びプロセストレーを第2の出力へと移送するように構成されたインターフェースロボットアームを備えることができる。
第1のロードロックは、制御可能な環境を維持する能力があるチャンバーを備えることができる。第1のロードロックは、第1のドア及び第2のドアを有することができ、ここで、第1のドアは、自動化フロントエンドインターフェースの第1の出力と連通している。一実施態様において、第1のロードロック室は、第1の出力から第1のドアを通じて、揃えられた第1のウェハー及びプロセストレーを受け入れるように構成することができる。
第2のロードロックは、制御可能な環境を維持する能力があるチャンバーを備えることができる。第2のロードロックは、第1のドア及び第2のドアを有することができ、ここで、該第1のドアは、自動化フロントエンドインターフェースの第2の出力と連通している。一実施態様において、第2のロードロックは、該第2の出力から該第1のドアを通じて、揃えられた第2のウェハー及びプロセストレーを受け入れるように構成される。
真空移送モジュールを、第1及び第2のロードロック室の第2のドアと連通させることができる。真空移送モジュールは、揃えられた第1のウェハー及びプロセストレー並びに揃えられた第2のウェハー及びプロセストレーを、それぞれの第1及び第2のロードロック室から、1以上の反応チャンバーの組へと操作するように構成されたデュアルブレード型ロボットアームを有することができる。1以上の反応チャンバーの組を、真空移送モジュールと連通させることができる。
本開示の別の実施態様において、化学蒸着システムは、第1の出力及び第2の出力を有する自動化フロントエンドインターフェースを有することができる。自動化フロントエンドインターフェースは、プロセストレー、ウェハーカセット、アライナー、及びインターフェースロボットアーム、ロードロック、並びに真空移送モジュールを備えることができる。
プロセストレーハウジングは、2つ以上のプロセストレーを収容するように構成することができる。ウェハーカセットは、2つ以上のウェハーを収容するように構成することができる。アライナーは、第1のウェハーを第1のプロセストレー上に、かつ第2のウェハーを第2のプロセストレー上に揃えるように構成することができる。インターフェースロボットアームは、ウェハー及びプロセストレーを該アライナーへ、該揃えられた第1のウェハー及びプロセストレーを該第1の出力へ、かつ該揃えられた第2のウェハー及びプロセストレーを該第2の出力へと移送するように構成することができる。
ロードロック室は、制御された環境を維持する能力があるものとすることができ、かつそれは、自動化フロントエンドインターフェースと連通しており、第1の出力と連通している第1のドア、第2の出力と連通している第2のドア、該第1のドアと反対側の第3のドア、及び該第2のドアと反対側の第4のドアを有する。ロードロック室は、第1の出力から第1のドアを通じて第1の揃えられたウェハー及びプロセストレーを、かつ第2の出力から第2のドアを通じて揃えられた第2のウェハー及びプロセストレーを受け入れるように構成することができる。該ロードロック室は、1以上の棚を備えることができる。
真空移送モジュールを、該ロードロック室の該第3及び第4のドアと連通させることができる。真空移送モジュールは、揃えられた第1のウェハー及びプロセストレー並びに揃えられた第2のウェハー及びプロセストレーを、ロードロック室から、真空移送モジュールと連通している1以上の反応チャンバーの組へと操作するように構成されたデュアルブレード型ロボットアームを有することができる。
本開示の別の実施態様において、化学蒸着システムは、自動化フロントエンドインターフェース、ロードロック室、及び真空移送モジュールを備えることができる。自動化フロントエンドインターフェースは、第1の出力及び第2の出力を備えることができ、プロセストレーハウジング、ウェハーカセット、アライナー、及びインターフェースロボットアームを備える。プロセストレーハウジングは、2つ以上のプロセストレーを収容するように構成することができる。ウェハーカセットは、2つ以上のウェハーを収容するように構成することができる。アライナーは、第1のウェハーを第1のプロセストレー上に、かつ第2のウェハーを第2のプロセストレー上に揃えるように構成することができる。インターフェースロボットアームは、(i)ウェハー及びプロセストレーを該アライナーへと移送し、(ii)揃えられた第1のウェハー及びプロセストレーを第1の出力へと移送し、かつ(iii)揃えられた第2のウェハー及びプロセストレーを第2の出力へと移送するように構成することができる。
該ロードロック室は、制御された環境を維持する能力があるものとすることができ、かつそれは、自動化フロントエンドインターフェースと連通しており、第1のチャンバー、第2のチャンバー、第1の出力及び第2の出力と連通している第1のドア(ここで、第1のチャンバーは第1の出力と揃えられておりかつ第2のチャンバーは第2の出力と揃えられている)、及び第1のドアと反対側の第2のドアを有し、ここで、該ロードロック室は、第1の出力から揃えられた第1のウェハー及びプロセストレーを、かつ第2の出力から揃えられた第2のウェハー及びプロセストレーを、第1のドアを通じてそれぞれの第1及び第2のチャンバー内へと受け入れるよう構成される。ロードロック室は、1以上の棚を備えることができる。
真空移送モジュールを、ロードロック室の第2のドアと連通させることができる。真空移送モジュールは、揃えられた第1のウェハー及びプロセストレー並びに揃えられた第2のウェハー及びプロセストレーを、それぞれの第1及び第2のチャンバーから、真空移送モジュールと連通している1以上の反応チャンバーの組へと操作するように構成されたデュアルブレード型ロボットアームを有することができる。
本開示の別の実施態様において、化学蒸着システムは、フロントエンドインターフェース、ロードロック室、及び真空移送モジュールを備えることができる。フロントエンドインターフェースは、第1の出力及び第2の出力を有することができる。第1の出力は、ウェハーが入った第1のプロセストレー及びウェハーが入った第3のプロセストレーを順次提供するように構成することができる。第2の出力は、ウェハーが入った第2のプロセストレー及びウェハーが入った第4のプロセストレーを順次提供するように構成することができる。
該ロードロック室は、制御された環境を維持する能力があるものとすることができ、かつそれは、フロント及びインターフェースと連通しており、第1のチャンバー、第2のチャンバー、第1の出力と連通している第1のドア(ここで、第1のチャンバーは第1の出力と揃えられておりかつ第2のチャンバーは第2の出力と揃えられている)、及び該第1のドアと反対側の第2のドアを有し、ここで、該ロードロック室は、第1の出力からウェハーが入った第1のプロセストレー及びウェハーが入った第3のプロセストレーを、かつ該第2の出力からウェハーが入った第2のプロセストレー及びウェハーが入った第4のプロセストレーを、該第1のドアを通じてそれぞれの第1及び第2のチャンバー内へと順次受け入れるよう構成される。該ロードロック室は、1以上の棚を備えることができる。
真空移送モジュールを、ロードロック室の第2のドアと連通させることができる。真空移送モジュールは、ウェハーが入った第1のプロセストレー及びウェハーが入った第2のプロセストレーをそれらそれぞれの第1及び第2のチャンバーから該真空移送モジュールと連通している第1の反応チャンバーの組へと、かつウェハーが入った第3のプロセストレー及びウェハーが入った第4のプロセストレーをそれぞれの第1及び第2のチャンバーから該真空移送モジュールと連通させることができる第2の反応チャンバーの組へと操作するように構成されたデュアルブレード型ロボットアームを有することができる。
本開示の別の実施態様において、化学蒸着システムは、フロントエンドインターフェース、ロードロック室、及び真空移送モジュールを備えることができる。フロントエンドインターフェースは、第1の出力及び第2の出力を有することができる。第1の出力は、ウェハーが入った第1のプロセストレー、ウェハーが入った第3のプロセストレー、及びウェハーが入った第5のプロセストレーを順次提供するように構成することができる。第2の出力は、ウェハーが入った第2のプロセストレー、ウェハーが入った第4のプロセストレー、及びウェハーが入った第6のプロセストレーを順次提供するように構成することができる。
該ロードロック室は、制御された環境を維持する能力があるものとすることができ、かつそれは、フロント及びインターフェースと連通しており、第1のチャンバー、第2のチャンバー、第1の出力及び第2の出力と連通している第1のドアを有する。第1のチャンバーを第1の出力と揃えることができ、かつ第2のチャンバーを第2の出力と揃えることができる。第2のドアを第1のドアの反対側とすることができ、ここで、該ロードロック室は、該第1の出力からウェハーが入った第1のプロセストレー、ウェハーが入った第3のプロセストレー、及びウェハーが入った第5のプロセストレーを、かつ該第2の出力からウェハーが入った第2のプロセストレー、ウェハーが入った第4のプロセストレー、及びウェハーが入った第6のプロセストレーを、該第1のドアを通じてそれぞれの第1及び第2のチャンバー内へと順次受け入れるよう構成される。該ロードロック室は、1以上の棚を備えることができる。
真空移送モジュールを、ロードロック室の第2のドアと連通させることができる。真空移送モジュールは、ウェハーが入った第1のプロセストレー及びウェハーが入った第2のプロセストレーをそれぞれの第1及び第2のチャンバーから真空移送モジュールと連通している第1の反応チャンバーの組へと、ウェハーが入った第3のプロセストレー及びウェハーが入った第4のプロセストレーをそれぞれの第1及び第2のチャンバーから真空移送モジュールと連通している第2の反応チャンバーの組へと、かつウェハーが入った第5のプロセストレー及びウェハーが入った第6のプロセストレーをそれぞれの第1及び第2のチャンバーから該真空移送モジュールと連通している第3の反応チャンバーの組へと操作するように構成されたデュアルブレード型ロボットアームを有することができる。
本開示の別の実施態様において、化学蒸着は、フロントエンドインターフェース、ロードロック室、真空移送モジュール、及び1以上の反応チャンバーの組を備えることができる。フロントエンドインターフェースは、第1の出力及び第2の出力を有することができる。第1の出力は、ウェハーが入った第1のプロセストレーを提供するように構成することができる。第2の出力は、ウェハーが入った第2のプロセストレーを提供するように構成することができる。
該ロードロック室は、制御された環境を維持する能力があるものとすることができ、かつそれは、フロントエンドインターフェースと連通しており、第1のチャンバー、第2のチャンバー、第1の出力及び第2の出力と連通している第1のドア(ここで、第1のチャンバーは第1の出力と揃えられておりかつ第2のチャンバーは第2の出力と揃えられている)、及び第1のドアと反対側の第2のドアを有し、ここで、該ロードロック室は、該第1の出力からウェハーが入った第1のプロセストレーを、かつ該第2の出力からウェハーが入った第2のプロセストレーを、該第1のドアを通じてそれぞれの第1及び第2のチャンバー内へと受け入れるよう構成される。該ロードロック室は、1以上の棚を備えることができる。
真空移送モジュールを、ロードロック室の第2のドアと連通させることができる。真空移送モジュールは、ウェハーが入った第1のプロセストレー及びウェハーが入った第2のプロセストレーを、それぞれの第1及び第2のチャンバーから操作するように構成されたデュアルブレード型ロボットアームを有することができる。
1以上の反応チャンバーの組を、真空移送モジュールと連通させることができる。1以上の反応チャンバーを、ウェハーが入った第1のプロセストレー及びウェハーが入った第2のプロセストレーを受け入れる能力があるものとすることができ、ここで、1以上の反応チャンバーは、金属有機化学蒸着、化学蒸着、物理蒸着、プラズマ促進蒸着、プラズマ促進化学蒸着、原子層堆積、プラズマ促進原子層堆積、及び原子層エピタキシーから選択されるプロセスを行うように構成することができる。
本開示の別の実施態様において、化学蒸着は、フロントエンドインターフェース、ロードロック室、真空移送モジュール、及び1以上の反応チャンバーの組を備えることができる。フロントエンドインターフェースは、第1の出力及び第2の出力を有することができる。第1の出力は、ウェハーが入った第1のプロセストレーを提供するように構成することができる。第2の出力は、ウェハーが入った第2のプロセストレーを提供するように構成することができる。
該ロードロック室は、制御された環境を維持する能力があるものとすることができ、かつそれは、フロント及びインターフェースと連通しており、第1のチャンバー、第2のチャンバー、第1の出力及び第2の出力と連通している第1のドア(ここで、第1のチャンバーは第1の出力と揃えられており、かつ第2のチャンバーは該第2の出力と揃えられている)、及び第1のドアと反対側の第2のドアを有し、ここで、該ロードロック室は、第1の出力からウェハーが入った第1のプロセストレーを、かつ第2の出力からウェハーが入った第2のプロセストレーを、該第1のドアを通じてそれぞれの第1及び第2のチャンバー内へと受け入れるよう構成される。該ロードロック室は、1以上の棚を備えることができる。
真空移送モジュールを、ロードロック室の第2のドアと連通させることができる。真空移送モジュールは、ウェハーが入った第1のプロセストレー及びウェハーが入った第2のプロセストレーを、それぞれの第1及び第2のチャンバーから操作するように構成されたデュアルブレード型ロボットアームを有することができる。
1以上の反応チャンバーの組を、該真空移送モジュールと連通させることができる、かつウェハーが入った第1のプロセストレー及びウェハーが入った第2のプロセストレーを受け入れる能力があるものとすることができ、ここで、1以上の反応チャンバーには、1以上の計測ツールが備えられている。
前述の化学蒸着システムの実施態様のいくつかにおいて、化学蒸着システムは、独立して動作する1組の反応チャンバー(2つの独立して動作する反応チャンバー)を備えることができる。前述の化学蒸着システムの実施態様のいくつかにおいて、化学蒸着は、独立して動作する2組の反応チャンバー(4つの独立して動作する反応チャンバー)を備えることができる。前述の化学蒸着システムの実施態様のいくつかにおいて、化学蒸着システムは、独立して動作する3組の反応チャンバー(6つの独立して動作する反応チャンバー)を備えることができる。
前述の化学蒸着システムの実施態様のいくつかにおいて、1以上の反応チャンバーが、金属有機化学蒸着、化学蒸着、物理蒸着、プラズマ促進物理蒸着、プラズマ促進化学蒸着、原子層堆積、プラズマ促進原子層堆積、及び原子層エピタキシーから選択されるプロセスを行うことができる。前述の化学蒸着システムの実施態様のいくつかにおいて、1以上の反応チャンバーは、1以上の計測ツールを備えることができる。
前述の化学蒸着システムの実施態様のいくつかにおいて、化学蒸着システムは、複数の反応チャンバーのうちの少なくとも1つに隣接して位置する供給源送達アセンブリーを備えることができる。前述の化学蒸着システムの実施態様のいくつかにおいて、供給源送達アセンブリーは、2つの反応チャンバー(1組の反応チャンバー)に、キャリアーガス、1以上の反応ガス、冷却システム、及び換気システムを提供するように構成することができる。
前述の化学蒸着システムの実施態様のいくつかにおいて、ロードロックは、1以上のチャンバーを備えることができる。前述の化学蒸着システムの実施態様のいくつかにおいて、第1及び/又は第2のロードロックを含むロードロックは、少なくとも1つの棚を備えることができ、それにより、ロードロックが2つ以上の区画へと分割される。前述の化学蒸着システムの実施態様のいくつかにおいて、1以上の区画及び/又はチャンバーを、独立して制御される環境チャンバー及び/又は区画とすることができる。前述の化学蒸着システムの実施態様のいくつかにおいて、2つ以上の区画の内部の制御可能な環境は、独立して調節されるように構成することができる。前述の化学蒸着システムの実施態様のいくつかにおいて、2つ以上の区画の内部の制御可能な環境は、同じとなるように構成される。前述の化学蒸着システムの実施態様のいくつかにおいて、2つ以上の区画の内部の制御可能な環境は、負圧を確立するように構成される。前述の化学蒸着システムの実施態様のいくつかにおいて、2つ以上の区画の内部の制御可能な環境は、大気圧環境を確立するように構成される。前述の化学蒸着システムの実施態様のいくつかにおいて、2つ以上の区画の内部の制御可能な環境は、不活性ガス環境を維持するように構成される。前述の化学蒸着システムの実施態様のいくつかにおいて、制御された2つ以上の区画の内部の環境は、制御された湿度環境を維持するように構成される。前述の化学蒸着システムの実施態様のいくつかにおいて、2つ以上の区画の内部の制御可能な環境は、粒子低含有環境を維持するように構成される。前述の化学蒸着システムの実施態様のいくつかにおいて、2つ以上の区画の内部の制御可能な環境は、制御された温度環境を維持するように構成される。
前述の化学蒸着システムの実施態様のいくつかにおいて、インターフェースロボットアームは、第1のウェハー、第1のプロセストレー、第2のウェハー、及び第2のウェハートレーのうちの少なくとも任意の2つを同時に移送することができる。前述の化学蒸着システムの実施態様のいくつかにおいて、インターフェースロボットアームは、揃えられた第1のウェハー及びプロセストレー並びに揃えられた第2のウェハー及びプロセストレーを、それぞれの第1の出力及び第2の出力へと同時に移送することができる。前述の化学蒸着システムの実施態様のいくつかにおいて、自動化フロントエンドインターフェースは、2つのインターフェースロボットアームを備えることができ、ここで、2つのインターフェースロボットアームは、互いに独立してかつ同時に動作するように構成することができる。
前述の化学蒸着システムの実施態様のいくつかにおいて、真空移送モジュールは、真空移送モジュールの内部チャンバーと複数の反応チャンバーとの間のアクセスを選択的に提供するように構成された複数のドアを備えることができる。前述の化学蒸着システムの実施態様のいくつかにおいて、真空移送モジュールは、1以上の棚を備えることができる。
前述の化学蒸着システムの実施態様のいくつかにおいて、各々のプロセストレーは、6〜8インチ(15.24〜20.32cm)の間の直径を有する単一のウェハーを受け入れるように構成することができる。前述の化学蒸着システムの実施態様のいくつかにおいて、各々のプロセストレーは、8〜10インチ(20.32〜25.40cm)の間の直径を有する単一のウェハーを受け入れるように構成することができる。前述の化学蒸着システムの実施態様のいくつかにおいて、各々のプロセストレーは、10〜12インチ(25.40〜30.48cm)の間の直径を有する単一のウェハーを受け入れるように構成することができる。
本開示の別の実施態様において、複数の反応チャンバーの内部でのエピタキシャル層の成長のための複数のウェハーを調製するための方法が開示される。一実施態様において、該方法は:
(i)2つ以上のウェハーを収容するように構成されたウェハーカセット、及び(ii)2つ以上のプロセストレーを収容するように構成されたプロセストレーハウジングで構成された自動化フロントエンドインターフェースを提供する工程;
該ウェハーカセットからの第1のウェハーを、該プロセストレーハウジングからの第1のプロセストレー上に、前記アライナーによって揃える工程;
該揃えられた第1のウェハー及び第1のプロセストレーを、該アライナーから第1のロードロック室内へと、前記インターフェースロボットアームによって移送する工程;
該ウェハーカセットからの第2のウェハーを、該プロセストレーハウジングからの第2のプロセストレー上に、該アライナーによって揃える工程;及び
該揃えられた第2のウェハー及び第2のプロセストレーを、該アライナーから第2のロードロック室内へと、該インターフェースロボットアームによって移送する工程
を含むことができる。
いくつかの実施態様において、前記方法は:
前記第1のロードロック室及び前記第2のロードロック室を密閉し、かつその中の環境を制御する工程であって、該環境が、負圧環境を確立すること、不活性ガス環境を維持すること、制御された湿度環境を維持すること、及び粒子低含有環境を維持することのうちの少なくとも1つによって制御される、前記工程;
該第1のロードロック及び該第2のロードロック上のそれぞれのドアを開き、それにより該第1のロードロック室及び該第2のロードロック室を、真空移送モジュールと流体連通させる工程;
該第1のロードロック室から該真空移送モジュールを通じて第1の反応チャンバー内へと前記揃えられた第1のウェハー及び第1のプロセストレーを、かつ該第2のロードロック室から該真空移送モジュールを通じて第2の反応チャンバー内へと前記揃えられた第2のウェハー及び第2のプロセストレーを、デュアルブレード型ロボットアームによって同時に移送する工程;
該揃えられた第1のウェハー及び第1のプロセストレーを、該第1の反応チャンバー内で処理し、かつ該揃えられた第2のウェハー及び第2のプロセストレー並びに該第2の反応チャンバーを処理する工程;
該第1の反応チャンバーから該第1のロードロック室へと該処理済みの第1のウェハー及び第1のプロセストレーを、かつ該第2の反応チャンバーから該第2のロードロック室へと該第2のプロセストレー及び該第2のプロセストレーを、該デュアルブレード型ロボットアームによって同時に移送する工程、
をさらに含む。
本開示の別の実施態様において、複数の反応チャンバーの内部でのエピタキシャル層の成長のための複数のウェハーを調製するための方法が開示される。別の実施態様において、該方法は:
(i)2つ以上のウェハーを収容するように構成されたウェハーカセット、(ii)2つ以上のプロセストレーを収容するように構成されたプロセストレーハウジング、及び(iii)インターフェースロボットアームで構成された自動化フロントエンドインターフェースを提供する工程;
自動化フロントエンドインターフェースと連通している真空移送チャンバーを提供する工程;
該ウェハーカセットからの第1のウェハーを、ウェハーアライナーへと、該インターフェースロボットアームによって移送する工程であって、該ウェハーアライナーが該ウェハーを揃える、前記工程;
該プロセストレーハウジングからの第1のプロセストレーを、プロセストレーアライナーへと、該インターフェースロボットアームによって移送する工程であって、該プロセストレーアライナーが該プロセストレーを揃える、前記工程;
該第1のプロセストレー上に該第1のウェハーを揃えるために、該ウェハーアライナーからの該第1の揃えられたウェハーを、該揃えられたプロセストレーへと移送する工程;
該揃えられた第1のウェハー及び第1のプロセストレーを、該アライナーからロードロック室内へと、該インターフェースロボットアームによって移送する工程;
該ウェハーカセットからの第2のウェハーを、ウェハーアライナーへと、インターフェースロボットアームによって移送する工程であって、該ウェハーアライナーが該ウェハーを揃える、前記工程;
該プロセストレーハウジングからの第2のプロセストレーを、プロセストレーアライナーへと、該インターフェースロボットアームによって移送する工程であって、該プロセストレーアライナーが該プロセストレーを揃える、前記工程;
該第2のウェハーを該第2のプロセストレー上に揃えるために、該第2の揃えられたウェハーを、該ウェハーアライナーから、該揃えられたプロセストレーへと移送する工程;
該揃えられた第2のウェハー及び第2のプロセストレーを、該アライナーから該ロードロック室内へと、該インターフェースロボットアームによって移送する工程;及び
該ロードロック室から前記真空移送モジュールを通じて第1の反応チャンバー内へと該揃えられた第1のウェハー及び第1のプロセストレーを、かつ該ロードロック室から前記真空移送モジュールを通じて第2の反応チャンバー内へと該揃えられた第2のウェハー及び第2のプロセストレーを、デュアルブレード型ロボットアームによって同時に移送する工程、
を含むことができる。
本開示の別の実施態様において、複数の反応チャンバーの内部でのエピタキシャル層の成長のための複数のウェハーを調製するための方法が開示される。別の実施態様において、該方法は:
(i)2つ以上のウェハーを収容するように構成されたウェハーカセット、及び(ii)2つ以上のプロセストレーを収容するように構成されたプロセストレーハウジングで構成された自動化フロントエンドインターフェースを提供する工程;
自動化フロントエンドインターフェースと連通している真空移送チャンバーを提供する工程;
該ウェハーカセットからの第1のウェハーを、ウェハーアライナーへと、該インターフェースロボットアームによって移送する工程であって、該ウェハーアライナーが該ウェハーを揃える、前記工程;
該プロセストレーハウジングからの第1のプロセストレーを、プロセストレーアライナーへと、該インターフェースロボットアームによって移送する工程であって、該プロセストレーアライナーが該プロセストレーを揃える、前記工程;
該第1のプロセストレー上に該第1のウェハーを揃えるために、該ウェハーアライナーからの該第1の揃えられたウェハーを、該揃えられたプロセストレーへと移送する工程;
該揃えられた第1のウェハー及び第1のプロセストレーを、該アライナーからロードロック室内へと、該インターフェースロボットアームによって移送する工程;
該ウェハーカセットからの第2のウェハーを、ウェハーアライナーへと、インターフェースロボットアームによって移送する工程であって、該ウェハーアライナーが該ウェハーを揃える、前記工程;
該プロセストレーハウジングからの第2のプロセストレーを、プロセストレーアライナーへと、該インターフェースロボットアームによって移送する工程であって、該プロセストレーアライナーが該プロセストレーを揃える、前記工程;
該第2のウェハーを該第2のプロセストレー上に揃えるために、該第2の揃えられたウェハーを、該ウェハーアライナーから、該揃えられたプロセストレーへと移送する工程;
該揃えられた第2のウェハー及び第2のプロセストレーを、該アライナーから該ロードロック室内へと、該インターフェースロボットアームによって移送する工程、
を含むことができる。
上記の概要は、本開示の各々の例示される実施態様又は全ての実施を説明することを意図していない。以下に続く図面及び詳細な説明は、これらの実施態様をより詳細に例示する。
(図面の簡単な説明)
添付の図面に関連して、以下の本開示のさまざまな実施態様の詳細な説明を考慮すれば、本開示をより完全に理解することができる。
図1は、本開示の実施態様による6つの反応チャンバー(3組の反応チャンバー)を有する化学蒸着システムを示す模式図である。 図2は、本開示の実施態様による4つの反応チャンバー(2組の反応チャンバー)を有する化学蒸着システムを示す模式図である。 図3は、本開示の実施態様による2つの反応チャンバー(1組の反応チャンバー)を有する化学蒸着システムを示す模式図である。 図4Aは、本開示の実施態様による第1及び第2のロードロックの等角図である。図4Bは、本開示の実施態様による第1及び第2のロードロックの等角図である。 図5は、本開示の実施態様によるプロセストレーと解除可能に係合するように適合された取付け部品を備えるスピンドルを有する反応チャンバーの平面図である。 図6は、本開示の実施態様による回転式誘電性支持体を有する反応チャンバーの平面図である。
本開示の実施態様は、さまざまな変更及び代替形態を受け入れるが、それらの具体的なものを、図面において一例として示し、詳細に説明する。しかしながら、その意図するところは、本開示を説明した特定の実施態様に限定することではないことが理解されるべきである。それどころか、その意図するところは、添付の特許請求の範囲によって規定される本開示の主旨及び範囲に含まれる変更、等価物、及び代替手段の全てを含むことである。
(詳細な説明)
図1を参照して、化学蒸着システム100を、本開示の実施態様により示す。化学蒸着システム100は、複数の反応チャンバー102A〜102Fを備えることができる。一実施態様において、反応チャンバー102A〜102Fは、高性能半導体デバイスを製造するのに必要とされる品質基準を維持しつつ、ウェハー処理時間を減少させる目的のための各々の反応チャンバー102A〜102Fの内部でのウェハー上へのエピタキシャル層の成長において、独立してかつ同時に動作するように構成することができる。例えば、一実施態様においては、化学蒸着システム100は、3組の反応チャンバー(6つの反応チャンバー102A〜102F)を備えることができる。他の実施態様において、システム100は、別の数の反応チャンバーを備えることができる。例えば、システム100は、2組の反応チャンバー(4つの反応チャンバー102A〜102D)(図2に示されるように)、又は1組の反応チャンバー(2つの反応チャンバー102A〜102B)(図3に示されるように)を備えることができる。
いくつかの実施態様において、システム100は、偶数の反応チャンバー102を必要に応じ加えることができるような、モジュール式のものとすることができる。各々の反応チャンバー102A〜102Fを、互いに隔離することができる。満載の数未満の反応チャンバー102が、システム100上に設置される場合、例えば、1以上の反応チャンバーの組102A/102Bの代わりにバッファー104を加えることができる。一実施態様において、バッファー104は、複数の反応チャンバー102及び/又は中央に集めた真空移送モジュール108の圧力と実質的に等しい負圧に維持されたチャンバーを備えることができる。バッファー104は、1以上のプロセストレーをその上に配置することができる1以上の架台を備えることができる。架台は、冷却機能を有することができる。
いくつかの実施態様において、反応チャンバー102A〜102Fを、1以上の供給源送達アセンブリー106A〜106Cに動作可能に連結することができる。各々の供給源送達アセンブリー106A〜106Cは、1以上の反応ガス、冷却システム、及び換気システムを含むことができる。一実施態様において、複数の反応チャンバー、例えば、反応チャンバー102A〜102Bを、単一の供給源送達アセンブリー106Aに連結して、供給源送達アセンブリー106Aが、要求される反応チャンバー102A〜102Bの1以上の反応ガス、冷却システム、及び換気システムを提供するようにすることができる。
該複数の反応チャンバー102A〜102Fは、真空移送モジュール108によって動作可能に一緒に連結することができる。真空移送モジュール108は、チャンバー112を画定する内壁110を備えることができる。内壁110は、真空移送モジュール108のチャンバー112と反応チャンバー102A〜102Fのうちの1つ以上の内部との間のアクセスを選択的に提供するように構成された複数のドア114A〜114Fを備えることができる。複数のドア114A〜114Dは、チャンバー112と、所望の1以上の反応チャンバーの組102A/102B、102C/102D、及び/又は102E/102Fの内部との間のアクセスの場合、例えば、該1以上の反応チャンバーの組102A/102B、102C/102D、及び/又は102E/102Fで、装填又は取り出しを行っている場合に開くように構成することができる。複数のドア114A〜114Dは、アクセスがもう必要とされない場合、例えば、1以上の反応チャンバーの組102A/102B、102C/102D、及び/又は102E/102Fにおける化学反応プロセスの間に、チャンバー112と該1以上の反応チャンバーの組102A/102B、102C/102D、及び/又は102E/102Fの内部との間のアクセスを閉じるように構成することができる。
一実施態様において、複数のドア114A〜114Fは、内壁110内に画定されたオリフィスを閉じるように構成された摺動又は回転部材である。真空移送モジュール108の内壁110は、真空移送モジュール108の外側からのチャンバー112内部へのアクセスを可能とするように構成された第1のロードロックアクセス116A及び第2のロードロックアクセス116Bをさらに備えることができる。他の実施態様において、内壁110は、真空移送モジュール108の外側からのチャンバー112内部へのアクセスを可能とするように構成された複数のロードロックアクセスを備えることができる。
真空移送モジュール108は、移送モジュールロボットアーム118を備えることができる。一実施態様において、移送モジュールロボットアーム118は、旋回可能な肩部、第1のアームセグメント、旋回可能な肘部、第2のアームセグメント、旋回可能な手首部、及び1以上の把持部を備えることができる。一実施態様において、移送モジュールロボットアーム118は、2つ目の旋回可能な肩部、2つ目の第1のアームセグメント、2つ目の旋回可能な肘部、2つ目の第2のアームセグメント、2つ目の旋回可能な手首部、及び2組目の1以上の把持部のうちの少なくとも1つをさらに備えることができるということを意味するデュアルブレード型である。移送モジュールロボットアーム118は、チャンバー112の内部に実質的に中心に位置させることができ、かつチャンバー112の内部でかつドア114A〜114F及びロードロックアクセスドア116A〜116Bを通じてプロセストレー及びウェハーを操作するように構成することができる。
一実施態様において、第1のロードロック120は、ロードロックアクセスドア116Aで真空移送モジュール108に動作可能に連結することができ、かつ第2のロードロック130は、ロードロックアクセスドア116Bで真空移送モジュール108に動作可能に連結することができる。第1のロードロック120及び第2のロードロック130はそれぞれ、第1のドア122、132、チャンバー124、134及び第2のドア128、138を備えることができる。第1及び第2のロードロック120、130はそれぞれ、それらそれぞれの第1のドア122、132を通じてかつチャンバー124、134内にプロセストレー及びウェハーを受け入れるように構成することができる。第1のドア122、132は、チャンバー124、134の内部に制御された環境を提供するために閉じるように構成することができる。例えば、圧力レギュレーター(図示せず)を、チャンバー124、134に接続して、圧力密閉環境を生じさせることができる。圧力レギュレーターは、その後、チャンバー124、134の内部のガスを排気して、大気圧に対して負圧を生じさせることができる。第2のドア128、138は、その後、真空移送モジュール108のチャンバー112へのアクセスを選択的に提供するよう開くことができ、それによって、真空移送モジュール108の内部で一定圧力を維持しつつ、内部壁110の外側のエリアからの真空移送モジュール108へのアクセスを可能とする。該制御可能な環境は、大気環境、不活性ガス環境、制御された湿度環境、粒子低含有環境、温度環境などのうちの少なくとも1つ以上の制御を含むこともできる。
同様に、第1及び第2のロードロック120、130は、第2のドア128、138を通じてチャンバー124、134内へとプロセストレー及びウェハーを受け入れるように構成することができる。圧力レギュレーターは、その後、チャンバー124、134をガスで部分的に満たして、チャンバー124、134内部の圧力を大気圧と実質的に等しくすることができる。その後、第1のドア122、132を開いてチャンバー124、134の内部からのアクセスを選択的に提供することができ、それにより、真空移送モジュール108の内部で一定圧力を維持しつつ、真空移送モジュール108の内部から内部壁110の外側のエリアへのアクセスを可能とすることができる。
一実施態様において、第1及び第2のロードロック120、130の各々は、少なくとも1つの棚を備えることができ、それにより、第1及び第2のロードロック120、130を、2つ以上の区画へと分割する。一実施態様において、該2つ以上の区画の内部の圧力は、独立して調節されるように構成される。別の実施態様において、第1のロードロック120における1つの区画、例えば、その上部区画、及び第2のロードロック130における1つの区画、例えば、その上部区画が、圧力及び/又は雰囲気が取り出し及び装填シーケンスの間同じとなるように、圧力及び雰囲気を調節できるように構成される。他の実施態様において、2つ以上の区画の内部の環境は、負圧環境、大気環境、不活性ガス環境、制御された湿度環境、粒子低含有環境、温度環境(加熱及び/又は冷却を含む)などのうちの少なくとも1つ以上の制御を含むことができる。
図4Aを参照して、第1及び第2のロードロック120、130の一構成を示す。本実施態様において、第2のロードロック130を、第1のロードロック120に隣接して配置し、壁(上部の壁部171及び底部の壁部172)によって分離して配置することができる。隔壁173を使用して、第1のロードロック120を、2つの別々の区画又はチャンバー124A及び124Bへと分割することができる。隔壁174を使用して、第2のロードロック130を、2つの別々の区画又はチャンバー134A及び134Bへと分割することができる。いくつかの実施態様において、それぞれのチャンバー124A/B及び134A/Bが、独立してアクセスされかつ密閉されることができるように、全ての区画に対して別々のドアが存在する。一実施態様において、該2つ以上の区画の内部の圧力は、独立して調節されるように構成される。別の実施態様において、2つ以上の区画、例えば、チャンバー124A及び124B又は134A及び134Bの内部の圧力を一緒に調節できる。
図4Bを参照して、第1及び第2のロードロック120、130の別の構成を示す。本実施態様においては、第2のロードロック130を、第1のロードロック120の上部に配置することができる。隔壁121を使用して、第1のロードロック120を、2つの別々の区画又はチャンバー120A及び120Bへと分割することができる。隔壁131を使用して、第2のロードロック130を、2つの別々の区画又はチャンバー130A及び130Bへと分割することができる。いくつかの実施態様において、それぞれのチャンバー124A/B及び134A/Bが、独立してアクセスされかつ密閉されることができるように、全ての区画に対して別々のドアが存在する。一実施態様において、該2つ以上の区画の内部の圧力は、独立して調節されるように構成される。別の実施態様において、2つ以上の区画、例えば、チャンバー124A及び124B、134A及び134Bの内部の圧力は、一緒に調節できる。該区画は、制御可能な環境、例えば、大気環境、不活性ガス環境、制御された湿度環境、粒子低含有環境、温度環境なども有することができる。
別の実施態様において、ロードロック120及び130によって占められる空間に位置する単一のロードロックを存在させることができる。該単一のロードロックは、1以上の棚、第1のドア122及び132に関連する第1のドア(これは、単一のドア又は2つの個別のドアとすることができる)、及び第2のドア128及び138に関連する第2のドア(これは、単一のドア又は2つの個別のドアとすることができる)を有する個々のチャンバーを有することができる。該単一のロードロックは、ロードロック120及び130について説明したものに類似した1以上の圧力レギュレーターも有することができる。単一のロードロックの第1のドア又は第2のドアの動作は、ロードロック120及びロードロック130の第1のドア122及び132、並びにロードロック120及びロードロック130の第2のドア128及び138の動作に類似したものとすることができる。単一のロードロックは、制御可能な環境、例えば、大気環境、不活性ガス環境、制御された湿度環境、粒子低含有環境、温度環境なども有することができる。
複数のロードロック室及び/又は区画を備える実施態様において、ウェハーが、あるチャンバー及び/又は区画を指定した方向のみに通過するように、あるチャンバー及び/又は区画を、未処理のウェハー及びプロセストレーを受け入れるよう指定することができ、その一方で別のチャンバー及び/又は区画を、処理済のウェハー及びプロセストレーを受け入れるよう指定することができる。
別の実施態様において、あるチャンバー及び/又は区画を、バッファーとして役立つように真空移送モジュール108に対して常に開いたままとすることができる。一実施態様において、1以上のバッファーは、中央に集めた真空移送モジュール108の圧力と実質的に等しい負圧に維持することができ、かつ1以上のウェハーをその上に配置することができる架台を備えることができる。一実施態様において、架台は、その上に位置する処理済みのウェハーに対する制御された冷却効果を生じさせる冷却機能を有することができる。1以上のバッファー104を、ロードロック120及び130のうちの1つ以上の内部、自動化フロントエンドインターフェース140又はマニュアルフロントエンドインターフェースの内部に配置することもできる。
一実施態様において、ロードロック120、130の各々のチャンバー又は区画を、揃えられたウェハー及びプロセストレーをその上に配置することができる架台で構成することができる。いくつかの実施態様において、架台は、冷却機能を有することができる。
一実施態様において、自動化フロントエンドインターフェース140は、第1のロードロック120又は第2のロードロック130のうちの少なくとも1つに動作可能に連結することができる。自動化フロントエンドインターフェース140は、1以上のプロセストレーハウジング142、1以上のウェハーカセット144、アライナー146、及び1以上のインターフェースロボットアーム148を備えることができる。
一実施態様において、プロセストレーハウジング142Aは、化学蒸着プロセスにおける使用の前の1以上のプロセストレーを収容するように構成することができ、一方で、プロセストレー142Bは、化学蒸着プロセスにおける使用の後の1以上のプロセストレーを収容するように構成することができる。プロセストレーハウジング142は、必要に応じて、例えば、未使用のプロセストレーの供給を補充するため、又は使用済みのプロセストレーを取り出すために、自動化フロントエンドインターフェース140から取り外されかつ別のプロセストレーハウジング142と置き換えられるように構成することができる。
一実施態様において、ウェハーカセット144Aは、化学蒸着プロセスにおける処理の前の1以上のウェハーを収容するように構成することができ、一方で、ウェハーカセット144Bは、化学蒸着プロセスにおける処理後の1以上のウェハーを収容するように構成することができる。あるいは、化学蒸着プロセスの後に、プロセスウェハーを、それらの元のウェハーカセット内に配置することができる。ウェハーカセット144は、必要に応じ、自動化フロントエンドインターフェース140から取り外され、かつ別のウェハーカセット144と置き換えられるように構成することができる。
インターフェースロボットアーム148は、前記ウェハーカセット144から1以上のウェハーをつかみ、かつそれをウェハーアライナー152上に置くように構成することができる。一実施態様において、ウェハーは、それらの外径上にノッチ又は平らにされた部分を含み、ウェハーアライナー152は、該ノッチ又は平らにされた部分が、ある位置に達するまで該ウェハーを回転させることを可能とする。インターフェースロボットアーム148は、前記プロセストレーハウジング142から1以上のプロセストレー(ウェハーキャリアーと呼ばれることもある)をつかみ、かつそれを、プロセストレーアライナー152上に置いて、プロセストレーを適切な向きにすることができるように構成することができる。
インターフェースロボットアーム148は、ウェハーアライナー152から1以上のウェハーをつかみ、かつそれを、アライナー146上に置くように構成することができる。インターフェースロボットアーム148は、プロセストレーアライナー152から該1以上のプロセストレーをつかみ、かつそれを、アライナー146上に置くように構成することができる。アライナー146は、該1以上のウェハーを1以上のプロセストレー上に揃えることを助けるように構成することができる。
いくつかの実施態様において、インターフェースロボットアーム148は、前記揃えることの少なくとも一部を行うのに用いられる。インターフェースロボットアーム148は、自動化フロントエンドインターフェース140の第1の出力154又は第2の出力156を通じた、第1又は第2のロードロック120、130内への移送のために、揃えられたウェハー及びプロセストレーをつかむように構成することができる。一実施態様において、複数のインターフェースロボットアーム148A/Bは(図3に示すように)、自動化フロントエンドインターフェース140の第1及び/又は第2の出力154、156を通じた、第1及び/又は第2のロードロック120、130内への独立したかつ/又は同時の移送のために、揃えられたウェハー及びプロセストレーをつかむように構成することができる。例えば、フロントエンドインターフェース140は、2つのインターフェースロボットアーム148を備えることができ、ここで、一方のインターフェースロボットアーム148Aは、ロードロック120、130の内部の第1のチャンバー又はチャンバーの組の装填及び取り出しを行うよう構成され、かつ第2のインターフェースロボットアーム148Bは、ロードロック120、130の内部の第2のチャンバー又はチャンバーの組の装填及び取り出しを行うよう構成される。
一実施態様において、第1のロードロック120は、第1の出力154に動作可能に連結され、一方で、第2のロードロック130は、第2の出力156に動作可能に連結される。第1及び/又は第2のロードロック120、130が複数の区画へと分割されている実施態様において、各々の区画は、それぞれの第1の出力154及び第2の出力156と連通している別々のドアを有することができる。さらに、インターフェースロボットアーム148は、第1又は第2のロードロック120、130から自動化フロントエンドインターフェース140内へのそれぞれの第1及び第2の出力154、156を通じた移送のために、ウェハー及びプロセストレーをつかむように構成することができる。
図5を参照し、例示の反応チャンバー102を、本開示の実施態様により示す。反応チャンバー102は、プロセス環境空間を画定し、その中に、ガス分配デバイス202を該環境空間の一端に配置することができる。ガス分配デバイス202は、ウェハー処理プロセスにおいて用いられることとなるプロセスガス、例えば、キャリアーガス及び金属有機化合物及びV族モデルの供給源などの反応ガスを供給するための供給源204A〜204Cに接続することができ、その全ては供給源送達アセンブリー106内に組み込むことができる(図1〜図3に示されるように)。ガス分配デバイス202は、さまざまなガスを受け取りかつ組み合わされたプロセスガスの流れを導くように配置することができる。作動中にガス分配デバイス202の温度を所望の温度に維持するために、ガス分配デバイス202を通じて液体を流通させるように構成された冷媒システム206に、ガス分配デバイス202を接続することもできる。類似の冷媒配置(図示せず)を、反応チャンバー102の壁を冷却するために提供し得る。
反応チャンバー102は、排気システム208を備えることもできる。排気システム208は、一般にガス分配デバイス202から遠位のエリアにおけるプロセス環境空間の内部の1以上のポート(図示せず)を通じて、該プロセス環境空間から使用済みガスを取り除くように構成することができる。
スピンドル210が中心アクセスの周りを回転できるように、スピンドル210を反応チャンバー102内部に配置することができる。スピンドル210は、プロセストレー214と解除可能に係合するように適合された取付け部品を備えることができる。加熱要素216を、プロセストレー214の下の反応チャンバー102内部に据えつけることができる。いくつかの実施態様において、温度モニター218が、反応チャンバー102の内部の環境空間の温度をモニターするために提供される。
図6を参照して、別の例示の反応チャンバー102を、本開示の実施態様により示す。本実施態様において、ターンテーブル222を、反応チャンバー102の冷却領域内に配置する。ターンテーブル222の底部は、回転を可能とするベアリング又はガイドホイールシステムを備えることができる。中空シリンダーとすることができる回転式誘電性支持体224を、ターンテーブル222の上部に連結することができる。プロセストレー214を、回転式誘電性支持体224の上部に配置することができる。プロセストレー214は、回転式誘電性支持体224に機械的に取り付けることができるか、回転式誘電性支持体224の上面に自由に配置して、かつ摩擦によって適切な位置に保持することができる。
あるいは、ウェハーキャリアーとも呼ばれるプロセストレー214は、中心アクセスの周りに対称的に形成された実質的に円板の形態の本体を有することができる。本体は、ウェハー220を収容するための1以上のポケットを備えることができる。いくつかの実施態様において、プロセストレー214は、単一のウェハー220を保持するように構成された単一のポケットを備えることができる。例えば、一実施態様において、プロセストレー214は、6から12インチ(15.24〜30.48cm)の間の直径を有する単一のウェハー220を受け入れるように構成することができる。
単一基板のプロセストレー214は、多くのプロセス上有利な点を提供することができる。例えば、単一基板のプロセストレー214は、ウェハーにわたりより高い温度均一性を提供することができ、それらは、より多い処理量及び重要な構成要素の反応性プロセス化学からのより強い保護を提供することができ、それらは、向上したガス効率を提供することができ、それらは、マルチウェハーのプロセストレーよりもより少数の接触点を可能とすることができ、それらは、より短い期間で所望の回転速度を達成することができ、かつ、それらは、マルチウェハーのプロセストレーよりも安価に製造することができる。
動作においては、1以上のプロセストレーハウジング142及び1以上のウェハーカセット144が、自動化フロントエンドインターフェース140上に装填される。1以上のプロセストレーハウジング142A/B及びウェハーカセット144A/Bは、ユーザーが装填することができ、ユーザーは、その後、それらのさまざまな位置をマップ化することができる。いくつかの実施態様においてエンドエフェクターを有することができるインターフェースロボットアーム148は、例えば、ウェハーカセット144Aからウェハーアライナー150へと1以上のウェハー220を移送することができる。ウェハーアライナー150は、ウェハー220を揃えて一時的に収容するように構成することができる。インターフェースロボットアーム148は、さらに、例えば、プロセストレーハウジング142Aからプロセストレーアライナー152へと1以上のプロセストレー214を移送することができる。プロセストレーアライナー152は、プロセストレー214を揃えて一時的に収容するように構成することができる。
インターフェースロボットアーム148は、ウェハーアライナー154からウェハー220を取り出し、かつウェハー220をアライナー146へと移送することができる。一実施態様において、アライナー146は、非接触型エンドエフェクター及びプロセストレーセンタリング環を有する。一実施態様において、インターフェースロボットアーム148は、該非接触型及びエフェクターが、インターフェースロボットアーム148のエンドエフェクターからウェハー220を取り出し、かつウェハー220を適切な場所に確保するように、ウェハー220をアライナー146内に配置することができる。インターフェースロボットアーム148は、その後、プロセストレーアライナー152からアライナー146へとプロセストレー214を移送することができ、ここで、前記センタリング環は、プロセストレー214をウェハー220と揃えることができる。インターフェースロボットアーム148は、その後、アライナー146から第1の出力154を通じて第1のロードロック120内へと、揃えられたプロセストレー214及びウェハー220を移送することができる。いくつかの実施態様において、本プロセスが繰り返されて第2のウェハー220が第2のプロセストレー214上に揃えられ、その後、それらを、インターフェースロボットアーム148によって第2の出力156を通じて第2のロードロック130内へと移送することができる。
ひとたびウェハー220及びプロセストレー214が第1及び第2のロードロック120、130のそれぞれのチャンバー124、134の内部に入り、かつインターフェースロボットアーム150がチャンバー124、134から退避されれば、第1のドア122、132を閉じることができ、それにより、チャンバー124、134の内部に隔離された制御可能な環境、例えば、圧力環境が生じる。第1のドア122、132及び第2のドア128、138の双方を閉じた状態で、圧力レギュレーターは、チャンバー124、134の内部のガスの一部を排気して、真空移送モジュール108の内部の動作圧力と実質的に等しい負圧を生じさせることができる。ひとたびチャンバー124、134内部に所望の圧力が確立されれば、第2のドア128、138を開くことができる。一実施態様において、第1のロードロック120及び第2のロードロック130は、互いに完全に独立して動作する。チャンバー124及び134の環境を、別のレギュレーター(図示せず)を通じて制御して、不活性環境(例えば、窒素又はアルゴン)、低湿度又はそうでなければ制御された湿度などを得ることもできる。
真空移送モジュール108の内部の移送モジュールロボットアーム118は、その後、それぞれの第1及び第2のロードロック室124、134からウェハー220及びプロセストレー214をつかみ、それらを、例えば、処理のために反応チャンバー102A及び102Bに移送することができる。一実施態様において、移送モジュールロボットアーム118は、デュアルブレード型であり、それにより、2組のウェハー220及びプロセストレー214の移送を独立してかつ同時に可能とする。ドア114A及び114Bは、それに合わせて開閉することができ、それを通じてウェハー220及びプロセストレー214を反応チャンバー102A及び102B内へと通過させることを可能とする。
反応チャンバー102A及び102Bの内部で所望の処理を行った後は、ドア114A及び114Bを開くことができ、かつウェハー220及びプロセストレー214を移送モジュールロボットアーム118によって反応チャンバー102A及び102Bから取り出すことができ、かつ第1又は第2のロードロック120、130に移すことができる。
ひとたびウェハー220及びプロセストレー214が第1及び第2のロードロック120、130のそれぞれのチャンバー124、134の内部に入り、かつ移送モジュールロボットアーム118がチャンバー124、134から退避されれば、第2のドア128、138を閉じることができ、それにより、チャンバー124、134の内部に隔離された制御された環境、例えば、圧力環境が生じる。第1のドア122、132及び第2のドア128、138の双方を閉じた状態で、圧力レギュレーターは、チャンバー124、134の内部の圧力を等しくして、大気圧と実質的に等しい圧力を生じさせることができる。ひとたびチャンバー124、134内部に所望の圧力が確立されれば、第1のドア122、132を開くことができ、かつウェハー220及びプロセストレー214を取り出すことができる。
ウェハー220は、その後、インターフェースロボットアーム148によって、完成ウェハーカセット144Bへと移送することができるか、ウェハー220を、それらが元々入っていたウェハーカセット144Aに移送することができる。プロセストレー214は、インターフェースロボットアーム148によってプロセストレーハウジング142B又は142Aへと移送することができる。
化学蒸着システム100のMOCVDのための反応チャンバー102A〜102Fのうちの1つ以上を、別の種類の処理チャンバーと置き換えることができる。エピタキシャルウェハー処理側では、反応チャンバー102A〜102Fのうちの1つ以上を、赤色、橙色、及び黄色(ROY)の発光ダイオード(例えば、ヒ化ガリウム、ヒ化リン化ガリウム、リン化アルミニウム・ガリウム・インジウム、及びヒ化アルミニウム・ガリウムベースのデバイス)をエピタキシャル成長させるCVD反応器、プラズマ促進CVD反応器(PECVD)、分子線エピタキシー(MBE)堆積チャンバー、原子層堆積(ALD)反応器、低圧CVD反応器(LPCVD)、物理蒸着(PVD)反応器、プラズマ促進物理蒸着(PEPVD)チャンバー、熱アニーリング装置、ドーピングチャンバー、プラズマ促進ALD反応器(PEALD)、プラズマ促進ALE反応器(PEALE)、高密度プラズマ促進化学蒸着(HDPECVD)、原子層エピタキシー(ALE)チャンバー、又はエッチングチャンバーとすることができる。異なる種類の反応チャンバーを用いることで、化学蒸着システム100の効率及び収率を上昇させることができる。
1以上の反応チャンバーの組102A/102B、102C/102D、及び/又は102E/102F、ロードロック120及び130、真空移送チャンバー108、並びに/又は自動化フロントエンドインターフェース/マニュアルフロントエンドインターフェース140の各々は、そのようなチャンバーの内側又は外側、例えば、反応チャンバー102A〜102Fのうちの1つ以上のビューポート上に据えつけられた計測ツールを備えることができる。計測ツールの例としては、インサイチュ高温計/反射率計、マルチポイント高温計、たわみ計、及び/又は反射率計、インサイチュ高温計/たわみ計/反射率計、エリプソメーター、フォトルミネセンス分光計、エレクトロルミネセンス分光計、表面弾性波発生機、カメラ、フィルム厚を測定するセンサー、抵抗率/ドーピングセンサー、ウェハーレベルでの電気的特性を測定するセンサー、及び表面欠陥、例えば、粒子、クラック、すべり、エピタキシャル成長欠陥などを測定するセンサーが挙げられる。そのような計測ツールは、例えば、LEDエピタキシープロセスの間にLED波長を試験するのに使用することができる。
上で言及したエピタキシャルウェハー処理チャンバーに加えて、反応チャンバー102A〜102Fのうちの1つ以上を、ウェハークリーニング処理チャンバーもしくはウェハープレクリーン又はウェハークリーニングチャンバーによって置き換えることができる。ウェハープレクリーン又はウェハークリーニングチャンバーを使用して、反応チャンバー102A〜102Fにおいてエピタキシャル堆積プロセスを受ける前のウェハー220表面から、自然酸化物(例えば、酸化ケイ素)、イオン性の、金属性の、有機(例えば、炭素)の、グリースの及び他の不純物(例えば、シリコン、サファイア、炭化ケイ素など)を取り除くことができる。プレクリーニングチャンバーは、化学蒸着システム100の反応チャンバー102A〜102Fのうちの1つを置き換えることができるか、自動化フロントエンドインターフェース/装置フロントエンドモジュール/マニュアルフロントエンドインターフェース140と連通させることができる。
クリーニングの間に、ウェハーを、ウェハーカセット144から移動させて、プレクリーンチャンバー102へと送ることができる。クリーニングガス、例えば、塩素ガス(Cl2)、塩化水素(HCl)、三フッ化窒素(NF3)、又は、好ましくは、フッ化水素(HF)を、不活性キャリアーガス、例えば、水素(H2)、窒素(N2)、ヘリウム、又はアルゴンで希釈して、プロセスクリーニングガスを生成させる。プロセスクリーニングガスを、プレクリーンチャンバー内に導入して、クリーニングされるべきウェハー220の表面に接触させる。エッチャント化学は、ウェハー220の表面上の自然酸化物及び他の不純物と反応して、揮発性副生成物、例えば、四フッ化ケイ素(SiF4)及び水蒸気を生じる。副生成物は、全ての残存するプロセスクリーニングガスと一緒にプレクリーニングチャンバー102A〜102Fから排気することができる。クリーニングプロセスは、プロセスクリーニングガスを約20℃〜500℃の範囲の温度まで加熱することによって実行することができる。ヒーターをプレクリーニングチャンバー内に配置して、クリーニングプロセス温度を調整することもできる。クリーニング後、クリーニング済みのウェハー220を、クリーンウェハーカセット114へと移動させて、エピタキシャル成長プロセスのためのそのシーケンスを待つことができるか、又はエピタキシャル成長プロセスのために化学蒸着システム100の反応チャンバー102へと移動させることができる。
本システムの別の構成要素は、プロセストレークリーニングチャンバーとすることができる。エピタキシャル成長プロセスの間、エピタキシャル反応材料(例えば、AlGaN、GaN、Mgなど)及び他の材料を、プロセストレー214(ウェハーキャリアーとも称される)上に堆積させることができる。新たな回のエピタキシャル成長プロセスのために新たなウェハー220をプロセストレー214上に装填する場合に、これらの材料を取り除かないと、化学蒸着システム100の収率及び性能が低下する可能性が高くなる。ある例において、プロセスシステムにウェハーキャリアークリーニングプロセスチャンバーを取り付けることは、エピタキシャルプロセス全体を加速するであろう。これは、クリーニング済みのプロセストレー214をプロセストレークリーニングシステムが配置されている制御された環境(fab)内へと手動で又は機械的に持ち込む必要が無くなるであろうためである。ウェハーキャリアークリーニングチャンバーは、例えば、プロセストレーハウジング142Aの代わりにか又はそれに加えて、自動化フロントエンドインターフェース/装置フロントエンドモジュール/マニュアルフロントエンドインターフェース140に取り付けることができる。
エピタキシャル処理後、処理済みのウェハー220は、通常、プロセストレー214上に位置しており、該処理済みのウェハー220は、手動で取り出すか、又はインターフェースロボットアーム148によって取り出して、かつウェハーカセット114内へと装填することができる。このウェハーカセット114を、最終的な半導体デバイスへとさらなる処理を行うためにfabの内部で移動させることができる。ひとたびエピタキシャル処理済みのウェハー220がプロセストレー214から取り出されれば、プロセストレー214をウェハーキャリアークリーニングプロセスチャンバー142へと移動させることができる。1以上のプロセストレー214を、ウェハーキャリアークリーニングプロセスチャンバー142内に配置することができる。ひとたびチャンバー142Aに1以上のプロセストレー214が装填されると、チャンバー142Aに真空が適用され、チャンバー内部が約400℃〜1800℃の範囲の温度に加熱され、かつ乾燥ガス、例えば、塩化水素、塩素ガス、水素、窒素、及びそれらの混合物がチャンバー内に導入され、プロセストレー214からエピタキシャル反応材料がエッチングされる。ひとたびエピタキシャル材料がプロセストレー214から取り除かれれば、クリーニング済みのプロセストレー214は、その後、化学蒸着システム100における継続した使用のためにウェハーキャリアーハウジング142B内に配置することができるか、又は化学蒸着システム100におけるエピタキシャル成長のために新たなウェハー220をその上に配置できるように自動化フロントエンドインターフェース140に戻し、ウェハーアライナー152上に据えつけることができる。
一実施態様において、ウェハーキャリアープロセスクリーニングチャンバーを自動化フロントエンドインターフェース/装置フロントエンドモジュール/マニュアルフロントエンドインターフェース140に取り付ける代わりに、それで化学蒸着システム100の反応チャンバー102A〜102Fのうちの1つを置き換えることができる。酸洗浄剤(例えば、硫酸、クエン酸、フッ化水素酸、塩酸)又は別の種類のクリーニング流体(例えば、過酸化水素、アンモニア/水)、並びに前述のものの混合物を高温で使用することを含めた、プロセストレーをクリーニングする別の種類の方法は周知である。
化学蒸着システム100の他の実施態様において、エピタキシャルウェハー処理チャンバー及び/又はウェハークリーニング処理チャンバー及び/又はウェハーキャリアークリーニング処理チャンバーのように、追加の側面/ファセットをチャンバー108に加えることができる。
ある例において、自動化フロントエンドインターフェース140を、マニュアルフロントエンドインターフェースと置き換えることができる。その場合、ウェハー220は、プロセストレー214上へと、手動で装填されるか又は取り出される。マニュアルフロントエンドインターフェースは、粒子を取り除く適切なフィルターを有する下向きの流れユニットを有するキャビネットとすることができる。該キャビネットは、ロードロック120及び130と連通させることができ、かつリフトシステムを使用して、ロードロック120、130の各々のドア122、132を通じてウェハー220が装填されたプロセストレー214を配置し、かつそれを各々のロードロック120、130の内部の架台上に配置することができる。真空移送モジュール108の内部の移送モジュールロボットアーム118は、その後、ウェハー220が装填されたプロセストレー214を持ち上げて、それらを反応チャンバー102A〜102F内へと装填することができる。ロードロック120、130のドア122、128、132、138を、ロードロック120/130に処理されるべきウェハー220が装填中であるか否かに応じて開閉することができるか、又は処理済みのウェハー220を取り出すことができる。ウェハー220及びプロセストレー214ストレージをマニュアルフロントエンドインターフェースに提供することができ、マニュアル又はロボットカートを使用して、ウェハー220及び/又はプロセストレー214を化学蒸着システム100の内部のさまざまなツールへと移動させることができる。
当業者は、実施態様が、上述の任意の個々の実施態様において例示されたものよりも少ない数の特徴を含み得ることを認識するであろう。本明細書に記載される実施態様は、さまざまな特徴を組み合わせ得る方法の網羅的な提示を意味しない。従って、実施態様は、相互に排他的な特徴の組合せではなく;むしろ、実施態様は、当業者により理解されるように、異なる個々の実施態様から選択される異なる個々の特徴の組合せを含み得る。さらに、一実施態様に関して説明した要素は、たとえ他の実施態様において記載されていないとしても、特に断りのない限り、そのような実施態様において実行することができる。特許請求の範囲において従属請求項が1以上の別の請求項との具体的な組合せに言及することがあるが、別の実施態様も、該従属請求項の各々の別の従属請求項の主題との組合せ、又は1以上の特徴の別の従属又は独立請求項との組合せを含むこともできる。そのような組合せは、具体的な組合せが意図されないことが明言されている場合を除き、本明細書において提案されている。さらに、ある請求項の特徴を任意の別の独立請求項に含むことも、例え該請求項が独立請求項に直接従属するものとされていない場合においても意図される。
上述の参照による文書の組み込みはいかなるものであれ、本明細書における明示的な開示に反する主題が組み込まれないよう制限される。上述の参照による文書の組み込みはいかなるものであれ、該文書に含まれる請求項が引用により本明細書に組み込まれないようさらに制限される。上述の参照による文書の組み込みはいかなるものであれ、該文書において提供されるいかなる定義も、本明細書に明示的に含まれる場合を除き、引用により本明細書に組み込まれないようさらにまた制限される。
本教示の方法において用いられる個々の工程は、該教示が動作可能のままである限りは、任意の順番でかつ/又は同時に行い得ることを理解すべきである。さらに、教示が動作可能のままである限りは、本教示の装置及び方法が、任意の数又は全ての説明した実施態様を含むことができることを理解すべきである。

Claims (15)

  1. 第1の出力及び第2の出力を有する自動化フロントエンドインターフェースであって:
    2つ以上のプロセストレーを収容するように構成されたプロセストレーハウジング;
    2つ以上のウェハーを収容するように構成されたウェハーカセット;
    第1のウェハーを第1のプロセストレー上に、かつ第2のウェハーを第2のプロセストレー上に揃えるように構成されたアライナー;及び
    ウェハー及びプロセストレーを該アライナーへ、該揃えられた第1のウェハー及びプロセストレーを該第1の出力へ、かつ該揃えられた第2のウェハー及びプロセストレーを該第2の出力へと移送するように構成されたインターフェースロボットアーム;
    を備える、前記自動化フロントエンドインターフェース;
    制御された環境を維持する能力があり、かつ該自動化フロントエンドインターフェースと連通しており、該第1の出力と連通している第1のドア、該第2の出力と連通している第2のドア、該第1のドアと反対側の第3のドア、及び該第2のドアと反対側の第4のドアを有するロードロック室であって、該ロードロック室が、該第1の出力から該第1のドアを通じて該揃えられた第1のウェハー及びプロセストレーを、かつ該第2の出力から該第2のドアを通じて該揃えられた第2のウェハー及びプロセストレーを受け入れるよう構成され、該ロードロック室が、1以上の棚を備える、前記ロードロック室;及び
    該ロードロック室の該第3及び第4のドアと連通している真空移送モジュールであって、該真空移送モジュールが、該揃えられた第1のウェハー及びプロセストレー並びに該揃えられた第2のウェハー及びプロセストレーを、該ロードロック室から該真空移送モジュールと連通している1以上の反応チャンバーの組へと操作するように構成されたデュアルブレード型ロボットアームを有する、前記真空移送モジュール、
    を備える化学蒸着システム。
  2. 1以上の反応チャンバーが、金属有機化学蒸着、化学蒸着、物理蒸着、プラズマ促進物理蒸着、プラズマ促進化学蒸着、原子層堆積、プラズマ促進原子層堆積、及び原子層エピタキシーから選択されるプロセスを行うことができる、請求項1記載の化学蒸着システム。
  3. 1以上の反応チャンバーに、1以上の計測ツールが備えられている、請求項1又は2記載の化学蒸着システム。
  4. 前記システムが、1組、2組、又は3組の反応チャンバーを備え、各々の反応チャンバーが、独立して運転される、請求項1記載の化学蒸着システム。
  5. 反応チャンバーの各々の組に隣接して位置する供給源送達アセンブリーであって、反応チャンバーの各々の組に、キャリアーガス、1以上の反応ガス、冷却システム、及び換気システムのうちの1つ以上を提供するように構成された、前記供給源送達アセンブリーをさらに含む請求項1〜4のいずれか1項記載の化学蒸着システム。
  6. 前記ロードロックが、1以上のチャンバーを備えることができ、かつ、任意に、各々のチャンバーが、1以上の独立して制御可能な環境区画を備えることができる、請求項1〜5のいずれか1項記載の化学蒸着システム。
  7. 前記自動化フロントエンドインターフェースが、2つのインターフェースロボットアームを備え、該2つのインターフェースロボットアームが、互いに独立してかつ同時に動作するように構成される、請求項1〜6のいずれか1項記載の化学蒸着システム。
  8. 前記ロードロック室及び/又は前記1以上の区画の前記制御可能な環境が、独立して、負圧環境を確立するか、大気圧環境を維持するか、不活性ガス環境を維持するか、制御された湿度環境を維持するか、粒子低含有環境を維持するか、又は制御温度環境を維持するように構成された、請求項1〜7のいずれか1項記載の化学蒸着システム。
  9. 複数の反応チャンバーの内部でのエピタキシャル層の成長のための複数のウェハーを調製する方法であって:
    (i)2つ以上のウェハーを収容するように構成されたウェハーカセット、及び(ii)2つ以上のプロセストレーを収容するように構成されたプロセストレーハウジングで構成された自動化フロントエンドインターフェースを提供すること;
    ロードロック室であって、該ロードロック室が1以上のチャンバーを備え、かつ、任意に、各々のチャンバーが、1以上の独立して制御可能な環境区画を備えることができ、該ロードロック及び該任意の区画の環境が、負圧環境を確立すること、大気圧環境を確立すること、不活性ガス環境を維持すること、制御された湿度環境を維持すること、制御された温度環境を維持すること、及び粒子低含有環境を維持することのうちの少なくとも1つによって制御される、前記ロードロック室を提供すること;
    該ウェハーカセットからの第1のウェハーを、該プロセストレーハウジングからの第1のプロセストレー上に、前記アライナーによって揃えること;
    該揃えられた第1のウェハー及び第1のプロセストレーを、該アライナーから該ロードロック室内へと、インターフェースロボットアームによって移送すること
    該ウェハーカセットからの第2のウェハーを、該プロセストレーハウジングからの第2のプロセストレー上に、該アライナーによって揃えること;及び
    該揃えられた第2のウェハー及び第2のプロセストレーを、該アライナーから該ロードロック室内へと、該インターフェースロボットアームによって移送すること、
    を含む前記方法。
  10. 前記ロードロック上の1以上のドアを開き、それにより該ロードロック室を、真空移送モジュールと流体連通させることをさらに含む、請求項9記載の方法。
  11. 前記揃えられた第1のウェハー及び第1のプロセストレー並びに前記揃えられた第2のウェハー及び第2のプロセストレーを、前記ロードロック室から、デュアルブレード型ロボットアームを用いる前記真空移送モジュールを通じて、第1の組の反応チャンバー内へと同時に移送することをさらに含む、請求項10記載の方法。
  12. 前記ウェハーカセットからの第3のウェハーを、前記プロセストレーハウジングからの第3のプロセストレー上に、前記アライナーによって揃えること;
    該揃えられた第3のウェハー及び第3のプロセストレーを、該アライナーから前記ロードロック室内へと前記インターフェースロボットアームによって移送すること;
    該ウェハーカセットからの第4のウェハーを、該プロセストレーハウジングからの第4のプロセストレー上に、該アライナーによって揃えること;
    該揃えられた第4のウェハー及び第4のプロセストレーを、該アライナーから該ロードロック室内へと、該インターフェースロボットアームによって移送すること;及び
    該揃えられた第3のウェハー及び第3のプロセストレー並びに該揃えられた第4のウェハー及び第4のプロセストレーを、該ロードロック室から、デュアルブレード型ロボットアームを用いる前記真空移送モジュールを通じて、第2の組の反応チャンバー内へと同時に移送すること、
    をさらに含む請求項11記載の方法。
  13. 前記ウェハーカセットからの第5のウェハーを、前記プロセストレーハウジングからの第5のプロセストレー上に、前記アライナーによって揃えること;
    該揃えられた第5のウェハー及び第5のプロセストレーを、該アライナーから前記ロードロック室内へと前記インターフェースロボットアームによって移送すること;
    該ウェハーカセットからの第6のウェハーを、該プロセストレーハウジングからの第4のプロセストレー上に、該アライナーによって揃えること;
    該揃えられた第6のウェハー及び第6のプロセストレーを、該アライナーから該ロードロック室内へと、該インターフェースロボットアームによって移送すること;及び
    該揃えられた第5のウェハー及び第5のプロセストレー並びに該揃えられた第6のウェハー及び第6のプロセストレーを、該ロードロック室から、デュアルブレード型ロボットアームを用いる前記真空移送モジュールを通じて、第3の組の反応チャンバー内へと同時に移送すること、
    をさらに含む請求項12記載の方法。
  14. 該揃えられたウェハー及びプロセストレーを、そのそれぞれの反応チャンバー内で処理することをさらに含む、請求項1、12、又は13記載の方法。
  15. 反応チャンバーの各々の組から、前記処理済みのウェハー及びプロセストレーを、該反応チャンバーの組の各々の反応チャンバーから、前記真空移送モジュールを通じて、前記ロードロック室内へと、前記デュアルブレード型ロボットによって同時に移送することをさらに含む、請求項14記載の方法。
JP2018511618A 2015-09-03 2016-08-24 複数チャンバー化学蒸着システム Pending JP2018532264A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562213950P 2015-09-03 2015-09-03
US62/213,950 2015-09-03
US201662317085P 2016-04-01 2016-04-01
US62/317,085 2016-04-01
PCT/US2016/048351 WO2017040140A1 (en) 2015-09-03 2016-08-24 Multiple chamber chemical vapor deposition system

Publications (1)

Publication Number Publication Date
JP2018532264A true JP2018532264A (ja) 2018-11-01

Family

ID=57582406

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018511618A Pending JP2018532264A (ja) 2015-09-03 2016-08-24 複数チャンバー化学蒸着システム

Country Status (8)

Country Link
US (1) US20170067163A1 (ja)
EP (1) EP3345210A4 (ja)
JP (1) JP2018532264A (ja)
KR (1) KR20180038577A (ja)
CN (3) CN106498366A (ja)
DE (1) DE202016104588U1 (ja)
TW (2) TWM538045U (ja)
WO (1) WO2017040140A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
WO2017061333A1 (ja) * 2015-10-08 2017-04-13 株式会社ニューフレアテクノロジー 気相成長速度測定装置、気相成長装置および成長速度検出方法
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
JP6945357B2 (ja) * 2017-06-08 2021-10-06 東京エレクトロン株式会社 制御装置。
CN107403712B (zh) * 2017-07-26 2019-01-22 北京芯微诺达科技有限公司 一种等离子体设备
KR102064145B1 (ko) * 2017-08-03 2020-01-09 주식회사 테스 박막증착장치
CN110581055A (zh) * 2018-05-29 2019-12-17 深圳市永盛隆科技有限公司 一种设备前端模块及生产线
CN109244186B (zh) * 2018-09-19 2024-02-27 通威太阳能(安徽)有限公司 一种新型背钝化背膜正膜机台镀膜连体上下料装置及方法
CN109637967B (zh) * 2018-12-19 2022-11-25 航天恒星科技有限公司 一种混合集成电路共晶烧结用石墨夹具
CN111485224B (zh) * 2019-01-29 2024-08-23 北京石墨烯研究院 化学气相沉积装置
CN109825876A (zh) * 2019-02-14 2019-05-31 北京沃尔德金刚石工具股份有限公司 金刚石的制备装置及制备方法
CN111584676A (zh) * 2019-02-15 2020-08-25 北京铂阳顶荣光伏科技有限公司 镀膜设备及连续镀膜方法
JP7099398B2 (ja) * 2019-04-18 2022-07-12 株式会社Sumco 気相成長方法及び気相成長装置
US20200354828A1 (en) * 2019-05-10 2020-11-12 Veeco Instruments Inc. Deposition system with integrated carrier cleaning modules
FI129578B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
WO2021064650A1 (en) * 2019-10-03 2021-04-08 Lpe S.P.A. Treating arrangement with transfer chamber and epitaxial reactor
EP4022240A1 (en) * 2019-10-03 2022-07-06 LPE S.p.A. Treating arrangement with storage chamber and epitaxial reactor
CN110626798A (zh) * 2019-10-23 2019-12-31 珠海市协正智能装备有限公司 一种模组转盘设备
CN113675119A (zh) * 2020-05-15 2021-11-19 拓荆科技股份有限公司 基片传输模块及半导体处理系统
TW202220811A (zh) * 2020-11-20 2022-06-01 天虹科技股份有限公司 基材傳送系統
CN113745131B (zh) * 2021-08-31 2024-01-16 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备
CN114108079A (zh) * 2021-10-12 2022-03-01 材料科学姑苏实验室 真空互联系统及其自动传输方法
US20230113673A1 (en) * 2021-10-12 2023-04-13 Applied Materials, Inc. Factory interface robots usable with integrated load locks
CN115198252B (zh) * 2022-03-25 2024-04-23 华中科技大学 原子层沉积设备及原子层沉积薄膜的制备方法
CN114855271A (zh) * 2022-04-22 2022-08-05 浙江求是半导体设备有限公司 外延生长装置
CN117265650B (zh) * 2023-09-20 2024-05-03 江苏汉印机电科技股份有限公司 一种碳化硅外延化学气相沉积系统

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100261532B1 (ko) * 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
MY148924A (en) 2001-09-29 2013-06-14 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
US8366830B2 (en) 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8078311B2 (en) * 2004-12-06 2011-12-13 Tokyo Electron Limited Substrate processing apparatus and substrate transfer method adopted in substrate processing apparatus
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US8096350B2 (en) 2006-09-21 2012-01-17 The United States Of America As Represented By The Secretary Of The Navy Dual point adjustable depth air sparging well system
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
KR101458909B1 (ko) * 2008-04-03 2014-11-07 삼성디스플레이 주식회사 인 라인 설비
US8231006B2 (en) 2008-12-31 2012-07-31 Memc Singapore Pte. Ltd. Methods to recover and purify silicon particles from saw kerf
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP2013033965A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
US8676375B2 (en) * 2012-02-27 2014-03-18 Veeco Instruments Inc. Automated cassette-to-cassette substrate handling system
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
KR101891007B1 (ko) 2012-05-18 2018-08-22 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착을 위한 페로플루이드 밀봉부를 갖는 회전 디스크 리액터
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
US20150364352A1 (en) * 2014-06-11 2015-12-17 Veeco Instruments Inc. Wafer Loading and Unloading
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung

Also Published As

Publication number Publication date
DE202016104588U1 (de) 2016-11-30
CN106498366A (zh) 2017-03-15
WO2017040140A1 (en) 2017-03-09
EP3345210A1 (en) 2018-07-11
CN206646165U (zh) 2017-11-17
EP3345210A4 (en) 2019-05-01
CN206127419U (zh) 2017-04-26
TW201712736A (zh) 2017-04-01
US20170067163A1 (en) 2017-03-09
KR20180038577A (ko) 2018-04-16
TWM538045U (zh) 2017-03-11

Similar Documents

Publication Publication Date Title
JP2018532264A (ja) 複数チャンバー化学蒸着システム
US20220068686A1 (en) Indexable side storage pod apparatus, heated side storage pod apparatus, systems, and methods
US6696367B1 (en) System for the improved handling of wafers within a process tool
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
US7022613B2 (en) Reduced cross-contamination between chambers in a semiconductor processing tool
US20120305026A1 (en) Substrate Processing Apparatus and Substrate Processing Method
US20120058630A1 (en) Linear Cluster Deposition System
JP2011511460A (ja) 複合窒化物半導体デバイスを製造するための処理システム
TW201111258A (en) Automatic substrate loading station
US8676375B2 (en) Automated cassette-to-cassette substrate handling system
EP3012859A1 (en) Device and method for transferring substrate for forming compound semiconductor film, and system and method for forming compound semiconductor film
KR20230023041A (ko) 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들
CN112391608A (zh) Cvd处理系统及处理方法
CN213507189U (zh) Cvd处理系统
JP4324632B2 (ja) 半導体装置の製造方法および基板処理装置
JP2012138530A (ja) 基板の製造方法、半導体デイバスの製造方法及び基板処理装置
US20110076400A1 (en) Nanocrystalline diamond-structured carbon coating of silicon carbide
JP2012195422A (ja) 基板の製造方法、半導体デバイスの製造方法及び基板処理装置
CN221427679U (zh) 半导体制程系统
JP2009289807A (ja) 半導体装置の製造方法
JP2012124255A (ja) 基板の製造方法、半導体デイバスの製造方法及び基板処理装置