JP2017515885A - モリブデンシルシクロペンタジエニル錯体、シリルアリル錯体、及び、薄膜堆積におけるその使用 - Google Patents

モリブデンシルシクロペンタジエニル錯体、シリルアリル錯体、及び、薄膜堆積におけるその使用 Download PDF

Info

Publication number
JP2017515885A
JP2017515885A JP2016575311A JP2016575311A JP2017515885A JP 2017515885 A JP2017515885 A JP 2017515885A JP 2016575311 A JP2016575311 A JP 2016575311A JP 2016575311 A JP2016575311 A JP 2016575311A JP 2017515885 A JP2017515885 A JP 2017515885A
Authority
JP
Japan
Prior art keywords
hydrogen
butyl
trialkylsilyl
alkyl
trimethylsilyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016575311A
Other languages
English (en)
Other versions
JP2017515885A5 (ja
JP6471371B2 (ja
Inventor
ガラット,ショーン
ウィリアムズ,ポール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sigma Aldrich Co LLC
Original Assignee
Sigma Aldrich Co LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sigma Aldrich Co LLC filed Critical Sigma Aldrich Co LLC
Publication of JP2017515885A publication Critical patent/JP2017515885A/ja
Publication of JP2017515885A5 publication Critical patent/JP2017515885A5/ja
Application granted granted Critical
Publication of JP6471371B2 publication Critical patent/JP6471371B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F19/00Metal compounds according to more than one of main groups C07F1/00 - C07F17/00
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0803Compounds with Si-C or Si-Si linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices

Abstract

モリブデン錯体、及び、CVD及びALDのような薄膜堆積におけるその使用を、ここに提供する。モリブデン錯体は、式(I)に示す構造に相当し、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、アルキル、及びトリアルキルシリルからなる群から独立して選択され、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の少なくとも1つはトリアルキルシリルである。

Description

発明の詳細な説明
〔関連出願の相互参照〕
本出願は2014年3月13日に出願された米国仮出願第61/952,633に基づく利益を主張し、米国仮出願第61/952,633の全開示は参照により本明細書に組み入れられる。
〔技術分野〕
本発明は、モリブデン(Mo)シリルシクロペンタジエニル錯体、シリルアリル錯体、及び、前記錯体を使用して気相堆積(CVD)又は原子層堆積(ALD)により薄膜を調製する方法に関する。
〔背景技術〕
種々の有機金属前駆体が、誘電性金属薄膜を形成するために用いられている。種々の技術が薄膜の堆積のために使用されている。このような技術には、反応性スパッタリング、イオンアシスト堆積、ゾル−ゲル堆積、CVD(金属有機CVD又はMOCVDとしても知られている)、及びALD(原子層エピタキシーとしても知られている)が含まれる。CVD及びALD法は、それらが良好な組成制御、高い薄膜の均一性、良好なドーピング制御という利点を有するため、ますます多く使用されており、特に、高度に非平面性の超小型電子デバイスの形状に対して、非常に優れたコンフォーマル性の段差被覆を提供する。
CVDは、基板上に薄膜を形成するために前駆体を使用する方法である。典型的なCVD法では、低圧又は大気圧の反応チャンバ内の基板(例えば、ウェハ)上を前駆体が通過する。前駆体は基板表面で反応及び/又は分解し、堆積材料の薄膜を形成する。揮発性の副生成物は、ガス流により反応チャンバから除去する。膜厚は、温度、圧力、ガス流容量及び均一性、化学的損耗効果、並びに時間のような、多くのパラメータの組み合わせに依存するため、堆積による膜厚の制御は困難になり得る。
ALDもまた、薄膜を堆積する方法である。ALDは、表面反応に基づく自己限定的、連続的で独特の膜成長技術である。この表面反応は正確な膜厚制御をもたらし、前駆体により得られる材料のコンフォーマルな薄膜を、種々の組成の基板上に堆積することができる。ALDにおいて、前駆体は、反応中に分離する。最初の前駆体は基板上を通過し、基板上に単一層を形成する。過剰の未反応の前駆体は、反応チャンバからポンプで排出される。その後、第2の前駆体が基板上を通過し、第1の前駆体と反応し、基板上に最初に形成された単一層上に第2の単一層の膜を形成する。このサイクルを、所望の厚さの膜を形成するために繰り返す。ALD膜の成長は自己限定的であり、表面反応に基づき、ナノメートル厚のスケールで制御可能な均一な堆積を形成する。
薄膜は、ナノテクノロジー及び半導体デバイスの製造のような、様々な重要な用途がある。そのような用途の例には、導電性フィルム、高屈折率光学的被膜、腐食防止被膜、光触媒自己洗浄ガラス被膜、生体適合性被膜、電界効果トランジスタ(FET)における誘電性キャパシタ層及びゲート誘電絶縁層膜、キャパシタ電極、ゲート電極、付着性分散バリア、並びに集積回路が含まれる。また、この膜は、ダイナミックランダムアクセスメモリ(DRAM)用の高κ誘電酸化物、赤外線検出器や非揮発性強誘電性ランダムアクセスメモリ(NV−FeRAM)において使用される強誘電体ペロブスカイトのような、マイクロエレクトロニクスの用途でも使用される。マイクロエレクトロニクス部品の大きさの頻繁な縮小は、そのような誘電性薄膜の使用の必要性を高めている。
さらに、モリブデンの酸化物及び窒化物は、多数の重要な用途を有している。例えば、二酸化モリブデン(MoO2)は異例の金属様の導電性を示し、炭化水素酸化触媒、固体酸化物燃料電池(SOFC)アノード、及び高容量可逆リチウムイオン電池(LIB)のアノードに適用され得る。例えば、Ellefson, C. A., et al. (2012) J. Mater. Sci., 47:2057-2071を参照。別の例として、三酸化モリブデン(MoO3)は、興味深いエレクトロクロミック特性及び触媒特性を示し、ナノ構造ガスセンサ及び固体リチウムイオン電池で使用され得る。例えば、Diskus, M., et al. (2011) J. Mater. Chem., 21:705-710を参照。また、遷移金属窒化物の薄膜が一般に良好な機械的及び化学的安定性を有することが、相当以前から知られている。モリブデン窒化物(MoN及び/又はMO2N)の膜は、マイクロエレクトロニクスの分散バリア、高温超伝導体(high−Tc)並びに、摩擦コーティング及び保護コーティング等の用途について研究されてきている。例えば、Miikkulainen, V., et al. (2007) Chem. Mater., 19:263-269を参照。
WO2013/112383は、モリブデンアリル錯体と薄膜堆積におけるその使用について報告している。
CVD及びALDにおいて使用する多くの現在のモリブデン錯体では、半導体のような、次世代のデバイスの製造のための新しい方法を実施するために求められる性能を提供することができない。改善された熱的安定性、高い揮発性、高い蒸気圧、及び高い堆積率を有する錯体が、さらに必要とされている。
〔発明の概要〕
一実施態様においては、式Iの構造に相当する有機金属錯体を提供する。
Figure 2017515885
ここで、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、アルキル、及びトリアルキルシリルからなる群から独立して選択され、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の少なくとも1つはトリアルキルシリルである。
式Iの構造に相当する有機金属錯体を使用した、CVD及びALDのような気相堆積法により、モリブデンを含む膜を形成する方法をここに提供する。
上に要約した実施態様の特定の局面を含む他の実施態様は、以下の詳細な説明から明らかであろう。
〔図面の簡単な説明〕
図1は、Me3SiCpMo(CO)2(2−Me−アリル)の質量損失%対温度を示す熱重量分析(TGA)データのグラフである。
図2は、Me3SiCpMo(CO)2(2−tBu−アリル)の質量損失%対温度を示すTGAデータのグラフである。
図3は、Me3SiCpMo(CO)2(1−Me3Si−アリル)の質量損失%対温度を示すTGAデータのグラフである。
〔発明の詳細な説明〕
本発明の種々の局面において、Mo(II)錯体のようなMo錯体、このような錯体を作製する方法、及びこのような錯体を使用して、Mo、MoN、Mo2N、MoN/Mo2N、MoO2、MoO3、及び、MoO2/MoO3膜を形成する方法を提供する。
一実施態様では、本発明の方法は、導電性を示すMo含有薄膜を形成する及び成長させるために使用され得る。
(I.定義)
本明細書で使用される場合、用語「前駆体」は、基板上を通過し、例えば、CVD又はALDのような堆積法により薄膜を形成する、有機金属分子、錯体及び/又は化合物に関する。
本明細書で使用される場合、用語「気相堆積法」は、CVD又はALDのような、いずれかの型の気相堆積技術に関する。本発明の種々の実施態様において、CVDは、従来のCVD(例えば、連続フロー)、液体注入CVD、又は光アシストCVDの形態であっても良い。CVDは、パルス技術、つまり、パルスCVDの形態であっても良い。他の実施態様において、ALDは、従来のALD(つまり、パルス注入)、液体注入ALD、光アシストALD、又はプラズマアシストALDの形態であっても良い。用語「気相堆積法」は、さらに、Chemical Vapour Deposition: Ptrecursors, Process, and Applications; Jones, A. C.; Hitchman, M. L., Eds. The Royal Society of Chemistry: Cambridge, 2009; Chapter 1, pp1-36に記載された、種々の気相堆積技術をさらに含む。
用語「Cp」は、モリブデンに結合したシクロペンタジエニル(C55)配位子に関する。本明細書中で使用する場合、Cp配位子の全5個の炭素原子は、π結合によりη5配位で中心金属に結合しており、そのため、本発明の前駆体はπ錯体である。
用語「アリル」は、モリブデンに結合するアリル(C35)配位子に関する。本明細書中で使用する場合、アリル配位子は、共鳴する二重結合を有し、アリル配位子の全3個の炭素原子はπ結合によるη3配位で中心金属に結合している。それゆえに、本発明の錯体はπ錯体である。これらの特徴の両方は、曲線結合により表される。アリル部位が1つのR基であるRa基で置換されるとき、Ra基はアリルの水素を置換し、[Ra34]になる。アリル部位が2つのR基であるRa基及びRb基で置換されるとき、[Rab33]になり、この場合、Ra基及びRb基は同一又は異なり、以下同様である。πアリル錯体は、エンド異性体及びエキソ異性体を含むがこれらに限定されない、アリル基の全ての構造異性体を包含する。
用語「アルキル」は、メチル、エチル、プロピル及びブチルが例示されるがこれらに限定されない、1個から約8個の炭素原子長の飽和炭化水素に関する。アルキル基は、直鎖であっても、分岐鎖であっても良い。「アルキル」は、アルキル基の全ての構造異性体を含む。例えば、本明細書で使用する場合、プロピルは、n−プロピル及びイソプロピルの両者を含む。ブチルは、n−ブチル、sec−ブチル、イソブチル、及びtert−ブチルを含む。さらに、本明細書で使用する場合、「Me」はメチル、「Et」はエチル、「Pr」はプロピル、「i−Pr」はイソプロピル、「Bu」はブチル、「t−Bu」はtert−ブチル、「s−Bu」はsec−ブチル、「i−Bu」はイソブチル、及び「Np」はネオペンチルに関する。C2がエチル基に関することを意図し、ジメチルを意図していないことにも留意すべきである。
用語「アルケニル」は、少なくとも1つの炭素−炭素二重結合を含む、2個から約8個の炭素原子長を有する不飽和炭化水素鎖に関する。アルケニルは、直鎖であっても、分岐鎖であっても良い。限定されない例として、エチレン、プロピレン、ブチレン及びペンテニルが含まれる。「アルケニル」は、シス−トランス型、及びE−Z型を含むアルケン配位子の全ての構造異性体を含むことを意図している。例えば、本明細書において使用する場合、2−ブテニルは、シス−2−ブテニル、トランス−2−ブテニル、(E)−2−ブテニル、及び(Z)−2−ブテニルを含む。
用語「アルコキシ」は、1個から約8個の炭素原子を含む、−O−アルキルに関する。アルコキシは、直鎖又は分岐鎖であり得る。限定されない例として、メトキシ、エトキシ、プロポキシ、ブトキシ、イソブトキシ、tert−ブトキシ、ペントキシ及びヘキソキシを含む。
用語「アルキニル」は、1以上の炭素−炭素三重結合を含む2個から約8個の炭素原子長の不飽和炭化水素鎖に関する。アルケニルは、直鎖又は分岐鎖であり得る。限定されない例として、エチニル、プロピニル、1−ブチニル、2−ブチニル、1−ペンチニル、2−ペンチニル、3−メチル−1−ペンチニル、3−ペンチニル、1−ヘキシニル、2−ヘキシニル、及び3−ヘキシニルを含む。
本明細書で使用する場合、用語「アミノ」は、任意で置換された1価の窒素原子に関する(つまり、−NRcd、ここでRc及びRdは同一又は異なり得る)。本発明の範囲内のアミノ基の例には、
Figure 2017515885
が含まれるが、これらに限定されない。
用語「アリール」は、フェニル、ナフチル、チエニル、及びインドリルが例示されるが、これらに限定されない単環又は多環芳香族基に関する。
用語「アリールオキシ」は、フェノキシ及びナフトキシが例示されるがこれらに限定されない−O−アリールに関する。
用語「シリル」は、−SiZ123基に関し、Z1、Z2及びZ3のそれぞれは、水素と、任意で置換されたアルキル、アルケニル、アルキニル、アリール、アルコシキ、アリールオキシ、アミノ、及びこれらの組み合わせからなる群から独立して選択される。
用語「トリアルキルシリル」は、−SiZ123基に関し、Z1、Z2及びZ3は、アルキルであり、Z1、Z2及びZ3は、同一又は異なるアルキルである。トリアルキルシリルの、限定されない例には、トリメチルシリル(TMS)、トリエチルシリル(TES)、トリイソプロピルシリル(TIPS)、及びtert−ブチルジメチルシリル(TBDMS)が含まれる。
Mo金属、MoN及びMo2Nのような窒化モリブデン(又は、このような窒化モリブデンの混合物)、並びに、MoO2及びMoO3のような酸化モリブデン(又は、このような酸化モリブデンの混合物)の堆積は、堆積するには不安定であるか、過剰に安定であるかのいずれかのような安定性の問題のために、達成が困難である。本発明の実施態様に開示された有機金属錯体は、物理的性質の制御が可能であると共に、安定性が高められ、かつ、容易な高収率の合成を提供する。
(II.有機金属錯体)
第1の実施態様では、式Iの構造に相当する有機金属前駆体を提供する:
Figure 2017515885
1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は独立して、水素、アルキル、及びトリアルキルシリルであり、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の少なくとも1つは、トリアルキルシリルである。本明細書に記載する場合、式Iの構造に、原子の空間的配置は示唆又は提供されていないことに留意すべきである。
式Iの構造は、全ての種類の空間的配置のバリエーションが含まれる。例えば、一実施態様において、式Iは、以下の式Aの構造を含む。
Figure 2017515885
1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、それぞれの存在において、同一又は異なり得る。
一実施態様において、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの9つまでは、水素である。
別の実施態様において、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの8つ又は9つは、水素である。
別の実施態様において、R1、R2、R3、R4及びR5の少なくとも1つは、水素である。さらに一実施態様では、R1、R2、R3、R4及びR5の少なくとも2つは、水素である。さらに一実施態様では、R1、R2、R3、R4及びR5の少なくとも3つは、水素である。さらに一実施態様では、R1、R2、R3、R4及びR5の少なくとも4つは、水素である。さらに一実施態様では、R1、R2、R3、R4及びR5は、水素である。さらに一実施態様では、R2、R3、R4及びR5は、水素である。
別の実施態様では、R6、R7、R8、R9及びR10の少なくとも1つは、水素である。さらに一実施態様では、R6、R7、R8、R9及びR10の少なくとも2つは、水素である。さらに一実施態様では、R6、R7、R8、R9及びR10の少なくとも3つは、水素である。さらに一実施態様では、R6、R7、R8、R9及びR10の少なくとも4つは、水素である。さらに一実施態様では、R6、R7、R8、R9及びR10は、水素である。さらに一実施態様では、R6、R7、R9及びR10は、水素である。さらに一実施態様では、R6、R7、R8及びR9は、水素である。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの9つまでは、独立して、それぞれの存在においてアルキルである。
別の実施態様では、R6、R7、R8、R9及びR10の少なくとも1つは、アルキルである。さらに一実施態様では、R6、R7、R8、R9及びR10の少なくとも2つは、アルキルである。さらに一実施態様では、R6、R7、R8、R9及びR10の少なくとも3つは、アルキルである。さらに一実施態様では、R6、R7、R8、R9及びR10の少なくとも4つは、アルキルである。さらに一実施態様では、R6、R7、R8、R9及びR10は、アルキルである。さらに一実施態様では、R8はアルキルである。
本明細書に開示されたアルキル基は、C1−C8アルキル、C1−C7アルキル、C1−C6アルキル、C1−C5アルキル、C1−C4アルキル、C1−C3アルキル、C1−C2アルキル、又はC1アルキルであり得る。さらに一実施態様では、アルキルはC1−C4アルキルである。さらに一実施態様では、アルキルは、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、及びtert−ブチルからなる群から選択される。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの1つ又は2つは、トリアルキルシリルである。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの2つは、トリアルキルシリルである。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの2つ以上は、トリアルキルシリルである。
別の実施態様では、R1、R2、R3、R4及びR5のうちの1つが、トリアルキルシリルであり、R6、R7、R8、R9及びR10のうちの1つがトリアルキルシリルである。
別の実施態様では、R1はトリアルキルシリルである。
別の実施態様では、R10はトリアルキルシリルである。
別の実施態様では、R1及びR10は、トリアルキルシリルである。
別の実施態様では、R1、R2、R3、R4及びR5のうちの2つは、トリアルキルシリルである。
本明細書に開示されたトリアルキルシリル基は、トリ(C1−C8アルキル)シリル、トリ(C1−C7アルキル)シリル、トリ(C1−C6アルキル)シリル、トリ(C1−C5アルキル)シリル、トリ(C1−C4アルキル)シリル、トリ(C1−C3アルキル)シリル、トリ(C1−C2アルキル)シリル、又はトリ(C1アルキル)シリルであり得る。さらに一実施態様では、トリアルキルシリルは、トリ(C1−C4アルキル)シリルである。さらに、トリアルキルシリルにおける各アルキル基は、同一又は異なり得る。さらに一実施形態では、トリアルキルシリルは、トリメチルシリル、トリエチルシリル、トリイソプロピルシリル、及びtert−ブチルジメチルシリルからなる群から選択される。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの1つ又は2つは、トリメチルシリルである。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、C1−C8アルキル、及びトリ(C1−C8アルキル)シリルからなる群から独立して選択される。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、C1−C4アルキル、及びトリ(C1−C4アルキル)シリルからなる群から独立して選択される。
別の実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、トリメチルシリル、トリエチルシリル、トリイソプロピルシリル、及びtert−ブチルジメチルシリルからなる群から独立して選択される。
別の実施態様では、R1はトリメチルシリルであり、R2、R3、R4及びR5は水素である。
別の実施態様では、R1はトリメチルシリルであり、R2、R3、R4及びR5は水素であり、R6、R7、R8、R9及びR10のうちの4つ又は5つは水素である。
別の実施態様では、R1はトリメチルシリルであり、R2、R3、R4、R5、R6、R7、R9及びR10は水素であり、R8は、水素、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、及びトリメチルシリルからなる群から選択される。
別の実施態様では、R1はトリメチルシリルであり、R2、R3、R4、R5、R6、R7、R8、及びR9は水素であり、R10は、水素、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル及びトリメチルシリルからなる群から選択される。
一実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、アルキル及びトリアルキルシリルからなる群から独立して選択され、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の少なくとも1つは、トリアルキルシリルであり、R1、R2、R3、R4、及びR5の少なくとも1つがトリアルキルシリルであるとき、R6、R7、R8、R9及びR10の少なくとも1つはアルキル又はトリアルキルシリルであり、かつR1、R2、R3、R4、及びR5がそれぞれ水素であるとき、トリアルキルシリルでないR6、R7、R8、R9及びR10の少なくとも1つはアルキルである。
別の実施態様では、R1はトリメチルシリルであり、R2、R3、R4、及びR5は水素であり、R6、R7、R8、R9及びR10のうちの4つは水素である。
別の実施態様では、R1はトリメチルシリルであり、R2、R3、R4、R5、R6、R7、R9及びR10は水素であり、R8は、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、及びトリメチルシリルからなる群から選択される。
別の実施態様では、R1はトリメチルシリルであり、R2、R3、R4、R5、R6、R7、R8及びR9は水素であり、R10は、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、及びトリメチルシリルからなる群から選択される。
一実施態様では、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、アルキル及びトリアルキルシリルからなる群から独立して選択され、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の少なくとも1つはトリアルキルシリルであり:R1がトリメチルシリルであり、R2、R3、R4及びR5がそれぞれ水素であるとき、R6、R7、R8、R9及びR10は、それぞれ水素ではなく;R1及びR3がそれぞれトリメチルシリルであり、R2、R4及びR5がそれぞれ水素であるとき、R6、R7、R8、R9及びR10はそれぞれ水素ではなく;R8がトリメチルシリルであり、R6、R7、R9及びR10がそれぞれ水素であるとき、R1、R2、R3、R4及びR5はそれぞれ水素ではなく;R10がトリメチルシリルであり、R6、R7、R8及びR9がそれぞれ水素であるとき、R1、R2、R3、R4及びR5は、それぞれ水素ではない。
式Iの構造に相当する有機金属錯体の例を表1に示す。
Figure 2017515885
Figure 2017515885
一実施態様では、式Iの2以上の有機金属錯体の混合物を提供する。例えば、表1の2以上の有機金属錯体の混合物として、例えば、錯体1及び錯体2の混合物、錯体1及び錯体3の混合物、錯体1及び錯体4の混合物、錯体2及び錯体3の混合物、錯体2及び錯体4の混合物、錯体3及び錯体4の混合物、錯体1,錯体2及び錯体3の混合物、錯体1,錯体2及び錯体4の混合物、錯体1,錯体3及び錯体4の混合物、錯体2,錯体3及び錯体4の混合物、及び錯体1,錯体2,錯体3及び錯体4の混合物が挙げられるが、これらに限定されない。
(III.方法)
別の実施態様では、気相堆積法によるモリブデン含有膜を形成する方法を提供する。本発明の方法は、本明細書に開示されたような式Iの構造に相当する1以上の有機金属錯体を気化する工程を含む。例えば、この方法は、1以上の錯体を気化し、1以上の錯体を基板表面に運ぶ、又は、1以上の錯体を基板上を通過させ、及び/又は1以上の錯体を基板表面に堆積することを含んでも良い。
特定の実施態様では、有機金属錯体を適切な炭化水素溶媒又はアミン溶媒に溶解しても良い。適切な炭化水素溶媒には、ヘキサン、ヘプタン及びノナンのような脂肪族炭化水素、トルエン及びキシレンのような芳香族炭化水素、並びに、ジグライム、トリグライム、及びテトラグライムのような脂肪族及び環状エーテルが含まれるが、これらに限定されない。適切なアミン溶媒の例は、オクチルアミン及びN,N−ジメチルドデシルアミンを含むが、これらに限定されない。例えば、有機金属錯体をトルエンに溶解し、約0.05Mから約1Mの濃度の溶液を得る。
別の実施態様では、式Iの構造に相当する1以上の錯体を、「希釈せずに」(運搬気体により希釈せずに)基板に運ぶ。
別の実施態様では、気相堆積法は、化学的気相堆積法である。
別の実施態様では、気相堆積法は、原子層堆積法である。
本発明のALD及びCVD法は、連続又はパルス注入法、液体注入法、光アシスト法、プラズマアシスト法が例示されるがこれらに限定されない、種々の型のALD及びCVD法が含まれる。明確にするため、本発明の方法は、特に、直接液体注入法を含む。例えば、直接液体注入CVD(「DLI−CVD」)において、固体又は液体の錯体を適切な溶媒に溶解し、錯体を気化する手段として、気化チャンバに注入する。その後、気化した錯体を移送又は運搬する。一般に、DLI−CVDは、錯体が比較的低い揮発性を示し、又は気化が困難である場合に、特に有用である。
一実施態様では、従来のCVD又はパルス注入CVDを、本明細書に開示された式Iの少なくとも1つの錯体を、気化及び/又は通過させることにより、金属含有薄膜を形成するために使用する。従来のCVD及びパルスCVD法については、例えば、Smith, Donald (1995), Thin-Film Deposition: Principles and practice. McGraw-Hillを参照のこと。
一実施態様では、式Iの錯体のCVDの成長条件は、以下を含むが、これらに限定されない:
(1)基板温度 :50〜600℃
(2)エバポレータ温度(Mo源の温度):0〜200℃
(3)反応圧 :0〜100Torr
(4)アルゴン又は窒素キャリアガス流速:0〜500sccm
(5)酸素流速 :0〜500sccm
(6)水素流速 :0〜500sccm
(7)稼働時間 :膜厚に応じて変化する。
別の実施態様では、光アシストCVDを、本明細書に開示された式Iの1以上の錯体を気化する、及び/又は基板上を通過させることにより、モリブデン含有薄膜を形成するために使用する。
さらに一実施態様では、従来の(つまり、パルス注入)ALDを、本明細書に開示された式Iの1以上の錯体を気化する、及び/又は基板上を通過させることにより、モリブデン含有薄膜を形成するために使用する。従来のALD法については、例えば、George S. M., et al., J. Phys. Chem., 1996, 100, 13121-13131を参照のこと。
別の実施態様では、液体注入ALDを、本明細書に開示された式Iの1以上の錯体を気化する、及び/又は基板上を通過させることにより、モリブデン含有薄膜を形成するために使用し、少なくとも1つの液体錯体を、バブラーによって、気体引き込みとは反対に直接液体注入することにより、反応チャンバに運ぶ。液体注入ALD法については、例えば、Potter R. J., et al., Chem Vap. Deposition, 2005, 11(3), 159-169を参照のこと。
式Iの錯体のALD成長条件の例は、以下を含むが、これらに限定されない:
(1)基板温度 :0〜400℃
(2)エバポレータ温度(Mo源の温度):0〜200℃
(3)反応圧 :0〜100Torr
(4)アルゴン又は窒素キャリアガス流速:0〜500sccm
(5)反応ガス流速 :0〜500sccm
(6)パルス列(秒)(錯体/パージ/反応ガス/パージ):チャンバの大きさにより変化する
(7)サイクル数 :所望の膜厚により変化する。
別の実施態様では、光アシストALDを、本明細書に開示された式Iの1以上の錯体を気化する、及び/又は基板上を通過させることにより、モリブデン含有薄膜を形成するために使用する。光アシストALD法については、例えば、米国特許4,581,249を参照のこと。
別の実施態様では、プラズマアシストALDを、本明細書に開示された式Iの1以上の錯体を気化する、及び/又は基板上を通過させることにより、モリブデン含有薄膜を形成するために使用する。
従って、これらの方法で利用される本明細書に開示された式Iの有機金属錯体は、液体、固体又は気体であり得る。典型的には、有機金属錯体は、処理チャンバに気体を持続的に運ぶことができるのに十分な蒸気圧を室温で有する、液体又は低融点の固体である。
一実施態様では、式Iの有機金属錯体は、融点が約50℃以下、約45℃以下、約40℃以下、約35℃以下、又は、約30℃以下の融点を有する固体である。
ある実施態様では、本明細書に開示された式Iの有機金属錯体を、酸素源のパルスと交互になるパルスで基板に運ぶ。酸素源の例には、H2O、H22、O2、オゾン、空気、i−PrOH、t−BuOH、又はN2Oが含まれるが、これらに限定されない。
一実施態様では、Mo、窒化モリブデン(例えば、MoN、Mo2N、又はMoN/Mo2N)、及び/又は、酸化モリブデン(例えば、MoO2、MoO3、又はMoO2/MoO3)膜を、式Iの少なくとも1つの錯体を単独、又は共反応剤と共に、堆積のために運搬することにより形成する。共反応剤は、単独で又は1以上の錯体と組み合わせて、堆積してもよいし、又は、運搬若しくは基板上を通過してもよい。このような共反応剤の例には、水素、水素プラズマ、酸素、空気、水、H22、アンモニア、ヒドラジン、ボラン、トリシランのようなシラン、オゾン、又はこれらのいずれかの組み合わせが含まれるが、これらに限定されない。適したボランの例には、ボランのような水素化(つまり還元性)ボラン、ジボラン、トリボラン等が含まれるが、これらに限定されない。適したシランの例には、シラン、ジシラン、トリシラン等のような水素化シランが含まれるが、これらに限定されない。適したヒドラジンの例には、ヒドラジン(N24)、及び/又は、メチルヒドラジン、tert−ブチルヒドラジン、N,N−又はN,N’−ジメチルヒドラジン等のような、任意で1以上のアルキル基で置換されたヒドラジン(つまり、アルキル置換ヒドラジン)が含まれるが、これらに限定されない。
ある実施形態では、共反応剤は、単独で、又は空気、H2O、O2、及び/又はオゾンが例示されるがこれらに限定されない共反応剤と組み合わせて、式Iの少なくとも1つの錯体を堆積するために反応チャンバに運搬することによって、MoO2、MoO3又は、MoO2/MoO3膜を形成するために使用される。このような共反応剤を複数用いても良い。
別のある実施態様では、共反応剤は、単独で、又はアンモニア、ヒドラジン、又はアミンに限定されない他の窒素含有化合物が例示されるがこれらに限定されない共反応剤と組み合わせて、式Iの少なくとも1つの錯体を堆積するために反応チャンバに運搬することによって、MoN、Mo2N、又は、MoN/Mo2N膜を形成するために使用される。このような共反応剤を複数用いても良い。
別のある実施態様では、共反応剤は、単独で、又はH2、ヒドラジン、トリシランのようなシラン、及び/又はアンモニアが例示されるがこれらに限定されない共反応剤と組み合わせて、式Iの少なくとも1つの錯体を堆積するために反応チャンバに運搬することによって、モリブデン含有金属膜を形成するために使用される。
別の実施態様では、混合金属膜を、本明細書に開示された式Iの錯体を、モリブデンとは異なる金属を有する共錯体と組み合わせて、しかしながら必ずしも同時ではなく、気化する気相堆積法により形成し得る。
種々の基板を本発明の方法において使用し得る。例えば、本明細書に開示された式Iの錯体は、Si(100)のようなケイ素、酸化ケイ素、窒化ケイ素、タンタル、窒化タンタル、銅、ルテニウム、窒化チタン、タングステン、及び窒化タングステンが例示されるがこれらに限定されない種々の基板上に運ばれ、又は通過しても良い。
ある実施態様では、本発明の方法は、シリコンチップのような基板上で、メモリ及び論理計算のための、ダイナミックランダムアクセスメモリ(DRAM)、及び相補的酸化金属半導体(CMOS)のような用途に利用することができる。
本明細書に記載されたモリブデン錯体のいずれかは、モリブデン金属、酸化モリブデン、及び/又は窒化モリブデンの薄膜を調製するため使用し得る。このような膜は、酸化触媒、アノード材料(例えば、SOFC又はLIBアノード)、導電層、センサー、分散バリア/被膜、超電導材料/被膜、非超電導材料/被膜、減摩コーティング、及び/又は保護コーティングとしての用途が見出され得る。膜の性質(例えば、導電性)は、堆積に使用する金属、共反応剤及び/又は共錯体の存在又は非存在、調製した膜の厚さ、成長及びその後の加工の間に採用されるパラメータ及び基板のような多くの因子に依存することが、当該技術分野の通常の知識を有するものに理解されている。
熱的進行CVD法と反応性進行ALD法との間には、基本的な相違が存在する。最適な性能を達成するための前駆体の性質の要件が大きく異なる。CVDでは、基板上に要求される種を堆積するための前駆体を、汚染なく熱分解することが重要である。しかしながら、ALDでは、そのような熱分解は必ず避けなければならない。ALDでは、投入した反応物質は高速で表面に向い、基板上に標的材料を形成しなければならない。しかしながら、CVDでは、粒子を形成し得る、基板に達する前の気相の混合による反応種間の反応は有害である。一般に、良好なCVD前駆体は、CVD前駆体に求められる緩和された熱的安定性により、必ずしも良好なALD前駆体にならないことが認められている。本明細書に記載した式Iのモリブデン前駆体は、ALD前駆体として機能するよう十分な熱的安定性と、選択した共反応剤に対する反応性を有し、そして、それらは、CVD法により所望の物質を形成するよう高温で汚染のない分解経路も有している。従って、式Iに記載されたモリブデン錯体は、ALD前駆体及びCVD前駆体に適したものとして有用である。理論により縛られるものではないが、トリアルキルシリル基の無秩序の性質は、同等の大きさのアルキル基と比較して、揮発性を向上させ、液体錯体を形成する可能性を高めると考えられ、このことは堆積法において最も好ましい。
〔実施例〕
以下の実施例は、単に説明であり、決して本開示を限定するものではない。
特に記載しない限り、全ての操作は不活性な雰囲気下(例えば、精製窒素又はアルゴン)において、当該技術分野で公知の空気に感受性のある物質を扱う技術(例えば、「シュレンク法(Schlenk techniques)」)を用いて行った。
(実施例1:トリメチルシリルシクロペンタジエニルモリブデンジカルボニル2−メチルアリル(Me3SiCpMo(CO)2(2−Me−アリル)の調製)
Figure 2017515885
マグネチックスターラー及びコンデンサを備えた5Lの3頚丸底フラスコに、Mo(CO)6(150g、0.568モル)を添加した後、無水アセトニトリル(2L)を添加した。混合物を、窒素雰囲気下、90℃で16〜24時間還流させ、黄色の溶液を得た。反応は、外部のオイルバブラーにおいてCOガスが観察されなくなるまで続けた。この溶液を室温(RT)になるまで冷却し、溶液を撹拌しながら、1.5モル当量の3−クロロ−2−メチル−1−プロパン(76.8g、83ml、0.85モル)を注射器でゆっくりと添加した。添加中、オレンジ色が生じ、COの発生が観察された。混合物を、60℃で一晩撹拌した。約15〜20分後、黄色/オレンジ色の固体が溶液から結晶化し始めた。翌日、混合物を室温になるまで放冷し、できるだけ多く生成物が結晶化するよう、数時間静置した。生成した黄色の沈殿物を、カニューレ濾過し、次いでアセトニトリル(200ml)で洗浄した。真空乾燥した後、黄色結晶のMo(CO)2(MeCN)2(2−Me−アリル)Clを、収量155g(84%)で得た。
グローブボックス中において、1Lの丸底フラスコに水素化ナトリウム(NaH)(11.5g、0.48モル)を投入した。無水テトラヒドロフラン(THF)(500mL)を添加し、懸濁液を30℃で激しく撹拌した。窒素パージしながら滴下漏斗を装着し、トリメチルシリルシクロペンタジエン(Me3SiCpH)(66.3g、0.48モル)を添加した。次に、NaH懸濁液を撹拌しながら、Me3SiCpHをゆっくりと添加した。いくつかの例では、約15〜20%の材料を加えた後、反応が開始し、一定の速さの水素の発生が観察された。全てのMe3SiCpHを添加した後、水素の発生が観察されなくなるまで反応を継続させた。水素の発生が観察されなくなった時点で、透明感のある薄い黄色/オレンジ色の溶液(Na[Me3SiCp])が生成された。
5Lの丸底フラスコに、Mo(CO)2(MeCN)2(2−Me−アリル)Cl(155g、0.477モル)を投入し、さらに、無水THF(1.5L)を添加して、オレンジ色の溶液を生成した。この溶液に、カニューレにより約1時間かけてゆっくりとNa[Me3SiCp]の溶液を添加した。生じた濃い赤色の溶液を室温で一晩撹拌した。このとき、NaClの青白い沈殿物が形成された。溶媒を減圧除去し、ついで残留物をヘキサンで抽出した(2×1L)。得られた黄色/オレンジ色の溶液を、カニューレ濾過し、溶媒を減圧除去し、黄色/褐色のオイルを得た。この未精製物質を、130℃/0.5Torrで蒸留した。黄色/オレンジ色の液体/低融点の固体が得られた(融点30℃)。
Me3SiCpMo(CO)2(2−Me−アリル)の収量=約100g(Mo(CO)6から51%)。
1HNMR(C66):δ=0.20(s、9H、SiMe3)、1.72(m、5H、アリル−Me、アリル−H)、2.63(s、2H、アリル−H)、2.62(s、2H、アリル−H)、4.74(s、4H、Cp−H)。
図1に、Me3SiCpMo(CO)2(2−Me−アリル)のTGAプロットを示す。
(実施例2:トリメチルシリルシクロペンタジエニルモリブデンジカルボニル2−tert−ブチルアリル(Me3SiCpMo(CO)2(2−tBu−アリル)の調製)
Figure 2017515885
オーバーヘッド撹拌機及びコンデンサを備えた5Lの3頚丸底フラスコに、Mo(CO)6(50g、0.189モル)を添加し、次いで、無水アセトニトリル(0.7L)を添加した。混合物を、窒素雰囲気下、90℃で16〜24時間還流させ、黄色の溶液を得た。反応を、外部のオイルバブラーでCOガスの発生が観察されなくなるまで継続させた。溶液を室温になるまで冷却し、溶液を撹拌しながら、2−ブロモメチル−3,3−ジメチルブテン(34.0g、0.19モル)を注射器でゆっくりと添加した。添加中に、オレンジ色/赤色が現れ、COの発生が観察された。この混合物を、COの発生が観察されなくなるまで、60℃で一晩撹拌した。この反応からは沈殿物は得られなかった。撹拌終了後、混合物を冷却し、溶媒を減圧除去し、オレンジ色/褐色の固体を得た。オレンジ色/褐色の固体を、真空乾燥し、約73gのMo(CO)2(MeCN)2(2−tBu−アリル)Brを得た(96%)。
グローブボックス中において、1Lの丸底フラスコに水素化ナトリウム(NaH)(4.4g、0.183モル)を投入した。無水THF(200mL)を添加し、懸濁液を30℃で激しく撹拌した。窒素パージしながら滴下漏斗を装着し、ついで、トリメチルシリルシクロペンタジエン(Me3SiCpH)(25.3g、0.183モル)を投入した。次に、NaH懸濁液を撹拌しながら、Me3SiCpHをゆっくりと添加した。いくつかの例では、15〜20%の材料を添加した後に、反応が開始した。しかしながら、一定速度の水素の発生が観測された。全てのMe3SiCpHを添加した後、もはや水素の発生が観察されなくなるまで、反応を継続させた。水素の発生が観察されなくなったとき、透明感のある薄黄色/オレンジ色の溶液が形成された。次に、得られた溶液を、カニューレにより、ゆっくりとMo(CO)2(MeCN)2(2−tBu−アリル)Br(73g、0.178モル)の無水THF(0.5L)溶液に添加した。得られた濃い赤色の溶液を室温で一晩撹拌した。このとき、NaClの青白い沈殿物が形成された。溶媒を減圧除去し、残留物をヘキサン(2×0.5L)で抽出した。得られた濃い赤色の混合物をカニューレ濾過し、濃縮された濃い赤色の液体を得た。
混合物を0.5Torrで蒸留した。少量の白いモリブデンカルボニルベースの不純物を60〜90℃で昇華させ、この時点でオレンジ色の液体を蒸留した。
Me3SiCpMo(CO)2(2−tBu−アリル)の収量(オレンジ色の固体、融点44〜45℃)=約35g(50%)。
1HNMR(C66):δ=0.19(s、9H、Me3Si)、1.12(s、9H、tBu)、1.53(s、2H、アリル−H)、1.70(s、3H、アリル−Me)、2.83(s、2H、アリル−H)、4.64(m、2H、Cp−H)、4.69(m、2H、Cp−H)。
図2に、Me3SiCpMo(CO)2(2−tBu−アリル)のTGAプロットを示す。
(実施例3:トリメチルシリルシクロペンタジエニルモリブデンジカルボニル1−トリメチルシリルアリル(Me3SiCpMo(CO)2(1−Me3Si−アリル)の調製)
Figure 2017515885
マグネチックスターラー及びコンデンサを備えた5Lの3頚丸底フラスコに、Mo(CO)6(60g、0.227モル)を添加し、次いで、無水アセトニトリル(0.8L)を添加した。混合物を、窒素雰囲気下、90℃で16時間還流させ、黄色の溶液を得た。反応を、外部のオイルバブラーでCOガスの発生がもはや観察されなくなるまで継続させた。この溶液を室温になるまで冷却し、ついで、溶液を撹拌しながら、2−(1−ブロモ−2−プロペン−1−イル)トリメチルシラン(44.0g、0.19モル)を注射器でゆっくりと添加した。添加中、オレンジ色/赤色が生じ、COの発生が観察された。混合物を、60℃で一晩撹拌し、この時点でCOの発生はもはや観測されなかった。この混合物を冷却し、溶媒を減圧除去した。得られたオレンジ色/褐色固体を、真空乾燥し、96g(97%)のMo(CO)2(MeCN)2(1−Me3Si−アリル)Brを得た。
グローブボックス中において、1Lの丸底フラスコに水素化ナトリウム(NaH)(5.5g、0.227モル)を投入した。無水THF(300mL)を添加し、懸濁液を30℃で激しく撹拌した。窒素パージしながら滴下漏斗を装着し、ついでトリメチルシリルシクロペンタジエン(Me3SiCpH)(31.4g、0.227モル)を投入した。次に、NaH懸濁液を撹拌しながら、Me3SiCpHをゆっくりと添加し、一定の速さで水素の発生が観察された。全てのMe3SiCpHを添加した後、さらに水素の発生が観察されなくなるまで、反応を継続させた。水素の発生が観察されなくなったとき、透明感のある薄黄色/オレンジ色の溶液を得た。
得られた溶液を、Mo(CO)2(MeCN)2(1−Me3Si−アリル)Br(96g、0.277モル)の無水THF(0.6L)溶液に、カニューレでゆっくりと添加した。得られた濃い赤色の溶液を、室温で一晩撹拌した。このとき、NaClの青白い沈殿物が形成された。溶媒を減圧留去し、次いで、残留物をヘキサン(2×0.5L)で抽出した。濃赤色の混合物をカニューレ濾過し、濃縮された濃赤色の液体を得た。生成物を、130℃/0.5Torrで蒸留した。
Me3SiCpMo(CO)2(1−Me3Si−アリル)の収量(赤色/オレンジ色固体、融点45℃)=58.5g(Mo(CO)6から60%)。
1HNMR(C66):δ=0.12(s、9H、Me3Si)、0.25(s、9H、Me3Si)、0.87(d、1H、アリル−H)、0.97(d、1H、アリル−H)、2.57(d、1H、アリル−H)、3.61(m、1H、アリル−H)、4.53(s、1H、Cp−H)、4.81(s、1H、Cp−H)、4.90(s、1H、Cp−H)、5.04(s、1H、Cp−H)。
図3に、Me3SiCpMo(CO)2(1−Me3Si−アリル)のTGAプロットを示す。
(実施例4:共反応剤としてオゾンを用いた、式Iの化合物を伴う酸化モリブデンの原子層堆積による堆積)
全ての実験において、実験中、Mo源を、80sccmの窒素運搬ガス流で90℃に保った。オゾン共反応剤の濃度は、260g/Nm3であり、流速は60sccmであり、1.0秒でパルス供給した。全ての実験において、ベースラインの反応圧は、1.2〜1.5Torrの間である。使用した基板は、Si(SiO2 1K)及び水素終端Si(100)(Si(H−term))上の10nmのSiO2である。典型的な条件を以下に示す:
基板温度:200℃
共反応剤:オゾン
窒素パージ時間:5.0秒間
パルス列:1.0秒 Mo前駆体/5.0秒 N2パージ/1.0秒 オゾン/5.0秒 N2パージ
総サイクル:1000。
XPSスペクトル、及び、Si上に堆積した膜の断面SEM写真を分析する。均一性を測定する手段として、基板の多くの異なる点における断面SEMにより膜厚を測定する。
(実施例5:MoO3膜を形成するための(Me3SiCpMo(CO)2(2−Me−アリル)を用いたALD)
ステンレススチールバブラー内において、Me3SiCpMo(CO)2(2−Me−アリル)を90℃になるまで加熱し、キャリアガスとして窒素を使用して、ALD反応器に運び、〜250℃から〜350℃で、〜5秒間パルス供給し、ついで、〜10秒間排気した。オゾン(O3)を酸化剤として使用し、各サイクルで、〜10秒間パルス供給し、〜15秒間排気した。使用した基板は、〜1kÅの熱SiO2及び薄い固有の酸化層を有するシリコンチップであった。ALDの条件は以下の通りである:
基板温度:〜250℃
共反応剤:オゾン
2キャリアガス流速:Me3SiCpMo(CO)2(2−Me−アリル)及びオゾンのそれぞれに対して、〜10sccm
ベース圧:〜0.53〜0.55Torr
オゾンパルスピーク圧:〜2.5〜2.6Torr
オゾン濃度:〜186〜200g/Nm3
パルス列:〜5.0秒 Me3SiCpMo(CO)2(2−Me−アリル)/〜10.0秒 N2パージ/〜10.0秒 オゾン/〜15.0秒 N2パージ
総サイクル:200。
基板上のMoO3膜の形成を、目視により確認した。
本明細書に引用された全ての特許及び文献は、この全てが本明細書に記載されているものとして、その全てを参照により全ての目的のために、本願に組み込まれる。
「含む」という用語は、制限的ではなく、包括的に解釈されるべきである。
Me3SiCpMo(CO)2(2−Me−アリル)の質量損失%対温度を示す熱重量分析(TGA)データのグラフである。 Me3SiCpMo(CO)2(2−tBu−アリル)の質量損失%対温度を示すTGAデータのグラフである。 Me3SiCpMo(CO)2(1−Me3Si−アリル)の質量損失%対温度を示すTGAデータのグラフである。

Claims (42)

  1. 式1の構造に相当する有機金属錯体であって、
    Figure 2017515885
    1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、アルキル、及びトリアルキルシリルからなる群から独立して選択され、
    1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の少なくとも1つは、トリアルキルシリルであり、
    1、R2、R3、R4、及びR5の少なくとも1つがトリアルキルシリルであるとき、R6、R7、R8、R9及びR10の少なくとも1つはアルキル又はトリアルキルシリルであり、かつ、
    1、R2、R3、R4、及びR5がそれぞれ水素であるとき、トリアルキルシリルではないR6、R7、R8、R9及びR10の少なくとも1つは、アルキルである、有機金属錯体。
  2. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうち1つ又は2つはトリアルキルシリルである、請求項1に記載の有機金属錯体。
  3. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、C1−C8アルキル、及びトリ(C1−C8アルキル)シリルからなる群から独立して選択される、請求項1又は2に記載の有機金属錯体。
  4. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、C1−C4アルキル及びトリ(C1−C4アルキル)シリルからなる群から独立して選択される、請求項1〜3のいずれか1項に記載の有機金属錯体。
  5. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、トリメチルシリル、トリエチルシリル、トリイソプロピルシリル及びtert−ブチルジメチルシリルからなる群から独立して選択される、請求項1〜4のいずれか1項に記載の有機金属錯体。
  6. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の1つ又は2つはトリメチルシリルである、請求項1〜5のいずれか1項に記載の有機金属錯体。
  7. 1はトリメチルシリルであり、R2、R3、R4及びR5は水素である、請求項1〜6のいずれか1項に記載の有機金属錯体。
  8. 1はトリメチルシリルであり、
    2、R3、R4及びR5は水素であり、
    6、R7、R8、R9及びR10のうちの4つは水素である、請求項1〜7のいずれか1項に記載の有機金属錯体。
  9. 1はトリメチルシリルであり、
    2、R3、R4、R5、R6、R7、R9及びR10は水素であり、
    8は、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル及びトリメチルシリルからなる群から選択される、請求項1〜7のいずれか1項に記載の有機金属錯体。
  10. 前記錯体は、
    Figure 2017515885
    である、請求項9に記載の有機金属錯体。
  11. 1はトリメチルシリルであり、
    2、R3、R4、R5、R6、R7、R8及びR9は水素であり、
    10は、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル及びトリメチルシリルからなる群から選択される、請求項1〜7のいずれか1項に記載の有機金属錯体。
  12. 前記錯体は、
    Figure 2017515885
    である、請求項11に記載の有機金属錯体。
  13. 1、R2、R3、R4及びR5のうちの1つはトリアルキルシリルであり、R6、R7、R8、R9及びR10のうちの1つはトリアルキルシリルである、請求項1又は2に記載の有機金属錯体。
  14. 1、R2、R3、R4及びR5のうちの2つはトリアルキルシリルである、請求項1又は2に記載の有機金属錯体。
  15. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの8つが水素である、請求項1又は2に記載の有機金属錯体。
  16. 気相堆積法によりモリブデン含有膜を形成する方法であって、前記方法は、式Iの構造に相当する有機金属錯体を気化する工程を含み、
    Figure 2017515885
    式(I)において、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は水素、アルキル、及びトリアルキルシリルからなる群から独立して選択され、R1、R2、R3、R4、R5、R6、R7、R8、R9及びR10の少なくとも1つはトリアルキルシリルである、方法。
  17. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの1つ又は2つは、トリアルキルシリルである、請求項16に記載の方法。
  18. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、C1−C8アルキル、及びトリ(C1−C8アルキル)シリルからなる群から独立して選択される、請求項16又は17に記載の方法。
  19. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、C1−C4アルキル及びトリ(C1−C4アルキル)シリルからなる群から独立して選択される、請求項16〜18のいずれか1項に記載の方法。
  20. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10は、水素、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、トリメチルシリル、トリエチルシリル、トリイソプロピルシリル及びtert−ブチルジメチルシリルからなる群から独立して選択される、請求項16〜19のいずれか1項に記載の方法。
  21. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの1つ又は2つは、トリメチルシリルである、請求項16〜20のいずれか1項に記載の方法。
  22. 1はトリメチルシリルであり、R2、R3、R4及びR5は水素である、請求項16〜21のいずれか1項に記載の方法。
  23. 1はトリメチルシリルであり、
    2、R3、R4及びR5は水素であり、
    6、R7、R8、R9及びR10のうちの4つ又は5つは水素である、請求項16〜22のいずれか1項に記載の方法。
  24. 1はトリメチルシリルであり、
    2、R3、R4、R5、R6、R7、R9及びR10は水素であり、
    8は水素、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル及びトリメチルシリルからなる群から選択される、請求項16〜23のいずれか1項に記載の方法。
  25. 前記錯体は、
    Figure 2017515885
    である、請求項24に記載の方法。
  26. 1はトリメチルシリルであり、
    2、R3、R4、R5、R6、R7、R8及びR9は水素であり、
    10は、水素、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル及びトリメチルシリルからなる群から選択される、請求項16〜23のいずれか1項に記載の方法。
  27. 前記錯体は、
    Figure 2017515885
    である、請求項26に記載の方法。
  28. 1、R2、R3、R4及びR5のうちの1つはトリアルキルシリルであり、R6、R7、R8、R9及びR10のうちの1つはトリアルキルシリルである、請求項16又は17に記載の方法。
  29. 1、R2、R3、R4及びR5のうちの2つはトリアルキルシリルである、請求項16又は17に記載の方法。
  30. 1、R2、R3、R4、R5、R6、R7、R8、R9及びR10のうちの8つ又は9つは水素である、請求項16又は17に記載の方法。
  31. 前記気相堆積法は化学気相堆積法である、請求項16〜30のいずれか1項に記載の方法。
  32. 前記化学気相堆積法は、パルス化学気相堆積法又は連続フロー化学気相堆積法である、請求項31に記載の方法。
  33. 前記化学気相堆積法は液体注入化学気相堆積法である、請求項31に記載の方法。
  34. 前記気相堆積法は原子層堆積法である、請求項16〜30のいずれか1項に記載の方法。
  35. 前記原子層堆積法は、液体注入原子層堆積法又はプラズマ利用原子層堆積法である、請求項34に記載の方法。
  36. 少なくとも1つの前記有機金属錯体は、酸素源のパルスと交互になったパルスで基板に運ばれ、金属酸化物膜を形成する、請求項16〜35のいずれか1項に記載の方法。
  37. 前記酸素源は、H2O、空気、O2及びオゾンからなる群から選択される、請求項36に記載の方法。
  38. 少なくとも1つの共有機金属錯体を気化し、酸化金属膜を形成する工程をさらに含む、請求項16〜37のいずれか1項に記載の方法。
  39. 水素、水素プラズマ、酸素、空気、水、アンモニア、ヒドラジン、ボレート、シラン、オゾン、及びこれらのいずれか2以上の組み合わせからなる群から選択される、少なくとも1つの共反応剤を気化する工程をさらに含む、請求項38に記載の方法。
  40. 共反応剤としてヒドラジンを気化する工程をさらに含む、請求項39に記載の方法。
  41. 前記ヒドラジンは、ヒドラジン(N24)又はN,N−ジメチルヒドラジンである、請求項40に記載の方法。
  42. 前記方法は、DRAM又はCMOS用途に用いられる、請求項16〜41のいずれか1項に記載の方法。
JP2016575311A 2014-03-13 2015-03-10 モリブデンシルシクロペンタジエニル錯体、シリルアリル錯体、及び、薄膜堆積におけるその使用 Active JP6471371B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461952633P 2014-03-13 2014-03-13
US61/952,633 2014-03-13
PCT/US2015/019604 WO2015138390A1 (en) 2014-03-13 2015-03-10 Molybdenum silylcyclopentadienyl and silylallyl complexes and use thereof in thin film deposition

Publications (3)

Publication Number Publication Date
JP2017515885A true JP2017515885A (ja) 2017-06-15
JP2017515885A5 JP2017515885A5 (ja) 2018-04-26
JP6471371B2 JP6471371B2 (ja) 2019-02-20

Family

ID=52829313

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016575311A Active JP6471371B2 (ja) 2014-03-13 2015-03-10 モリブデンシルシクロペンタジエニル錯体、シリルアリル錯体、及び、薄膜堆積におけるその使用

Country Status (9)

Country Link
US (1) US10745430B2 (ja)
EP (1) EP3116884B1 (ja)
JP (1) JP6471371B2 (ja)
KR (1) KR101819482B1 (ja)
CN (1) CN106460170B (ja)
IL (1) IL247719A (ja)
SG (1) SG11201607587YA (ja)
TW (1) TWI660958B (ja)
WO (1) WO2015138390A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014193915A1 (en) 2013-05-28 2014-12-04 Sigma-Aldrich Co. Llc Manganese complexes and use thereof for preparing thin films
EP3510038B1 (en) * 2016-09-09 2021-02-17 Merck Patent GmbH Metal complexes containing allyl ligands
KR20180038823A (ko) * 2016-10-07 2018-04-17 삼성전자주식회사 유기 금속 전구체, 이를 이용한 막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) * 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
KR102355507B1 (ko) 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
JP7433132B2 (ja) * 2020-05-19 2024-02-19 東京エレクトロン株式会社 成膜方法及び成膜装置
CN111777649A (zh) * 2020-07-16 2020-10-16 苏州欣溪源新材料科技有限公司 二烷基二茂钼类配合物及其制备方法与应用
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010534769A (ja) * 2007-07-24 2010-11-11 シグマ−アルドリッチ・カンパニー 化学的相成長による金属含有薄膜の形成方法
JP2013501714A (ja) * 2009-08-07 2013-01-17 シグマ−アルドリッチ・カンパニー、エルエルシー 高分子量アルキル−アリルコバルトトリカルボニル錯体及び誘電体薄膜を作製するためのそれらの使用
WO2013112383A1 (en) * 2012-01-26 2013-08-01 Sigma-Aldrich Co. Llc Molybdenum allyl complexes and use thereof in thin film deposition
JP2013540893A (ja) * 2010-08-27 2013-11-07 シグマ−アルドリッチ・カンパニー、エルエルシー モリブデン(iv)アミド前駆体及び原子層堆積法におけるそれらの使用
WO2014018517A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc. Methods for producing nickel-containing films

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE613119A (ja) * 1961-01-25
JPH07107190B2 (ja) 1984-03-30 1995-11-15 キヤノン株式会社 光化学気相成長方法
JPS63196243A (ja) 1987-02-09 1988-08-15 Hiroyuki Hamano 肉の削り節及びその製造方法
US5352488A (en) * 1993-05-14 1994-10-04 Syracuse University Chemical vapor deposition process employing metal pentadienyl complexes
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US20090205538A1 (en) 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090203928A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090199739A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
DE102008036247A1 (de) 2008-08-04 2010-02-11 Merck Patent Gmbh Elektronische Vorrichtungen enthaltend Metallkomplexe
US8530348B1 (en) * 2012-05-29 2013-09-10 Intermolecular, Inc. Integration of non-noble DRAM electrode
WO2014193915A1 (en) 2013-05-28 2014-12-04 Sigma-Aldrich Co. Llc Manganese complexes and use thereof for preparing thin films
GB201318595D0 (en) 2013-10-21 2013-12-04 Zephyros Inc Improvements in or relating to laminates
CN106232611A (zh) 2013-10-28 2016-12-14 赛孚思科技有限公司 包含酰胺基亚胺配位体的金属配合物

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010534769A (ja) * 2007-07-24 2010-11-11 シグマ−アルドリッチ・カンパニー 化学的相成長による金属含有薄膜の形成方法
JP2013501714A (ja) * 2009-08-07 2013-01-17 シグマ−アルドリッチ・カンパニー、エルエルシー 高分子量アルキル−アリルコバルトトリカルボニル錯体及び誘電体薄膜を作製するためのそれらの使用
JP2013540893A (ja) * 2010-08-27 2013-11-07 シグマ−アルドリッチ・カンパニー、エルエルシー モリブデン(iv)アミド前駆体及び原子層堆積法におけるそれらの使用
WO2013112383A1 (en) * 2012-01-26 2013-08-01 Sigma-Aldrich Co. Llc Molybdenum allyl complexes and use thereof in thin film deposition
WO2014018517A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc. Methods for producing nickel-containing films

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
BRAGA, SUSANA S. , ET AL., JOURNAL OF THE CHEMICAL SOCIETY, DALTON TRANSACTIONS, vol. 17, JPN7018002216, 2000, pages 2964 - 2968, ISSN: 0003827991 *
CHOW, CATHERINE, ET AL., ORGANOMETALLICS, vol. 31(21), JPN6018024665, 2012, pages 7453 - 7466, ISSN: 0003827988 *
HONZICEK, JAN, ET AL., ORGANOMETALLICS, vol. 28(9), JPN6018024667, 2009, pages 2871 - 2879, ISSN: 0003827990 *
PANNELL, K. H. , ET AL., JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 112(1), JPN6018024669, 1976, pages 37 - 48, ISSN: 0003827992 *
YABE-YOSHIDA, MAKO, ET AL., JOURNAL OF THE AMERICAN CHEMICAL SOCIETY, vol. 131(26), JPN6018024666, 2009, pages 9138 - 9139, ISSN: 0003827989 *

Also Published As

Publication number Publication date
IL247719A (en) 2017-10-31
SG11201607587YA (en) 2016-10-28
WO2015138390A1 (en) 2015-09-17
KR20160122273A (ko) 2016-10-21
TW201542570A (zh) 2015-11-16
KR101819482B1 (ko) 2018-01-17
JP6471371B2 (ja) 2019-02-20
CN106460170B (zh) 2019-12-06
EP3116884B1 (en) 2017-08-16
TWI660958B (zh) 2019-06-01
CN106460170A (zh) 2017-02-22
US10745430B2 (en) 2020-08-18
US20170121356A1 (en) 2017-05-04
EP3116884A1 (en) 2017-01-18

Similar Documents

Publication Publication Date Title
JP6471371B2 (ja) モリブデンシルシクロペンタジエニル錯体、シリルアリル錯体、及び、薄膜堆積におけるその使用
JP6209168B2 (ja) モリブデンアリル錯体及び薄膜堆積におけるその使用
KR101659725B1 (ko) 휘발성 디하이드로피라지닐 및 디하이드로피라진 금속 착화합물
JP2019510877A (ja) モリブデンカルボニル前駆体を使用したモリブデン薄膜の蒸着
KR102638657B1 (ko) 사이클로펜타디에닐 리간드를 포함하는 금속 착화합물
JP2020189841A (ja) アリル配位子を含む金属錯体
WO2018086730A9 (en) Metal complexes containing cyclopentadienyl ligands
WO2017116667A1 (en) Iron-containing film forming compositions, their synthesis, and use in film deposition
KR20150059129A (ko) 유기 14족 준금속 아자이드 화합물 및 이를 이용한 박막 증착 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180312

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180312

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20180312

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20180622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180703

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181001

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181127

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20181225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20181225

R150 Certificate of patent or registration of utility model

Ref document number: 6471371

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250