JP2017142774A - ネットワークオンチップ方法によるメモリバンクのためのファブリック相互接続 - Google Patents

ネットワークオンチップ方法によるメモリバンクのためのファブリック相互接続 Download PDF

Info

Publication number
JP2017142774A
JP2017142774A JP2016209758A JP2016209758A JP2017142774A JP 2017142774 A JP2017142774 A JP 2017142774A JP 2016209758 A JP2016209758 A JP 2016209758A JP 2016209758 A JP2016209758 A JP 2016209758A JP 2017142774 A JP2017142774 A JP 2017142774A
Authority
JP
Japan
Prior art keywords
storage device
router
memory
fifos
network
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016209758A
Other languages
English (en)
Other versions
JP6595437B2 (ja
Inventor
ゼット バンディック ズヴォニミール
Z Bandic Zvonimir
ゼット バンディック ズヴォニミール
カーギニニ ルイス
Cargnini Luis
カーギニニ ルイス
ヴチニッチ デジャン
Vucinic Dejan
ヴチニッチ デジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
HGST Netherlands BV
Original Assignee
HGST Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by HGST Netherlands BV filed Critical HGST Netherlands BV
Publication of JP2017142774A publication Critical patent/JP2017142774A/ja
Application granted granted Critical
Publication of JP6595437B2 publication Critical patent/JP6595437B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/1647Handling requests for interconnection or transfer for access to memory bus based on arbitration with interleaved bank access
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/10Packet switching elements characterised by the switching fabric construction
    • H04L49/109Integrated on microchip, e.g. switch-on-chip
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/1652Handling requests for interconnection or transfer for access to memory bus based on arbitration in a multiprocessor architecture
    • G06F13/1657Access to multiple memories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1684Details of memory controller using multiple buses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/0604Improving or facilitating administration, e.g. storage management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0646Horizontal data movement in storage systems, i.e. moving data in between storage devices or systems
    • G06F3/0647Migration mechanisms
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/067Distributed or networked storage systems, e.g. storage area networks [SAN], network attached storage [NAS]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/22Alternate routing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/74Address processing for routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Memory System (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)

Abstract

【課題】ネットワークオンチップ方法によるメモリバンクのためのファブリック相互接続を提供する。【解決手段】ルーター206経由で記憶貯蔵ブロックへのアクセスを与える揮発性及び非揮発性両方の固体メモリ構造のためのネットワークオンチップアーキテクチャ202において、データは、チップ上のデータパケットとして記憶貯蔵ブロックとの間で送受される。さらに、このネットワークオンチップアーキテクチャを利用してダイ上に広がっている無制限個数のメモリセルマトリックスを相互接続し、それにより、マトリックス間の待ち時間の低減、選択的電力制御、大幅な待ち時間の増加を伴わない無制限の記録密度向上並びに寄生容量及び寄生抵抗を低減する。【選択図】図2A

Description

本明細書において開示される実施形態は、一般的にはデータ記憶システムに関し、且つ、より具体的にはメモリサブアレイ、マット、アレイ、サブバンク、及び/又はバンクの相互接続のためにネットワークオンチップアーキテクチャを利用する記憶装置に関する。
コンピュータの大脳皮質は、一般的に回転磁気媒体又は固体媒体装置を含み得る磁気記録装置である。今日、種々様々の記憶技術がコンピュータシステムにおいて使用される情報を記憶するために存在する。
近年、大容量記憶アプリケーションのために比較的低いビットあたりコストを維持する高密度装置の需要が生じている。現在、コンピュータ産業を広く支配する記憶技術は、DRAM及びNANDフラッシュである。しかし、これらの記憶技術は、次世代コンピュータシステムの現在及び将来の容量要求に対処できない場合がある。
既存の非揮発性メモリバンクアーキテクチャは、従来のファブリックルーティング方法を使用しているが、これは、SRAM、DRAM、FLASH、MRAM、PCM、及びReRAMのほかHMCメモリバンクで広く採用されてきた方法である。この従来の方法は、1つのダイに含まれ得るメモリセルの量のほか、帯域幅の量及び同一メモリバンクへのアクセスポイントを制限する。
伝統的に、メモリバンクは、サブバンクのアレイを含むバンクとして構造化され、且つ、設計される。各サブバンクは、複数のマットを含み得る。各マットは、4つ又はそれ以上のサブアレイ及びプリデコーディングロジックから構成することができる。したがって、Hツリールーティングを使用してダイを垂直及び水平方向に辿るサブアレイのI/Oのルーティングを行うことができる。しかし、この領域の約70%は、サブアレイを相互接続するために利用される。したがって、メモリの表面の過半は、論理相互接続であってメモリではない。よって、既存のメモリバンクアーキテクチャの最大の限界は、メモリ全体のルーティングを行うために必要なワイヤの量である。過大な量のワイヤは、SRAMからDRAMまでの既存メモリバンクにおける待ち時間の主な原因である。在来のメモリバンクの物理的制約のために、サブアレイは、書き込み及び読み取りのためにワード線を共用している。したがって、各バンクは、一時に1つのサブアレイにアクセスできるのみである。このような制約があるため、インターフェース増設の複雑性及びコストから、物理アクセスインターフェースは1つに限られることがある。
したがって、改良アーキテクチャを使用し、且つ、任意のサブアレイに対する並行アクセスを可能にする改良メモリ装置が当該技術分野において必要である。さらに、ルーティングのために大多数のダイを使用することなくメモリバンクのルーティングを行う改良された方法が当該技術分野において必要である。
本明細書において開示される実施形態は、一般的に、揮発性及び非揮発性両方の固体メモリ構造のためのネットワークオンチップアーキテクチャの使用に関するものであり、このメモリ構造は、ルーター経由の記憶貯蔵ブロックへのアクセスを提供する。したがって、データは、チップ上のデータパケットとして記憶貯蔵ブロックとの間で送受され得る。さらに、このネットワークオンチップアーキテクチャを利用してダイ上に広がっている無制限個数のメモリセルマトリックスを相互接続し、それにより、マトリックス間の待ち時間の低減、選択的電力制御、大幅な待ち時間増加を伴わない無制限の記録密度向上、並びに寄生容量及び寄生抵抗の低減を可能にすることができる。その他の利益は、信号品位の改善、メモリアレイの実現のために利用できるダイ面積の拡張、及びより高い動作周波数を含み得る。
1つの実施形態では、記憶装置を開示する。この記憶装置は、複数のノードを含み得る。各ノードは、ルーター、ネットワークオンチップアーキテクチャを使用して各ルーターを複数のノードのそれぞれと相互接続する複数のリンク、及び少なくとも1つのメモリアレイを含み得る。ルーターは、複数のFIFO、データパケット交換ロジック、及び少なくとも1つのアグリゲータを含み得る。各FIFOは、複数のチャネル間のクロックドメインの個別細分化を可能にし得る。アグリゲータは、データパケット交換ロジックと動作可能なように接続され得る。少なくとも1つのメモリアレイは、リンク経由で各ルーターと動作可能なように接続され得る。各メモリアレイは、ルーター経由でアクセスされ得る。
別の実施形態では、記憶装置を開示する。この記憶装置は、少なくとも1つの相互接続メモリセルマトリックスを含み得る。この相互接続メモリセルマトリックスは、複数のルーター、複数の知的財産コア、及び少なくとも1つのリンクを含み得る。各ルーターは、複数のFIFOを含み得る。1つの知的財産コアは、各ルーターと結合され得る。少なくとも1つのリンクは、ネットワークオンチップアーキテクチャスキームを利用して複数のルーターのうちの隣接ルーター同士を結合することができる。
さらに別の実施形態では、記憶装置を開示する。この記憶装置は、少なくとも1つの相互接続メモリセルマトリックスを含み得る。この相互接続メモリセルマトリックスは、複数のルーター及び複数の知的財産コアを含み得る。各ルーターは、複数のFIFO、データパケット交換ロジック、及び少なくとも1つのアグリゲータを含み得る。このアグリゲータは、少なくとも1つのFIFO及びデータパケット交換ロジックと動作可能なように接続され得る。1つの知的財産コアは、ネットワークオンチップアーキテクチャスキームを利用してリンク経由で各ルーターに結合され得る。
前記の目的及び関連目的の達成のために、1つ又は複数の態様は、本明細書においてこれから詳細に説明され、且つ、請求項において具体的に指摘される特徴を含む。以下の記述及び添付図面は、1つ又は複数の態様のある説明に役立つ特徴を詳細に明らかにする。しかし、これらの特徴は、種々の態様の原理が使用され得る種々の方法のうちのいくつかを示すものにすぎないが、この説明は、すべてのかかる態様及びそれらに等価のものを含めることを意図している。
本開示の上述の特徴が詳細に理解され得るように、添付図面において一部図示される実施形態を参照しつつ、上記において簡潔に要約された本開示のより詳細な説明を行うこととする。しかし、添付図面は、この開示の典型的な実施形態を示しているのみであり、したがってその範囲を限定するものと解するべきではないことに注意するべきである。なぜならば、本開示は、どの領域においても他の同様に効果的な実施形態を可能にし得るからである。
図1Aは、在来の記憶装置のメモリアレイのアーキテクチャを示す。 図1Bは、在来のHツリー技術を利用するメモリバンクアーキテクチャの概略を示す。 図2Aは、本明細書において記述される1つの実施形態によるネットワークオンチップアーキテクチャを利用する固体メモリ構造を示す。 図2Bは、本明細書において記述される1つの実施形態による記憶貯蔵ブロックに動作可能なように接続される個別ルーターを示す。 図2Cは、本明細書において記述される1つの実施形態によるルーターを示す。 図2Dは、本明細書において記述される1つの実施形態によるルーターを示す。
理解を容易にするために、可能な場合には同一の参照番号を使用して各図に共通の要素を示した。1つの実施形態において開示された要素は、他の実施形態について詳しい説明なしに有利に利用してもよいことが意図される。
以下の開示では、実施形態を参照する。しかし、当然のことながら本開示は、特定の記述された実施形態に限定されない。それよりむしろ、以下の特徴及び要素のどの組み合わせも、別の実施形態と関連するか否かに関係なく、請求される主題事項を実現し、且つ、実施することを意図している。さらに、本明細書において記述される実施形態は、他の可能な解決方法及び/又は先行技術に勝る利点を実現することができるが、特別な利点がある実施形態により実現されるか否かは、請求される主題事項の限定ではない。したがって、以下の態様、特徴、実施形態及び利点は、説明に役立つのみであり、且つ、請求項において明示的に言及された場合を除き、添付請求項の要素又は限定と見なされない。
本明細書において開示される実施形態は、一般的に、ルーター経由の記憶貯蔵ブロックへのアクセスを可能にする固体メモリ構造のためのネットワークオンチップアーキテクチャの使用に関する。したがって、チップ上のデータパケットとしてデータを記憶貯蔵ブロックとの間で送受することができる。さらに、ネットワークオンチップアーキテクチャを利用してダイ上に広がっている無制限個数のメモリセルマトリックスを相互接続し、それにより、マトリックス間の待ち時間の低減、選択的電力制御、大幅な待ち時間の増加を伴わない無制限の記録密度向上、並びに寄生容量及び寄生抵抗の低減を可能にすることができる。その他の利益は、信号品位の改善、メモリアレイの実現のために利用できるダイ面積の拡張、及びより高い動作周波数を含み得る。
本開示の態様の以下の記述では本出願の一環をなす添付図面への参照を行うが、これらの図面においては、実例として、本開示を実現し得る特定の実施を示す。本明細書において検討される図面は原寸に比例して作図されておらず、且つ、実際の寸法又は相対的な寸法を示していないことに注意するべきである。図面中のハッチングはいずれも、層を区別するために使用されており、使用される材料の種類を示しているのではない。
図1Aは、当該技術分野で知られている記憶装置100の在来のメモリバンク102、104のアーキテクチャを示す。図示されているように、記憶装置100は、インターフェースロジック106により動作可能なように接続される第1メモリバンク102及び第2メモリバンク104を含み得る。ある実施形態では、第1メモリバンク102及び/又は第2メモリバンク104は、サブバンクとすることができる。しかし、記憶装置100内でより多くのメモリバンクを利用することが企図されている。この場合、各メモリバンクは、インターフェースロジックにより接続されることになる。第1メモリバンク102及び第2メモリバンク104は、それぞれ、複数のサブアレイ108を含み得る。ある実施形態では、第1メモリバンク102及び第2メモリバンク104は、それぞれ、複数のサブバンク(示されていない)を含み得る。各サブアレイ108は、メモリセルのサブアレイとすることができる。インタコネクタ110は、第1メモリバンク102及び第2メモリバンク104のそれぞれの中で各サブアレイ108を識別し得る。インタコネクタ110は、記憶装置100全体のルーティングのために設けられるワイヤとすることができる。図示のように、第1メモリバンク102及び第2メモリバンク104のそれぞれの面積の約60%がインタコネクタ110のために使用されている。
図1Aの第1メモリバンク102及び第2メモリバンク104のアーキテクチャのような既存メモリバンクアーキテクチャの欠点は、記憶装置100全体のルーティングを行うために必要なワイヤの量である。したがって、第1メモリバンク102及び第2メモリバンク104のメモリバンクのような既存メモリバンクにおける待ち時間の主要な原因は、装置の種類(たとえば、SRAM、DRAM等)に関係なく、ワイヤの量である。したがって、かかる記憶装置内における電力、面積及び待ち時間の間のトレードオフの決定という難問が存在する。
図1Bは、Hツリールーティングレイアウト122を利用する在来のメモリバンクアーキテクチャスキーム120の別の実施形態を示す。在来のメモリバンクは、メモリのバンク(示されていない)を含むことができ、各バンクはサブバンク(示されていない)のアレイに分割される。各サブバンクは、さらに複数のMAT(示されていない)に分割され、そして各MATは、4つ又はそれ以上のサブアレイ124から構成することができる。各サブアレイ124は、プリデコーディングロジック(示されていない)、2−Dメモリアレイセル(示されていない)、行及び列のデコーダ(示されていない)、ワード線ドライバー(示されていない)、ビット線マクサー(示されていない)、センス増幅器(示されていない)、及び/又は出力ドライバー(示されていない)を含み得る。各サブアレイ124の各要素は、I/Oインターフェース(示されていない)と相互接続され得る。
各サブアレイ124は、在来のメモリバンクアーキテクチャスキーム120内でワイヤ126経由で接続され得る。64ビットの8ワードのラインサイズを使用する在来のメモリバンクアーキテクチャスキーム120は、合計512ビット又はメタルトラックを保持する。したがって、在来の各メモリバンクアーキテクチャスキーム120は、全部で、8,000余りのワイヤ126を利用してその中の各サブアレイ124を相互接続し得る。Hツリールーティングレイアウト122の利用は、電力が常にHツリー全体に加えられることを必要とする。
図1Aの在来のメモリバンク102、104は、一般的にHツリールーティングレイアウト122を採用し得る。上記において述べたように、Hツリールーティングレイアウト122を使用すると、記憶装置100のスペースの約70%〜80%がルーティングワイヤ126及びI/Oファブリック相互接続のために利用されることになる。
図2Aは、疎メッシュトポロジーのネットワークオンチップアーキテクチャ202を利用する記憶装置200の実施形態を示す。ある実施形態では、記憶装置200は、固体メモリ構造とすることができる。ネットワークオンチップアーキテクチャ202の使用は、ダイ上に直線的に広がる無制限個数のノードの相互接続を可能にし得る。したがって、メモリバンクの最終密度及び関連待ち時間は、事前に予測できる。ネットワークオンチップアーキテクチャ202は、相互接続メモリセルマトリックスとすることができる。したがって、各ノード204は、個々のメモリセルマトリックスとすることができる。図2Aに示すように、記憶装置200は、複数のノード204を含むことができ、この場合、各ノード204は少なくとも1つのルーター206及び少なくとも1つのメモリアレイ208を含む。ある実施形態では、記憶装置200は、複数のノード204を含むことができ、その場合、各ノード204は少なくとも1つのルーター206及び少なくとも1つのサブアレイ(示されていない)を含む。各メモリアレイ208は、知的財産コアとすることができる。各メモリアレイ208に、それぞれのルーター206経由でアクセスすること、及び/又は到達することが可能である。また、チップ上のデータパケットとしてデータをメモリアレイ208との間で送受することができる。ある実施形態では、各ノード204は、複数のリンク210を含み得る。複数のリンク210のそれぞれは、ネットワークオンチップアーキテクチャ202を使用して複数のノード204のそれぞれの各ルーター206を相互接続することができる。したがって、複数のノード204は、格子図形状にパターン化することができる。さらに各ルーター206は、リンク210経由でそれぞれのメモリアレイ208と動作可能なように接続され得る。複数のノード204は、その中にルーター206及びメモリアレイ208を含んでおり、これらのルーターは、ルーター206及び疎メッシュの複数のリンク210を経由してメッセージを送り出すことにより相互に通信し得る。
ある実施形態では、ネットワークオンチップアーキテクチャ202のメッシュトポロジーは、このトポロジーの固有2−D構造のシリコン実現をサポートすることができ、それはプレーナ半導体プロセスにうまく位置付けられる。在来の2−Dメッシュトポロジーは、均一な大きさのノード204を有する正規及び/又は対称レイアウトを採用し得る。しかし、ノード204及び/又はノード204の内容を形状及び/又は寸法において大きく変更可能にすることが企図されている。
ネットワークオンチップに取り付けられるメモリアレイ208を収容するために、各ルーター206は、単一のアドレスよりむしろ一連のアドレスを有し得る。したがって、一部の実施形態では、各ルーター206は、X及び/又はY座標よりむしろ固有の一連のアドレスを有し得る。ある実施形態では、各ルーター206の固有の一連のアドレスは、固有のアドレスとすることができる。各ルーター206の一連のアドレスは、連続する一連のアドレスとすることができる。また、各メモリアレイ208は、X及び/又はY座標よりむしろ固有のアドレス及び/又は固有の一連のアドレスを有し得る。各メモリアレイ208は直線的に連続するメモリアドレスであるから、各メモリアレイ208の一連のアドレスは、連続する一連のアドレスとすることができる。
したがって、データパケット(示されていない)を1つのアドレスに送ることができる。各ルーター206は、ノード204において利用可能なメモリの量により定義される一連のアドレスを有し得る。したがって、各ノード204は、ほんの一例として、同一の設計において、独立に、1024本又は2048本のラインとすることができる。したがって、データパケット交換ロジック222(図2C参照)は、行及び列をデータパケットのフィールドに合致させ、且つ、当該データパケットをメモリアレイ208に接続されているローカルポートに送ることができる。ある実施形態では、計算を行い、その結果をノード204の特性と比較することができる。行のアドレッシングがノード204より大及び/又は小である場合、データパケットは南及び/又は北に送り出され得る。列のアドレッシングがノード204より大及び/又は小である場合、データパケットは東及び/又は西に送り出され得る。したがって、このトポロジーは、ネットワーク上でアドレスルーティングメカニズムを実行することができる。交換ロジック222は計算を行ってデータパケットアドレスが空間全体の範囲内にあることを検証し得る。データパケットアドレスが空間全体の範囲内に存在しない場合、多数の種々のルーティングアルゴリズムをオンザフライで計算して当該データパケットの再ルーティングを行うことができる。ベースアドレスから当該アドレスのマッチを差し引いた場合、メモリアレイ範囲以内のアドレスは、ローカルポートに接続され得る。ベースアドレスから当該アドレスのマッチを差し引かない場合、そのデータパケットは、別のポートに送られ得る。どのポートとするかの決定は、記憶装置のトポロジー及びルーティングテーブルに依存させ得る。また、ネットワークオンチップアーキテクチャは、アドレッシングロジックを変更又は修正せずに、どのような形状にも構築し得る。
ルーター206は、格子点に置くことができる。格子点では、データパケット又はメッセージパケットは、X−Y平面上で方向を変え、且つ/又はネットワークオンチップ上のホストブロックに出て行くことができる。したがって、データパケットをデータパケットの経路上で1つのノード204から別のノード204に切り換える必要がある場合に、ルーター206を利用することができる。複数の入力リンクからのデータパケットが出会い、共通の出力リンクを目指す地点においてルーター206を利用することができる。
さらに、図2Aにおいて示すように、各ルーター206は、少なくとも1つのリンク210経由で少なくとも1つの隣接ルーター206に動作可能なように接続され得る。複数のリンク210は、図2Aのネットワークオンチップアーキテクチャ202のパターンにより示すように、複数のノード204のそれぞれを相互接続して第1の2次元メッシュを形成することができる。一部の実施形態では、ネットワークオンチップアーキテクチャ202は、2次元とすることができる。しかし、ある実施形態では、他のネットワークオンチップアーキテクチャ202が2より大きい次元を有することを企図している。複数のリンク210は、複数の行及び/又は複数の列を形成することができる。
記憶装置200は、第1ルーターメッシュ構造212をさらに含み得る。第1ルーターメッシュ構造212は、少なくとも2つのルーター206などの複数のルーター206、及び少なくとも2つのメモリアレイ208などの複数のメモリアレイ208を含むことができる。各メモリアレイ208は、リンク210経由で少なくとも1つのルーター206に動作可能なように接続され得る。第1ルーターメッシュ構造212は、第1層に配置されるレイアウトを有する複数のノード204をさらに含み得る。ある実施形態では、第1ルーターメッシュの内部は、X及びY方向にモザイク細工されて複数のN×Nメッシュを形成する標準タイルを含むことができる。しかし、モザイク細工又はトポロジーを利用してノード204をメッシュ構造212において及び/又はネットワークオンチップアーキテクチャ202において相互接続することを企図している。
図2Aに示すように、約64個のノード204が示されている。しかし、記憶装置200のような記憶装置においてネットワークオンチップアーキテクチャを利用して任意の個数のノード204を利用することを企図している。
図2Bは、図2Aに関して参照された個別ノード204を示している。ノード204は、メモリセルマトリックスとすることができる。図2Bに示すように、ノード204は、少なくとも1つのルーター206を含み得る。一部の実施形態では、ノード204は、少なくとも1つのメモリアレイ208をさらに含むことができる。メモリアレイ208は、知的財産コア中に置くことができる。少なくとも1つのメモリアレイ208は、リンク210経由で各ルーターに動作可能なように接続され得る。さらに、各メモリアレイ208は、ルーター206経由でアクセスされ得る。各メモリアレイ208は、並列にアクセスされ得る。ある実施形態では、各ルーター206は、記憶装置全体に電力を供給することなくデータパケットの経路を介して連続的にアクセスされ得る。
複数のノードの各ノード204は、それぞれ異なる周波数で動作することができる。さらに、一部の実施形態では、複数のノードの各ノード204は、別々の電圧で動作することができる。別々の電圧は、オンザフライで選択及び/又は生成され得る。
図2Cは、ルーター206の1つの実施形態を示す。各ルーター206は、複数の先入れ先出し装置(FIFO)220を含むことができる。各FIFO 220は、最初に受け入れた入力を先に処理するようにデータバッファを構造化し、且つ/又は操作することができる。一部の実施形態では、複数のFIFO 220は、少なくとも6個のFIFO 220とすることができる。別の実施形態では、複数のFIFO 220は、10個のFIFO 220とすることができる。しかし、任意の個数のFIFO 220の利用を企図している。各チャネルは、入力及び出力インターフェースを含む全二重経路とすることができる。この入力及び出力インターフェースは、データを並列して送受し得ることが期待できる。各送信インターフェースは、FIFO 220と動作可能なように接続され得る。各受信インターフェースは、FIFO 220と動作可能なように接続され得る。したがって、通信は、FIFO 220経由で行われ、したがって各ルーター206の内部を完全に分離することができる。各FIFO 220は、隣接FIFO 220と1対のFIFO 220として動作可能なように接続され得る。一部の実施形態では、各ルーター206は、データパケット交換ロジック222をさらに含み得る。各FIFO 220は、複数チャネル間のクロックドメインの個別細分化を可能にすることができる。各チャネルは、独立の動作周波数で動作することができる。さらに、各チャネルは、全二重チャネルとすることができる。各チャネルは、異なる帯域幅で動作し得る。
FIFO 220の使用は、種々のチャネル間で完全に独立な1つずつのクロックドメインの細分化を可能にし得る。したがって、全二重チャネルは、種々の帯域幅及び/又は動作周波数で動作することができる。さらに、各チャネルは、異なり、且つ、独立の周波数で動作することができる。FIFO 220の使用は、EDAツールがクロックツリー合成のルーティングを改良された性能及び/又は改善された信号対雑音比で行うことを可能にし得る。また、各FIFO 220は、非揮発性及び/又は揮発性技術、たとえば、SRAM及び/又はMRAMにより実現することができる。さらに、ルーター206の内部ロジック、交換ロジック222、及びアグリゲータ230は、異なるクロックドメインで動作することができる。これらの種々のクロックドメインは、異なり、且つ/又は複数の周波数に依存し得る。別の実施形態では、種々のクロックドメインは、異相で整列され得る。したがって、将来におけるGaLs(グローバル非同期ローカル同期)への設計の拡張が可能となり得る。
図2Cにさらに示すように、ルーター206は、アグリゲータ230をさらに含み得る。1つのアグリゲータ230が示されているが、任意の個数のアグリゲータ230の利用を企図している。アグリゲータ230は、リンク210又はワイヤのようなその他の相互接続経由でデータパケット交換ロジック222に接続され得る。さらに、1つのアグリゲータ230が示されているが、任意の個数の交換ロジック222を利用すること、及び/又はアグリゲータ230に動作可能なように接続することを企図している。少なくとも1つのFIFO 220がデータパケット交換ロジック222又は複数のデータパケット交換ロジック222とアグリゲータ230との間に置かれるように、アグリゲータ230はリンク210経由で少なくとも1つのFIFO 220にさらに接続され得る。ある実施形態では、少なくとも1つのFIFO 220がアグリゲータ230と交換ロジック222との間に置かれるように、FIFO 220はアグリゲータ230に接続され得る。したがって、アグリゲータ230は、メモリアレイ208に動作可能なように接続され得る。一部の実施形態では、アグリゲータ230とFIFO 220との間の接続によりメモリアレイ208へのアクセスを可能にすることができる。ある実施形態では、アグリゲータ230は、ネットワークチャネルとメモリアレイ208間の変換を行うことができる。他の実施形態では、アグリゲータ230は、複数のネットワークチャネルとメモリアレイ208間の変換を行うことができる。1つの実施形態では、アグリゲータ230は、メモリアレイ208に接続されているFIFO 220に接続され得る。ある実施形態では、アグリゲータ230は、ルーター206のFIFO 220の一部又はすべてに接続され得る。アグリゲータ230は、ルーター206とメモリアレイ208間のネットワークオンチップアーキテクチャから変換され得る。ある実施形態では、アグリゲータ230は、複数のチャネルを集結させることができる。
データパケット(示されていない)を細分化し、細分化されたデータパケットとしてデータをメモリアレイ208との間でルーター206及び/又は複数のリンク210経由で送受することができる。たとえば、64ビットを16ビットの4パケット又は8ビットの8パケットに分割することができる。各データパケットをその行く先に送るために同じ経路を辿らせる必要はない。したがって、いずれかの場所のメモリを読み取るか又はそれに書き込む際にネットワーク経由でデータパケット送るために4クロックサイクル+ホップが必要である。さらに、図1A及び1Bを参照して示したワイヤの量は、図2Aの記憶装置200を参照して示したようにネットワークオンチップアーキテクチャ202の使用により低減される。各ノード周囲のワイヤの量が少ないこと及びFIFO 220の使用のために、記憶装置200は、図1A及び1Bにおいて示した記憶装置に比して高い周波数で動作することができる。別の実施形態では、ネットワークオンチップチャネル(たとえば、シリアライザ/デシリアライザ)にSerDesを使用することにより各チャネルについて単一メタルトラック及び/又はワイヤを使用することができる。
また、ネットワークオンチップアーキテクチャ202により定義されるレイアウト及び階層を前提として、所与のシステムにおける特定プロセスノード204による通信の合計待ち時間の線形予測を製造前に行い得る。さらに、記憶装置の最終電力消費を正確に予測することができる。さらに、データパケットがネットワークを辿るときにその経路にわたり特定のルーター206を連続的に起動することができ、これに際してネットワーク全体に給電する必要がない。また、記憶装置200における進行中の通信トラフィックの存在にかかわらず、メモリアレイは、そのメモリアレイが使用中でない限り、電力を必要としない。
ある実施形態では、記憶装置200は、複数のモジュレータ及び/又は複数のデモジュレータをさらに含み得る。図2Dは、ルーター206の別の実施形態を示す。一部の実施形態では、ルーター206は、光チャネルとすることができるシングルレーン通信を提供し得る。したがって、ルーター206は、複数のモジュレータ/デモジュレータ280を含むことができる。モジュレータ/デモジュレータ280は、信号を変調してデジタル情報を符号化し、且つ、信号を復調して送信されてきた情報を復号することができる。ルーター206の各FIFO 220は、リンク210経由でモジュレータ/デモジュレータ280に動作可能なように接続され得る。モジュレータ/デモジュレータ280は、1つのルーター206を別のルーター206にリンク210経由で動作可能なように接続することができる。ある実施形態では、リンク210は、レーンとすることができる。このレーンは、複数のワイヤ、単一のワイヤ又はメタルトラック、及び/又はオプトエレクトロニクスを利用している場合に単一の光チャネル又は複数の光チャネルとすることができる。ある実施形態では、リンク210は、光チャネル又はレーンなどのチャネル、及び/又はメタルリンクとすることができる。したがって、モジュレータ/デモジュレータ280は、シングルレーン通信経由で送られてきた信号データを変調及び/又は復調することができる。
本開示の利益は、マトリックス間の待ち時間の低減、使用されているクラスタの電源が受電している間、使用されていないクラスタの電源が完全に停止される選択的電力制御を含む。別の利益は、製造プロセス及びダイの大きさという物理的要因による制限を別にすれば、待ち時間の増大を伴うことなく記憶密度を無制限に高め得ることを含む。さらなる利益は、寄生容量及び寄生抵抗の低減、信号品位の向上、メモリアレイの実現のために利用可能なダイ面積の増大による記憶密度の向上、及び高い動作周波数を含む。また、各ルーターに多数のチャネルを構築することができるので、ルーター間で多数のデータパケットを交換することが可能になり、よって優先通信のコンセプトを記憶装置に導入し得る。また、各FIFOは、ほんの一例であるがSRAM、MRAM、PCM、ReRAM及び/又はFLASHなどの揮発性及び/又は不揮発性技術とともに利用可能である。
上記では本開示の実施形態を対象としたが、その基本範囲から逸脱することなく、その他の実施形態及びさらなる実施形態も考案され得る。したがって、本開示の範囲は、以下の請求項により決定される。
100 記憶装置
102 第1メモリバンク
104 第2メモリバンク
106 インターフェースロジック
108 サブアレイ
110 インタコネクタ
120 メモリバンクアーキテクチャスキーム
122 Hツリールーティングレイアウト
124 サブアレイ
126 ワイヤ
200 記憶装置
202 ネットワークオンチップアーキテクチャ
204 ノード
206 ルーター
208 メモリアレイ
210 リンク
220 先入れ先出し装置(FIFO)
222 データパケット交換ロジック
230 アグリゲータ
280 モジュレータ/デモジュレータ

Claims (31)

  1. 記憶装置において、
    複数のノードであって、各ノードが、
    複数のFIFOであって、各FIFOが多数のチャネルにわたるクロックドメインの個別細分化を可能にする複数のFIFO、
    データパケット交換ロジック、及び
    前記データパケット交換ロジックに動作可能なように接続される少なくとも1つのアグリゲータ
    を含むルーターと、
    ネットワークオンチップアーキテクチャを使用して各複数ノードの各ルーターを相互接続する複数のリンクと、
    少なくとも1つのメモリアレイであって、少なくとも1つ前記メモリアレイがリンク経由で各ルーターに動作可能なように接続され、且つ、各メモリアレイが前記ルーター経由でアクセスされる少なくとも1つのメモリアレイと
    を含む、複数のノードを含む、記憶装置。
  2. 各ルーターが少なくとも1つの隣接ルーターに動作可能なように接続される、請求項1に記載の記憶装置。
  3. 前記複数のノードが複数の行及び複数の列を形成する、請求項1に記載の記憶装置。
  4. 各ルーター中の利用可能なメモリの量が前記ルーターの一連のアドレスを定義する、請求項1に記載の記憶装置。
  5. 前記記憶装置が、第1層に配置されるレイアウトを有する前記複数のノードを含む第1ルーターメッシュをさらに含む、請求項1に記載の記憶装置。
  6. 前記第1ルーターメッシュの内部がX及びY方向にモザイク細工されて複数のN×Nメッシュを形成するタイルを含む、請求項5に記載の記憶装置。
  7. 前記複数のFIFOが少なくとも6個のFIFOである、請求項1に記載の記憶装置。
  8. 前記複数のFIFOが少なくとも10個のFIFOである、請求項1に記載の記憶装置。
  9. 各メモリアレイが並列にアクセスされ得る、請求項1に記載の記憶装置。
  10. 記憶装置全体に給電することなく各ルーターがデータパケットの経路に沿って連続的にアクセスされる、請求項1に記載の記憶装置。
  11. 各ノードが異なる周波数で動作する、請求項1に記載の記憶装置。
  12. 各ノードが別々の電圧で動作する、請求項1に記載の記憶装置。
  13. 前記別々の電圧がオンザフライで選択される、請求項12に記載の記憶装置。
  14. 各チャネルが独立の動作周波数で動作する、請求項1に記載の記憶装置。
  15. 各チャネルが全二重チャネルであり、且つ、各チャネルが異なる帯域幅で動作する、請求項1に記載の記憶装置。
  16. 前記複数のノードが格子図形にパターン化される、請求項1に記載の記憶装置。
  17. 前記アグリゲータが少なくとも1つのFIFOに動作可能なように接続される、請求項1に記載の記憶装置。
  18. 記憶装置において、
    それぞれ複数のFIFOを含む複数のルーターと、
    複数の知的財産コアであって、1つの知的財産コアが各ルーターに結合される複数の知的財産コアと、
    ネットワークオンチップアーキテクチャスキームを利用して前記複数のルーターのうちの隣接ルーター同士を結合する少なくとも1つのリンクと
    を含む少なくとも1つの相互接続メモリセルマトリックスを含む、記憶装置。
  19. 前記メモリセルマトリックスがダイ上に広がっている、請求項18に記載の記憶装置。
  20. 各ルーターが少なくとも6個のFIFOを含む、請求項18に記載の記憶装置。
  21. 各ルーターが5対のFIFOを含む、請求項18に記載の記憶装置。
  22. 前記記憶装置が固体メモリである、請求項18に記載の記憶装置。
  23. 各ルーターが、データパケットを送り出し、且つ、前記知的財産コアから取り出すデータパケット交換ロジックをさらに含む、請求項18に記載の記憶装置。
  24. 各ルーターが少なくとも1つのアグリゲータをさらに含み、且つ、前記アグリゲータが前記データパケット交換ロジックに動作可能なように接続される、請求項23に記載の記憶装置。
  25. 各ルーター中の利用可能なメモリの量が前記ルーターの一連のアドレスを定義する、請求項18に記載の記憶装置。
  26. 記憶装置において、
    複数のルーターであって、各ルーターが複数のFIFO、データパケット交換ロジック、及び少なくとも1つのアグリゲータを含み、且つ、前記アグリゲータが少なくとも1つのFIFO及び前記データパケット交換ロジックに動作可能なように接続される複数のルーターと、
    複数の知的財産コアであって、ネットワークオンチップアーキテクチャスキームを利用して1つの知的財産コアがリンク経由で各ルーターに結合される複数の知的財産コアと
    を含む少なくとも1つの相互接続メモリセルマトリックスを含む、記憶装置。
  27. 前記メモリセルマトリックスがダイ上に広がっている、請求項26に記載の記憶装置。
  28. 各ルーターが少なくとも6個のFIFOを含む、請求項26に記載の記憶装置。
  29. 各ルーターが5対のFIFOを含む、請求項26に記載の記憶装置。
  30. 前記記憶装置が固体メモリである、請求項26に記載の記憶装置。
  31. 各ルーター中の利用可能なメモリの量が前記ルーターの一連のアドレスを定義する、請求項26に記載の記憶装置。

JP2016209758A 2015-10-26 2016-10-26 ネットワークオンチップ方法によるメモリバンクのためのファブリック相互接続 Active JP6595437B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/922,547 US11165717B2 (en) 2015-10-26 2015-10-26 Fabric interconnection for memory banks based on network-on-chip methodology
US14/922,547 2015-10-26

Publications (2)

Publication Number Publication Date
JP2017142774A true JP2017142774A (ja) 2017-08-17
JP6595437B2 JP6595437B2 (ja) 2019-10-23

Family

ID=58490406

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016209758A Active JP6595437B2 (ja) 2015-10-26 2016-10-26 ネットワークオンチップ方法によるメモリバンクのためのファブリック相互接続

Country Status (5)

Country Link
US (2) US11165717B2 (ja)
JP (1) JP6595437B2 (ja)
KR (1) KR101956855B1 (ja)
CN (1) CN107038133B (ja)
DE (1) DE102016012739A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019021929A1 (ja) 2017-07-24 2019-01-31 シャープ株式会社 端末装置、基地局装置、および、通信方法
WO2022265839A1 (en) * 2021-06-15 2022-12-22 Applied Materials, Inc. Router architecture for multi-dimensional topologies in on-chip and on-package networks

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10693560B2 (en) * 2014-10-10 2020-06-23 Nec Corporation Optical transmitter, optical communication system, and optical communication method
US11165717B2 (en) 2015-10-26 2021-11-02 Western Digital Technologies, Inc. Fabric interconnection for memory banks based on network-on-chip methodology
US20170187579A1 (en) * 2015-12-24 2017-06-29 Eric R. Borch Maximizing network fabric performance via fine-grained router link power management
US10142258B2 (en) * 2016-04-08 2018-11-27 Advanced Micro Devices, Inc. Methods and apparatus for processing in a network on chip (NOC)
US10700968B2 (en) 2016-10-19 2020-06-30 Rex Computing, Inc. Optimized function assignment in a multi-core processor
US10355975B2 (en) * 2016-10-19 2019-07-16 Rex Computing, Inc. Latency guaranteed network on chip
US11934945B2 (en) 2017-02-23 2024-03-19 Cerebras Systems Inc. Accelerated deep learning
US11232347B2 (en) 2017-04-17 2022-01-25 Cerebras Systems Inc. Fabric vectors for deep learning acceleration
WO2018193352A1 (en) 2017-04-17 2018-10-25 Cerebras Systems Inc. Dataflow triggered tasks for accelerated deep learning
US11488004B2 (en) 2017-04-17 2022-11-01 Cerebras Systems Inc. Neuron smearing for accelerated deep learning
WO2020044152A1 (en) 2018-08-28 2020-03-05 Cerebras Systems Inc. Scaled compute fabric for accelerated deep learning
WO2020044238A1 (en) 2018-08-29 2020-03-05 Cerebras Systems Inc. Processor element redundancy for accelerated deep learning
WO2020044208A1 (en) 2018-08-29 2020-03-05 Cerebras Systems Inc. Isa enhancements for accelerated deep learning
US11398980B2 (en) 2019-11-19 2022-07-26 Advanced Micro Devices, Inc. Packet router with virtual channel hop buffer control
CN113138711B (zh) * 2020-01-20 2023-11-17 北京希姆计算科技有限公司 一种存储管理装置及芯片
US11755523B2 (en) * 2020-08-20 2023-09-12 Rambus Inc. Stacked device system
CN112817905A (zh) * 2021-02-05 2021-05-18 中国电子科技集团公司第五十八研究所 互联裸芯、互联微组件、互联微系统及其通信方法
CN117422040B (zh) * 2023-12-18 2024-02-27 沐曦集成电路(上海)有限公司 一种片上网络在芯片版图上的生成方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110235531A1 (en) * 2010-03-29 2011-09-29 Vangal Sriram R Performance And Traffic Aware Heterogeneous Interconnection Network
US20130083794A1 (en) * 2011-09-29 2013-04-04 Sridhar Lakshmanamurthy Aggregating Completion Messages In A Sideband Interface
JP2014082713A (ja) * 2012-10-18 2014-05-08 Canon Inc データ処理装置及びその制御方法
US20140376569A1 (en) * 2013-06-19 2014-12-25 Netspeed Systems Multiple clock domains in noc
WO2014209391A1 (en) * 2013-06-28 2014-12-31 Intel Corporation A method, apparatus and system for a source-synchronous circuit-switched network on a chip (noc)
JP2015035117A (ja) * 2013-08-09 2015-02-19 竹前 義博 メモリシステム

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6968394B1 (en) * 1997-09-22 2005-11-22 Zaksat General Trading Co., Wll Asymmetric satellite-based internet service
GB2376390B (en) * 2001-06-05 2003-08-06 3Com Corp Asic system architecture including data aggregation technique
JP3977049B2 (ja) 2001-10-18 2007-09-19 株式会社ルネサステクノロジ 半導体装置及びその半導体装置を組み込んだ電子装置
JP3961914B2 (ja) 2002-09-05 2007-08-22 株式会社東芝 磁気メモリ装置
EP1728359A1 (en) 2004-03-08 2006-12-06 Koninklijke Philips Electronics N.V. Integrated circuit and method for packet switching control
US20080005402A1 (en) 2006-04-25 2008-01-03 Samsung Electronics Co., Ltd. Gals-based network-on-chip and data transfer method thereof
KR100922965B1 (ko) * 2006-10-10 2009-10-22 삼성전자주식회사 네트워크온칩 장치와 이를 위한 동작 주파수 제어 방법
US7772880B2 (en) 2007-09-12 2010-08-10 Neal Solomon Reprogrammable three dimensional intelligent system on a chip
US7701252B1 (en) 2007-11-06 2010-04-20 Altera Corporation Stacked die network-on-chip for FPGA
US8856464B2 (en) * 2008-02-12 2014-10-07 Virident Systems, Inc. Systems for two-dimensional main memory including memory modules with read-writeable non-volatile memory devices
KR101449524B1 (ko) 2008-03-12 2014-10-14 삼성전자주식회사 스토리지 장치 및 컴퓨팅 시스템
US8089993B2 (en) * 2008-09-09 2012-01-03 Nec Laboratories America, Inc. Sliceable router with packet over optical OFDM transmission
US8238346B2 (en) * 2009-07-09 2012-08-07 The Boeing Company Queuing architectures for orthogonal requirements in quality of service (QoS)
US8386690B2 (en) 2009-11-13 2013-02-26 International Business Machines Corporation On-chip networks for flexible three-dimensional chip integration
KR101028806B1 (ko) 2009-12-18 2011-04-12 숭실대학교산학협력단 다양한 통신 방식에 적용 가능한 에스오씨 네트워크의 통신 장치
US8391281B2 (en) * 2010-03-31 2013-03-05 Toshiba America Research, Inc. Router design for 3D network-on-chip
JP5122025B2 (ja) * 2010-05-12 2013-01-16 パナソニック株式会社 中継器およびチップ回路
US8514232B2 (en) * 2010-06-28 2013-08-20 International Business Machines Corporation Propagating shared state changes to multiple threads within a multithreaded processing environment
WO2012031362A1 (en) 2010-09-07 2012-03-15 Corporation De L ' Ecole Polytechnique De Montreal Methods, apparatus and system to support large-scale micro- systems including embedded and distributed power supply, thermal regulation, multi-distributed-sensors and electrical signal propagation
US9063730B2 (en) 2010-12-20 2015-06-23 Intel Corporation Performing variation-aware profiling and dynamic core allocation for a many-core processor
GB2493195A (en) * 2011-07-28 2013-01-30 St Microelectronics Res & Dev Address translation and routing between dies in a system in package.
EP2771721A4 (en) 2011-10-28 2017-03-29 The Regents of The University of California Multiple-core computer processor for reverse time migration
GB2498561A (en) * 2012-01-20 2013-07-24 Renesas Mobile Corp Allocating part of the frequency domain for full duplex communication and part for half duplex communication between a Node B and UEs
US8601423B1 (en) 2012-10-23 2013-12-03 Netspeed Systems Asymmetric mesh NoC topologies
US9703707B2 (en) 2012-12-04 2017-07-11 Ecole polytechnique fédérale de Lausanne (EPFL) Network-on-chip using request and reply trees for low-latency processor-memory communication
US9009648B2 (en) 2013-01-18 2015-04-14 Netspeed Systems Automatic deadlock detection and avoidance in a system interconnect by capturing internal dependencies of IP cores using high level specification
US9185023B2 (en) 2013-05-03 2015-11-10 Netspeed Systems Heterogeneous SoC IP core placement in an interconnect to optimize latency and interconnect performance
US9286948B2 (en) 2013-07-15 2016-03-15 Advanced Micro Devices, Inc. Query operations for stacked-die memory device
US20150109024A1 (en) * 2013-10-22 2015-04-23 Vaughn Timothy Betz Field Programmable Gate-Array with Embedded Network-on-Chip Hardware and Design Flow
US9158882B2 (en) * 2013-12-19 2015-10-13 Netspeed Systems Automatic pipelining of NoC channels to meet timing and/or performance
US9699079B2 (en) * 2013-12-30 2017-07-04 Netspeed Systems Streaming bridge design with host interfaces and network on chip (NoC) layers
US9330433B2 (en) * 2014-06-30 2016-05-03 Intel Corporation Data distribution fabric in scalable GPUs
CN105830416B (zh) * 2014-11-28 2020-06-02 华为技术有限公司 一种片上网络、通信控制方法及控制器
US9680765B2 (en) * 2014-12-17 2017-06-13 Intel Corporation Spatially divided circuit-switched channels for a network-on-chip
US9658676B1 (en) * 2015-02-19 2017-05-23 Amazon Technologies, Inc. Sending messages in a network-on-chip and providing a low power state for processing cores
US9443561B1 (en) 2015-05-21 2016-09-13 Advanced Micro Devices, Inc. Ring networks for intra- and inter-memory I/O including 3D-stacked memories
US10116557B2 (en) * 2015-05-22 2018-10-30 Gray Research LLC Directional two-dimensional router and interconnection network for field programmable gate arrays, and other circuits and applications of the router and network
US11165717B2 (en) 2015-10-26 2021-11-02 Western Digital Technologies, Inc. Fabric interconnection for memory banks based on network-on-chip methodology
US10243881B2 (en) 2015-10-27 2019-03-26 Western Digital Technologies, Inc. Multilayer 3D memory based on network-on-chip interconnection

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110235531A1 (en) * 2010-03-29 2011-09-29 Vangal Sriram R Performance And Traffic Aware Heterogeneous Interconnection Network
JP2013528970A (ja) * 2010-03-29 2013-07-11 インテル コーポレイション ネットワークで使用される方法、装置及びシステム
US20130083794A1 (en) * 2011-09-29 2013-04-04 Sridhar Lakshmanamurthy Aggregating Completion Messages In A Sideband Interface
WO2013048929A1 (en) * 2011-09-29 2013-04-04 Intel Corporation Aggregating completion messages in a sideband interface
JP2014082713A (ja) * 2012-10-18 2014-05-08 Canon Inc データ処理装置及びその制御方法
US20140376569A1 (en) * 2013-06-19 2014-12-25 Netspeed Systems Multiple clock domains in noc
WO2014209391A1 (en) * 2013-06-28 2014-12-31 Intel Corporation A method, apparatus and system for a source-synchronous circuit-switched network on a chip (noc)
US20150220470A1 (en) * 2013-06-28 2015-08-06 Gregory K. Chen Method, apparatus and system for a source-synchronous circuit-switched network on a chip (noc)
JP2015035117A (ja) * 2013-08-09 2015-02-19 竹前 義博 メモリシステム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019021929A1 (ja) 2017-07-24 2019-01-31 シャープ株式会社 端末装置、基地局装置、および、通信方法
WO2022265839A1 (en) * 2021-06-15 2022-12-22 Applied Materials, Inc. Router architecture for multi-dimensional topologies in on-chip and on-package networks

Also Published As

Publication number Publication date
US20170118139A1 (en) 2017-04-27
US11165717B2 (en) 2021-11-02
US11546272B2 (en) 2023-01-03
CN107038133B (zh) 2021-12-28
KR20170054259A (ko) 2017-05-17
CN107038133A (zh) 2017-08-11
KR101956855B1 (ko) 2019-03-12
US20220014480A1 (en) 2022-01-13
DE102016012739A1 (de) 2017-04-27
JP6595437B2 (ja) 2019-10-23

Similar Documents

Publication Publication Date Title
JP6595437B2 (ja) ネットワークオンチップ方法によるメモリバンクのためのファブリック相互接続
US10243881B2 (en) Multilayer 3D memory based on network-on-chip interconnection
US11296705B2 (en) Stacked programmable integrated circuitry with smart memory
US7522468B2 (en) Serial memory interface
CN112395819A (zh) 统一的可编程计算存储器和配置网络
US20120269191A1 (en) System and method for implementing a multistage network using a two-dimensional array of tiles
US7990798B2 (en) Integrated circuit including a memory module having a plurality of memory banks
US11810640B2 (en) Memory interface with configurable high-speed serial data lanes for high bandwidth memory
WO1998033304A1 (en) A scalable low-latency switch for usage in an interconnect structure
CN102866980B (zh) 用于多核微处理器片上互连网络的网络通信胞元
US20240099034A1 (en) Llc chip, cache system and method for reading and writing llc chip
CN101611452A (zh) 互连系统中的偏斜管理
CN108390831B (zh) 一种高阶路由器输入端口缓冲优化结构
JP2014134981A (ja) ストレージ装置
CN101004674B (zh) 数据处理系统和包括数据处理系统的高清晰度电视机
US9804979B2 (en) Ring bus architecture for use in a memory module
CN101034387B (zh) 可重构电路
US7660270B2 (en) Computer system and method using efficient module and backplane tiling to interconnect computer nodes via a Kautz-like digraph
US9400762B2 (en) Integrated device with memory systems accessible via basic and bypass routes
JP2006260127A (ja) 結合網およびそれを用いたマルチポートメモリ
CN113704137A (zh) 存内计算模块和方法、存内计算网络及构建方法
US7751344B2 (en) Computer system and method using a kautz-like digraph to interconnect computer nodes and having control back channel between nodes
Malviya et al. Five port router for network on chip
JPH11212942A (ja) 大規模並行処理計算機システムの相互連接ネットワーク方法
CN112148653A (zh) 数据传输装置、数据处理系统、数据处理方法和介质

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170216

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20170814

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170825

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180227

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180521

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190827

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190926

R150 Certificate of patent or registration of utility model

Ref document number: 6595437

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250