JP2016509270A - Euvリソグラフィー用の光学素子及び光学系、及びこの光学系を処理する方法 - Google Patents

Euvリソグラフィー用の光学素子及び光学系、及びこの光学系を処理する方法 Download PDF

Info

Publication number
JP2016509270A
JP2016509270A JP2015561983A JP2015561983A JP2016509270A JP 2016509270 A JP2016509270 A JP 2016509270A JP 2015561983 A JP2015561983 A JP 2015561983A JP 2015561983 A JP2015561983 A JP 2015561983A JP 2016509270 A JP2016509270 A JP 2016509270A
Authority
JP
Japan
Prior art keywords
layer
hydrogen
optical element
multilayer system
optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015561983A
Other languages
English (en)
Other versions
JP2016509270A5 (ja
JP6382856B2 (ja
Inventor
ヘンドリック ペトリュス テオドロス ベクマン ヘルマナス
ヘンドリック ペトリュス テオドロス ベクマン ヘルマナス
ハインリヒ エーム ダーク
ハインリヒ エーム ダーク
ヒューブリグス ヨルン
ヒューブリグス ヨルン
ヤン シュトルム アルナルド
ヤン シュトルム アルナルド
グレーバー ティナ
グレーバー ティナ
アメント イレーネ
アメント イレーネ
スメーツ ドリス
スメーツ ドリス
テ スライト エドウィン
テ スライト エドウィン
クズネツォーフ アレクセイ
クズネツォーフ アレクセイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2016509270A publication Critical patent/JP2016509270A/ja
Publication of JP2016509270A5 publication Critical patent/JP2016509270A5/ja
Application granted granted Critical
Publication of JP6382856B2 publication Critical patent/JP6382856B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本発明は光学素子(50)に関するものであり、この光学素子は、基板(52)、基板(52)に付加されたEUV放射反射多層系(51)、及び多層系(51)に付加され、少なくとも第1及び第2層(57,58)を有する保護層系(60)を具え、第1層(57)は第2層(58)よりも多層系(51)の近くに配置されている。第1層(57)は、水素に対する拡散バリアとして機能し、水素の溶解度が、水素を吸収する働きをする第2層(58)よりも低い。本発明は、少なくとも1つの上記光学素子(50)を具えたEUVリソグラフィー用の光学系、及び保護層系(60)の少なくとも1つの層(57,58,59)内、及び/または多層系(51)の少なくとも1つの層(53,54)内に含まれる水素を除去するように光学素子(50)を処理する方法にも関するものである。

Description

関連出願のクロスリファレンス
本願は独国特許出願第102013102670.2号、2013年3月15日に基づいて優先権を主張し、その全開示を本願の一部と考え、参照する形で本願の開示に含める。
発明の背景
本発明は光学素子に関するものであり、この光学素子は、基板と、この基板に付加されたEUV放射反射多層系と、この多層系に付加され、少なくとも第1及び第2層を有する保護層系とを具え、第1層は第2層よりも多層系の近くに配置されている。特に第1層は、多層系に隣接して配置することができる。本発明は、少なくとも1つのこうした光学素子を具えたEUVリソグラフィー用の光学系、及びEUVリソグラフィー用の光学素子を処理する方法にも関するものである。
EUVリソグラフィー装置では、極紫外(EUV:extreme ultraviolet)波長範囲(約5nm〜約20nmの波長)用の例えばフォトマスクまたはミラーのような反射多層系に基づく反射光学素子を用いて、半導体部品を製造する。EUVリソグラフィー装置は、一般に複数の反射光学素子を有するので、反射光学素子は、十分に高い全反射率を保証するために、できる限り高い反射率を有しなければならない。こうした反射光学素子の反射率及び寿命は、動作雰囲気内の残留ガスと共に短波長の照射に起因して生じる、当該反射光学素子の使用光学面の汚染によって低下し得る。EUVリソグラフィー装置内では、通常、複数の反射光学素子が互いに重ねて配置されているので、各個別の反射光学素子上の比較的小さな汚染でも、比較的大きな度合いで全反射率に影響する。
汚染は、例えば残留水滴に起因して発生し得る。この場合、水分子がEUV放射によって解離され、結果的な自由酸素ラジカルが、反射光学素子の光学有効面を酸化させる。他の汚染源はポリマーであり、これらのポリマーは、例えばEUVリソグラフィー装置内で使用する真空ポンプ、あるいはパターン化される半導体基板上に用いるフォトレジストの残留物から発生し、動作放射の影響下で炭素汚染を反射光学素子上にもたらす。酸化力のある汚染は一般に不可逆であるのに対し、特に炭素汚染は、とりわけ反応性水素での処理によって処理することができ、炭素含有残留物と反応する反応性水素によって揮発性化合物を形成することができる。この反応性水素は、水素ラジカルとするか、さもなければイオン化された水素原子または分子とすることができる。
EUVリソグラフィー装置内に設けられる光源がスズプラズマに基づいてEUV放射を発生する場合、スズ化合物、及び適切であれば亜鉛またはインジウム化合物(あるいは金属全般の(水素化物の)化合物)が光源の付近に発生して、例えば集光ミラーの光学的に使用される表面に付着し得る。これらの物質は一般にEUV放射に対する高い吸収作用を有するので、光学的に使用される表面上のこれらの物質の堆積物が反射率の大きな損失をもたらし、この理由で、適切な洗浄方法を利用して、例えば反応性水素(原子)または水素プラズマを利用して、これらの物質を除去するべきである。水素は、光源の領域内に配置された光学面を、イオンによるスパッタリングまたはエッチング除去に対して保護するために用いることもできる。この場合、存在するEUV放射、及び水素とイオン及び電子との相互作用に起因して、一般に水素プラズマが同様に発生する。従って、光源またはその反射多層系の付近の光学素子も、水素プラズマに恒久的に晒される場合、劣化に関して安定であるべきである。
反射多層系を劣化に対して保護するために、保護層系を多層系に付加することが知られている。劣化とは、例えば炭素層の成長、酸化、金属堆積、等のような汚染効果だけでなく、個別層の層間剥離、層のエッチング除去またはスパッタリング、等も意味するものと理解される。特に、洗浄に用いられるか、EUV放射と残留雰囲気中に存在する水素との相互作用に起因して生じ得る反応性水素の影響下で、多層系の特に表面に近い個別層の層間剥離が発生し得ることが観測されている。
米国特許出願公開第2011/0228237号明細書(特許文献1)は、反射多層系を保護する目的の、少なくとも2つの層を具えた保護層系を開示し、これらの層のうち1つの層は、SiO2、Y2O3、及びZrO2のグループから選択した材料を含み、他の層は、(異なる理論混合比を有する)酸化シリコン、Y、及びZrOから成るグループから選択した材料を含む。
独国特許出願公開第102011076011号明細書(特許文献2)は、EUV放射を反射する光学素子を開示しており、この光学素子は、炭化シリコンまたはルテニウムで組成される最上層を有する保護層系を具え、この保護層系は5nm〜25nmの厚さを有する。交互に配置された少なくとも2つの層を最上層の下に設けることができ、その第1層は炭素またはルテニウムから成り、第2層は、炭化物(カーバイド)またはホウ化物、あるいは炭化シリコン、窒化シリコン、炭化ホウ素、または炭素のグループから選択した材料から成る。保護層系を利用して、その真下に配置された多層系を、特に数百電子ボルトの運動エネルギーを有する反応性水素に対して保護することを意図し、さもなければ、この反応性水素は多層コーティング内に侵入して、最上層、特にシリコン層の層間剥離をもたらし得る。
米国特許出願公開第2011/0228237号明細書 独国特許出願公開第102011076011号明細書
R.H. Fowler et al., "A Theoretical Formula for the Solubility of Hydrogen in Metals", Proc. R. Soc. Lond. A 160, 37ページ以降 (1937) A.G. Razdobarin et al., "High Reflective Mirrors for In-vessel Applications in ITER", Nuclear Instruments and Methods in Physics Research A623 (2010), p.809-811 M.A. Pick, K. Sonnenberg, "A Model for Atomic Hydrogen-metal Interactions", Journal of Nuclear Materials 131 (1985), pp. 208-220
発明の目的
本発明の目的は、反応性水素の存在下で使用することができるEUVリソグラフィー用の光学素子、及びこうした光学素子を具えた光学系を提供することにある。また、本発明の目的は、反応性水素の存在下で光学素子の恒久的な動作を可能にする、光学素子を処理する方法を規定することにある。
発明の主題
この目的は、EUV放射を反射する多層系、及びこの多層系に付加され、少なくとも第1及び第2層を有する保護層系を具えた光学素子によって達成され、多層系のより近くにある第1層は、多層系からより遠く離れた第2層よりも水素の溶解度が低い。
十分な厚さを有する保護層を用いて、多層系の各層を効果的に保護することができ、そして層間剥離を防止することができる。このことは、特に純シリコンの層に関係し、こうした層は、一般に、侵入する反応性水素によって特に大きな度合いで攻撃される、というのは、シラン化合物の生成及び/または反応性水素(原子/イオン)の生成がそこに発生して、気泡形成及びこれに関連する層間剥離が生じるからである。
反応性水素に晒される光学素子の長期安定性を保証するためには、十分な厚さを有する保護層系を利用して多層系を保護するだけでは十分でない、というのは、恒久的に反応性水素に晒される保護層系の各層または材料には、気泡形成または層間剥離も生じるからである、ということを発明者は認識した。より正確に言えば、水素フルエンス(流束量)が十分高ければ、あらゆる材料が気泡形成または層間剥離を呈する。気泡形成の発生頻度は使用する材料に依存し、一般に、水素の溶解度が低い材料の場合の方が、水素の溶解度が高い材料の場合よりも気泡形成がより頻繁に発生する。
従って、水素の溶解度が異なる第1層及び第2層を有する保護層系を用いることを提案する。第2層は、多層系からより遠く離れて配置され、従って、環境との境界面を形成する光学面のより近くに配置されて、反応性水素に晒される度合いが増加し、従って、水素の溶解度が比較的高く、このため、例えば水素化物の形成の結果として気泡形成に向かう傾向が低い。これとは対照的に、多層系のより近くにあり、特にその最上層に隣接し得る第1層は、水素の溶解度が比較的低く、このため気泡形成に向かう傾向がより高い。他方では、水素の溶解度が低い材料は、水素の溶解度がより高い材料よりも良好な水素バリア(障壁)であり、その結果、多層系内への水素拡散が低減される。
水素の溶解度が高い第2層の使用は、その高い運動エネルギーに起因して光学面(真空との境界面)から拡散するか保護層系の材料内に注入されるかのいずれかである水素原子を吸収することを可能にする。気泡形成に向かう傾向がより高い第1層は、第2層による水素の吸収によって保護される。第1層は水素バリアとして機能して、その下にある多層系内への水素のさらなる拡散を大幅に低減するか防止する。このようにして、EUVリソグラフィー装置の光源による例えば20〜70ギガパルスのような多数回のパルス後でも、反応性水素の存在下でまだ安定である保護層系を提供することができる。
1つの好適例では、第1層における溶解度s1について次式が成り立つ:ln(s1)<3、好適にはln(s1)<1、及び/または、第2層における溶解度s2について次式が成り立つ:ln(s2)>5、好適にはln(s2)>7。溶解度s(またはs1、s2)は、大気圧下の水素について定義され、100グラムの水素吸収材料によって吸収される水素(分子)の堆積を(立方センチメートル単位で)表す。この定義に関する詳細については、R.H. Fowler et al., “A Theoretical Formula for the Solubility of Hydrogen in Metals”, Proc. R. Soc. Lond. A 160, 37ページ以降 (1937)(非特許文献1)を参照することができ、この文献は、その全文を参照する形で本願の内容に含める。この引用文献の例えば図1より明らかなように、この溶解度は温度依存性であることを指摘しておく。ここに示す値は、次式:10000/16=625K(図1参照)が当てはまる(ケルビン単位の)温度に関係し、即ち、約350℃以下の温度についての値である。
1つの好適例では、第1層がアモルファスまたは単結晶材料で形成される。第1層が拡散バリアとしての機能を有効に満たすことができるためには、この層が(圧縮された)アモルファスまたは単結晶材料で形成されれば有利であることが証明されており、この材料は細孔及び内部粒子境界を有しないことが好ましい。例えば多結晶材料の場合に発生するような細孔の存在及び粒子境界の存在は共に、第1層の長期の耐久性を悪化させ得る、というのは、これらの細孔内及び/または粒子境界に気泡形成が発生する度合いが増加するからである。
他の好適例では、第1層が、Mo、Ru、Ir、Ni、Fe、Co、Cuから成るグループから選択した材料で形成される。これらの材料については、水素(分子)の溶解度が低いことが知られ、これにより、これらの材料は、反射多層系への水素の拡散を効果的に防止することができる。第1層には、他の材料、特に水素の拡散係数が(さらに)低いか、水素分子の溶解度がさらに低い層を用いることもできることは言うまでもない。
他の好適例では、第1層が0.3nm〜10nmの厚さを有し、0.3nm〜2nmの厚さを有することが好ましい。代表値として示す間隔内にある第1層の厚さは、一方では多層系内への水素の拡散を効果的に防止し、他方では光学素子の反射率が過度に低下しないことを可能にする。
他の好適例では、第2層が多結晶及び/または開孔(開いた細孔)を有する材料で形成されるが、適切であれば第2層は閉孔(閉じた細孔)を有する材料で形成することもできる。多結晶材料は粒子境界を有し、これらの粒子境界に水素が付着し、これにより、水素の溶解度を例えばアモルファスまたは単結晶構造に対して増加させることができる。多結晶及び/または開孔構造を有する材料の提供は、水素原子を付着させることができる材料内に欠陥または欠陥網を生成する1つの可能性を生じさせる。
第2層の材料の構造を、適切であれば個々に例示するものとは異なる方法で変化させて、水素原子の付着を増加させ、従って水素の溶解度を増加させることもできることは言うまでもない。欠陥の存在なしで既に水素の溶解度が高い材料の場合、気泡形成は、一般に、多結晶及び/または多孔質構造の場合でも低減される、というのは、これらの材料の場合、気泡形成は一般に水素化物の形成に関連し、気泡は一般に細孔内または欠陥中心に形成されないからである。
スポンジ状の開孔構造の使用が特に好ましく、ここでは細孔の数及び/またはサイズが、適切であれば第2層の多層系に面した側から第2層の光学面(環境との境界面)に面した側に向かって進むにつれて増加することができ、即ち、これらの細孔(開孔)に関して傾度を有することができる。これらの開孔はチャネル(通路)を形成し、これらのチャネルは、吸収された水素が上向きに(即ち、環境(真空)との境界面に向かって)移送されて保護層系から脱出することを可能にし、その結果、水素吸収層に水素飽和が生じることを防止することができる。この関係では、例えばA.G. Razdobarin et al., “High Reflective Mirrors for In-vessel Applications in ITER”, Nuclear Instruments and Methods in Physics Research A623 (2010), p.809-811(非特許文献2)が、当該層の厚さ全体にわたって針状細孔を有するZrO2の層が、重水素(デュートリウム)の浸透性が低いことを開示している。
他の好適例では、第2層が、Zr、Ti、Th、V、Pdから成るグループから選択した材料で形成される。これらの材料については、水素(分子)の溶解度が高いことが知られ、このため、これらの材料は水素の吸収または吸蔵を促進する。他の材料、特に水素分子の溶解度がさらに高い材料を第2層に用いることもできることは言うまでもない。
他の好適例では、第2層が5nm〜25nmの厚さを有する。第2層が開孔構造を有する場合、EUV放射の吸収は完全に閉じた(細孔の無い)層に比べて低く、このため、第2層は、光学素子の反射率を過度に大きく悪化させることなしに、約25nmまでの比較的大きな厚さを有することもできる。
他の好適例では、第2層が10nm〜15nmの厚さを有する。大部分の材料については、集光ミラーの直近で発生するような約100eVの範囲内の高い運動エネルギーを有する水素イオンの侵入深さが高々約5nm〜15nmであり、その正確な値はイオンエネルギー及び層の材料に依存する。コンピュータ・シミュレーションで判明しているように、上記に示した範囲内の厚さを有する第2層は、注入される水素イオンの大部分を取り込むか吸収することができる。注入される水素イオンは、注入位置から保護層系内のより遠くに拡散することができ、この拡散は、濃度差、電界傾度、圧力傾度、等のような要因に依存する。しかし、水素イオンの拡散は、拡散バリアとして機能する第1層で停止し、従って、これらのイオンは多層系内に侵入することはできない。
本発明の他の態様は、導入部で述べた種類の光学素子に関するものであり、この光学素子は、特に以上でさらに説明したように設計することができる。この光学素子では、保護層系が最上の第3層を有し、この層は、350Kの温度で10-27 cm 4/(原子・s)以上、特に10-19 cm 4/(原子・s)である水素の再結合速度を有する材料で形成される。
以上でさらに説明した水素イオンの注入とは別に、水素が保護層系内、及び場合によっては、その下にある多層系内に侵入することができる第2の経路が存在し、具体的には、光学素子が動作する残留ガス環境との境界面を形成する光学面における水素原子または水素分子の吸収による。光学面において吸収される水素分子は解離して水素原子を形成し、これらの水素原子は、例えば、格子内の拡散の結果として、あるいは粒子境界における拡散の結果として、保護層系の最上層内に、そしてその下にある層内に拡散し得る。こうした拡散プロセス中に、これらの水素原子を欠陥箇所において(例えば、欠陥、転位、不飽和結合において)停止させるか捕捉することができる。
上述した経路による保護層系内への水素の拡散を最大限可能な程度に防止するために、発明者は、保護層系の最上の第3層を、水素の再結合速度が高い材料で作製することを提案する。この再結合速度は、表面において吸収される水素原子が再結合されて水素分子を形成して、この表面から除去されやすい度合いを示す。従って、高い再結合速度は、光学面を通した水素の内部拡散を抑制し、水素の外部拡散を促進するために有利である。複数の遷移物質の再結合速度は、例えばM.A. Pick, K. Sonnenberg, “A Model for Atomic Hydrogen-metal Interactions”, Journal of Nuclear Materials 131 (1985), pp. 208-220(非特許文献3)より知られ、温度に依存する。上記に示す再結合速度に関する値は、350Kの温度に関するものである。表面に付着する汚染物質は、最結合速度に大きな影響を与え得る。上記に示す値は、汚染物質のない表面について示すものであることは言うまでもない。
1つの好適例では、上記最上層の材料を、Mo、Ru、Cu、Ni、Fe、Pd、V、Nb、及びその酸化物から成るグループから選択する。水素の再結合速度が高い材料は、一般に、水素を吸熱的に吸収する材料であり、例えば上述した材料の場合である。上記に示す材料のリストは完全ではなく、他の材料、特に、水素の再結合速度がさらに高い材料を上記最上層に用いることもできることは言うまでもない。
上記最上層用の材料の選択は、残留ガス環境内で当該最上層の表面に付着する汚染物質に対する当該材料の親和力にも依存する。例として、光学素子が、EUV光源に近接して使用され、スズまたは金属全般の水素化物の化合物の形態の汚染物質に晒される場合については、対応する汚染物質が小量しか付着しない材料を最上層用に選定して、気相で存在する汚染物質の存在下でも、水素の再結合速度が減少しないか、ごくわくかしか減少しないようにすることができる。このことは、特に酸素、具体的には金属酸化物、特に遷移金属酸化物の場合である。適切な酸化物を上記最上層用の材料として選択する際には、この材料の水素の再結合速度が十分に高いことを保証するための考慮を行うべきである。
1つの好適例では、上記の第1層、第2層、及び/または第3層が、金属または金属酸化物で、特に遷移金属または遷移金属酸化物で形成される。低い内部応力を有する金属の使用が有利である、というのは、水素が、引張応力が発生する格子サイトに付着することができるからであり、このことは、水素分子を形成するための再結合を促進し、従って、水素の気泡の形成を促進する。欠陥、不飽和結合、等を回避するためには、個別の層の境界面、特に第1層と第2層との境界面も、高い品質、及び非常に少数の界面欠陥を有するべきであり、これらの境界面に水素が蓄積することができ、これらの境界面は、水素分子を形成するための再結合をもたらし、従って、当該境界面における気泡の形成をもたらす。2つの金属材料を、上記第1層及び第2層に用いることにより、境界面におけるこうした欠陥を最大限可能な程度に回避することができ、これらの層は、適切であれば、例えば、その格子構造またはその格子間隔に関して、さらなる構造的な共通性を有する。しかし、個別の層用の材料は金属だけでなく、適切であれば当該材料内及び/または境界面における欠陥の形成が同様に低度であり、このため高い構造的安定性を有する他の材料も含むことは言うまでもない。適切であれば2つ以上の材料の混合物を用いて、保護層系の個別の層または全層を作製することもできることは言うまでもない。
1つの好適例では、上記光学素子が集光ミラーとして設計されている。EUVリソグラフィーでは、集光ミラーは、放射源、特にプラズマ放射源の光線方向下流にあり、この放射源によって異なる向きに放出される放射を集光し、集光した形で次のミラーに向けて反射させるための最初のミラーとして使用されることが多い。放射源の付近では、高い放射強度により、残留ガス雰囲気中に存在する水素分子が、高い運動エネルギーを有する反応性水素(原子またはイオン)に変換され得ることが特に非常に起こりやすく、このため、正確には集光ミラーは、特に、侵入する反応性水素に起因して、保護層系の層において、あるいは多層系の上層において、層間剥離現象を呈する恐れがある。
本発明の他の態様は、少なくとも1つの上述した光学素子を具えたEUV用の光学系に関するものである。この光学系は、ウェハーを露光させるためのEUVリソグラフィー装置、あるいはEUV放射を用いる他の光学系、例えばEUVリソグラフィーにおいて使用されるマスクを測定するシステム、等とすることができる。
本発明の他の態様は、光学素子を処理する方法に関するものであり、この光学素子は、基板、この基板に付加されるEUV放射反射多層系、及びこの多層系に付加される保護層系を具え、この方法は、保護層系の少なくとも1つの層及び/または多層系の少なくとも1つの層から、当該層に含まれる水素を抽出するように光学素子を処理するステップを含む。
この光学素子、より正確に言えばその保護層系は、以上でさらに説明した様式に設計することができる。しかし、このことは、上記方法を実行するために絶対に必要なわけではない。上記光学素子が(活性/反応性)水素を含む残留ガス雰囲気中に、特定時点から始まって過度の長時間にわたって存在する場合、個別層内の水素濃度が、当該光学素子、より正確にはその保護層系、あるいはその多層系の構造的安定性にとって壊滅的な結果をもたらすほど高くなり得る。従って、時々、あるいは特定の時間間隔において、個別の層に含まれる水素を光学面に移送し、光学面から環境中に放出することができることを利用して、これらの層に含まれる水素を、これらの層から少なくとも部分的に除去する処理を光学素子に施すべきである。こうした光学素子の処理には多数の可能性が存在する。
1つの変形例では、含まれる水素を除去するステップが、光学素子を50℃以上の温度、好適には100℃以上の温度に加熱するステップを含む。こうした熱処理は、水素の活性化、あるいは光学面を通る水素の移送、または高い水素溶解度を有する層内への水素の移送を促進する。保護層から水素を除去する所望の効果を生み出す光学素子の処理には、他の可能性も存在することは言うまでもない。例として、含まれる水素は、光学面向きの拡散を促進する電界によって、適切であれば保護層系の層から除去することもでき、適切であれば多層系の層から除去することもでき、この場合、含まれる水素は、一般に水素イオンまたはイオン化水素の形態で存在するべきである。
本発明の他の特徴及び利点は、以下の、図面中の各図を参照した本発明の好適な実施形態の説明及び特許請求の範囲より明らかになり、これらの図は、本発明にとって不可欠な詳細事項を示す。個別の特徴の各々は、それ自体で個別に実現することができ、あるいは、本発明の変形例では、複数のものの任意の組合せとして実現することができる。
好適な実施形態を概略図に例示し、以下の記載において説明する。
EUVリソグラフィー装置の概略図である。 図1のEUVリソグラフィー装置用の光学素子の概略図である。 図3a、bは、それぞれ、Ce2O3で組成される層及びMoSi2で組成される層内への水素イオンの侵入深さを例示する図である。
以下の図面の説明では、同一または機能的に同一の構成要素に同一の参照符号を用いる。
図1に、EUVリソグラフィー用の光学系を投影露光装置1の形態で示す。投影露光装置1は、ビーム発生系2、照明系3、及び投射系4を具え、これらは別個の真空筐体内に収容され、ビーム整形系2のEUV光源5から進むビーム経路6中に連続して配置されている。例として、プラズマ源またはシンクロトロンがEUV光源5として機能する。光源5から出る約5nm〜約20nmの波長範囲内の放射は、まず集光ミラー7において集光され、単色光分光器(モノクロメータ、図示せず)によって、所望の動作波長λB、本例では約13.5nmにフィルタ処理される。
波長及び空間的分布に関してビーム発生系2内で処理された放射は照明系3内に導入され、本例では、照明系3が第1及び第2反射光学素子9、10を有する。これら2つの反射光学素子9,10は、この放射を、追加的な反射光学素子としてのフォトマスク11に導光し、フォトマスク11は、投射系4を用いて縮小した尺度でウェハー12上に結像される構造を有する。この目的で、第3及び第4反射光学素子13、14が、投射系4内に設けられている。照明系3及び投射系4の両者はいずれも、1つだけ、さもなければ3つ、4つ、5つ、あるいはそれ以上の反射光学素子を有することができることを指摘しておく。
図1の投影露光装置1の光学素子7、9、10、11、13、14のうちの1つ以上に実現することができるような光学素子50の構造を、例として、図2を参照しながら以下に説明する。光学素子50は基板52を有し、基板52は、低い熱膨張係数を有する基板材料から成り、例えばZerodur(登録商標)、ULE(登録商標)、またはClearceram(登録商標)で組成される。図2に例示する反射光学素子50の場合、多層系51が基板52に付加されている。多層系51は、動作波長λBにおいて屈折率の実部がより高い材料の層(スペーサ55とも称する)と、動作波長λBにおいて屈折率の実部がより低い層(吸収層54とも称する)とを交互に付加した層を有し、吸収層−スペーサの対が積層53を形成する。こうした多層系51の構成は、ある意味で、その格子面が上記吸収層に相当し、この吸収層でブラッグ反射が行われる結晶をシミュレート(模擬)する。
個別の層54、55の厚さ、及び反復する積層53の厚さは、どのようなスペクトル依存性または角度依存性の反射プロファイルを実現することを意図しているかに応じて、多層系51全体にわたって一定にするか、さもなければ変化させることができる。この反射プロファイルは、吸収層54及びスペーサ55で組成され、それぞれの動作波長λBにおいて最大限可能な反射率を増加させるための追加的なより多数またはより少数の材料によって補完される基本構造によっても、的を絞った様式で影響され得る。この目的で、一部の積層53内の吸収層及び/またはスペーサ材料を互いに交換することができ、あるいは、これらの積層を、反射率を最適化するために、2つ以上の吸収層及び/またはスペーサ材料で構成することができる。これらの吸収層及びスペーサ材料は、全部の積層53にわたって一定の厚さ、さもなければ変化する厚さを有することができる。さらに、追加的な層を、例えばスペーサ層55と吸収層54との間の拡散バリア(障壁)として設けることもできる。
本例では、光学素子50を13.5nmの動作波長λBについて最適化し、即ち、13.5nmの波長で実質的に法線方向に入射する放射について最大反射率を有する光学素子50の場合、多層系51の積層53がシリコン層とモリブデン層とを交互に有する。この場合、シリコン層が、反射率の実部がより高い層55に相当し、モリブデン層が、反射率の実部がより低い層54に相当する。動作波長λBの正確な値に応じて、モリブデンとベリリウム、ルテニウムとベリリウム、あるいはランタンとB4Cのような他の材料の組み合わせも同様に可能である。
図2の反射光学素子は、真空環境との境界面を形成する光学面56を有する。投影露光装置1では、光学素子50が、残留ガス雰囲気内の真空条件下で動作し、この条件下では、小比率の酸素、ある比率の反応性水素、及び適切であれば、ある比率のスズが存在する。スズ化合物(あるいは金属水素化物化合物全般)は、特に、光源5がスズプラズマに基づいてEUV放射を発生し、光学素子50が光源5に近接して配置される場合、例えば光学素子50が集光ミラー7である場合に発生し得る。
光学素子50を、これらの汚染物質、及び場合によっては他の汚染物質に対して保護するために、図2に示す例では、保護層系60を多層系51に付加し、本例では、この保護層系が第1層57、第2層58、及び第3層59から成る。保護層系60の(厚さd1を有する)第1層57は、多層系51の最上層54に直接隣接する形に配置されている。(厚さd2を有する)第2層58は第1層57に直接付加されている。光学面56にかかわる保護層系60の終端は、(厚さd3を有する)最上の第3層59によって形成され、第2層58に直接付加されている。
保護層60は、4つ以上の層、例えば4つ、5つ、またはそれ以上の層を有することもできることを指摘しておく。また、保護層系60の層間に、適切であれば追加的な(薄)層を配置することもでき、この層は、例えば拡散バリアの機能を実行することによって、2つの隣接する層57、58、59の混合に対抗することも指摘しておく。さらに、保護層系60は、適切であれば第1及び第2層57、58のみを具えることができる。
保護層系60の3つの層57、58、59は、次の3つの機能を有する:最上の第1層57は、第2及び/または第3層58、59から下にある多層系51内への水素の拡散を防止するか大幅に低減するための拡散バリアとして機能する。このことを実現するために、第1層57は水素について低い拡散係数を有する。こうした低い拡散係数は、一般に、水素(分子)の溶解度s1が低い材料の場合に存在し、第1層57の材料については一般に次式が成り立つ:ln(s1)<1、特にln(s1)<0。
溶解度s1は、水素については大気圧下で定義され、100グラムの水素吸収物質によって吸収される水素(分子)の体積を(立方センチメートル単位で)表す。水素の溶解度に関する上記の条件は、例えば、Mo、Ru、Ir、Ni、Fe、Co、Cuから成るグループから選択した材料について満たされる。第1層57の厚さは、一般に約0.3nm〜10nmであり、0.3nm〜2nmであることが好ましい。
第1層57は、その下にある多層系51、特にシリコン層55を水素に対して保護し、水素は、多層系51の気泡形成、適切であれば層55及び/または54の層間剥離をもたらし得る。第1層57における比較的低い水素の溶解度s1は、実際に、適切であれば第1層57自体の中で気泡形成が増加し始めて層間剥離をもたらす影響を有する。層間剥離をもたらし得る気泡形成は、一般に、細孔に、あるいは水素の溶解度が低い材料の場合には欠陥に形成される。従って、第1層57にできる限り細孔または欠陥がなければ有利であり、このことは、特に、第1層がアモルファス材料または単結晶材料から成る場合に保証することができる。第1層57は、その下にある多層系51内への水素の拡散をできる限り完全に防止するために、できる限り圧縮すべきである。
光学面56を通した保護層系60内への水素の拡散に加えて、水素は、水素イオンまたは水素ラジカルの形で保護層系60内に注入されることもある。このことは、特に光源5に近接した所の場合である、というのは、そこには、約100eV以上になり得る高い運動エネルギーを有し得るイオン化された水素原子または水素ラジカルが存在し得るからである。
反応性水素の保護層系60内への侵入深さは、材料に依存し、一般に約10nm〜約15nmのオーダーであり、これらの値は、異なる材料内への侵入深さについてのコンピュータ・シミュレーションに基づいて判明している。例として、Ce2O3についてのこうしたシミュレーションの結果を図3aに例示する。反応性水素の侵入深さが実際に10nm(100オングストロームに相当する)を超えない材料も存在するが、MoSi2についての例として、他のシミュレーションの結果によって図3bに示すように、使用する材料の、反応性水素の侵入深さに対する影響は、全体的に、特に金属材料またはその酸化物(即ち、金属酸化物)を層57、58、59用の材料として使用する場合に、相当低い。
第1層57内への水素イオンの注入を大幅に防止するためには、第2層58が約5nm〜25nm、特に約10nm〜約15nmの厚さを有すれば有利であることが証明されており、その正確な値は、対応する層材料内への水素の侵入深さに依存し、この場合、EUV放射についての反射率の損失も考慮に入れなければならず、この損失は厚さと共に増加する。
第2層58内への水素の注入の結果としての気泡形成を最大限に防止するために、第2層58は第1層57よりも水素の溶解度s2が高く、第2層58における水素の溶解度s2について次式が当てはまれば有利である:ln(s2)>5、特にln(s2)>7。この条件は、例えばZr、Ti、Th、V、Pdから成るグループから選択した材料について満たされる。水素の溶解度が高い材料の場合、気泡形成は一般に、(金属)水素化物の形成の結果として生じ、気泡形成に向かう傾向は、一般に、水素の溶解度がより低い材料に比べれば、高い水素の溶解度により大幅に低減される。
第2層58の構造に関しては、第2層58が多結晶及び/または開孔材料で形成されれば有利であることが証明されている。多結晶材料は粒子境界を有し、これらの粒子境界に水素が付着することができ、これにより、第2層を構成する材料における水素の溶解度を、例えばアモルファスまたは単結晶構造に比べて増加させることができる。水素は、開孔材料(あるいは、適切であれば閉孔を有する材料)の細孔内に含まれることもできる。スポンジ状の開孔材料の使用、特に開孔がチャネルから第2層58の厚さ方向に沿って延びる材料の使用が有利であることが証明されている、というのは、この場合、第2層58の材料内に注入される水素が、上方に、即ち光学面56に向かう拡散による特に単純な様式で移送されて、光学面56から保護層系60を脱出することができるからである。このことは、特に、水素吸収性の第2層58に水素飽和が生じることを防止することを可能にする。
水素が保護層系60から出ることを促進するために、最上の第3層59は、350Kの温度で10-27cm4/(原子・s)以上、特に10-19cm4/(原子・s)以上である水素の再結合速度krを有する材料で形成される。再結合速度krは、表面において吸収される水素原子/イオン/ラジカルどうしが再結合して水素分子を形成して表面から除去されやすい度合いを示す。従って、光学面56を通した水素の内部拡散を抑制し、光学面56を通した水素の外部拡散を促進するためには、高い再結合速度が有利である。
特に、高い再結合速度krは、残留ガス環境との境界面を形成する光学面56における水素原子または水素分子の吸収の結果として、水素原子が保護層系の最上層59内に拡散して、その下にある層57、58内に拡散し得る状況を防止することができる。
水素の高い再結合速度を有する材料は、一般に、水素を吸熱的に吸収する材料であり、例えば、Mo、Ru、Cu、Ni、Fe、Pd、V、Nbから成るグループから選択した材料、及びこれらの材料の酸化物から選択した材料の場合である。
光学面56を形成する最上層59用の適切な材料の選択も、光学素子50の残留ガス環境内に存在する汚染物質がそれぞれの材料製の光学面56に付着しやすい度合いに依存する、というのは、水素の再結合速度krは、光学面56の汚染の度合いに依存し、汚染の度合いが増加するに連れて減少するからである。例えば、光学素子50がEUV光源5に近接して使用され、スズまたは金属全般の水素化物化合物の形態の汚染物質に晒される場合については、対応する汚染物質が小さい度合いでしか付着しない最上層59用の材料を選定して、光学面56における汚染の結果として、水素の再結合速度krが減少しないか、少ししか減少しないようにすることができる。例として、層材料としてのRuについては、スズが光学面56に付着する傾向が比較的高いことが知られ、このため、この材料は、相応の洗浄を実行しない限り、EUV光源5がスズプラズマを用いてEUV放射を発生する場合には、EUV光源5に近接した光学素子50には用いるべきでない。
適切であれば、光学素子50は、本明細書に記載した性質を有する最上層59を有し、かつ、以上でさらに説明した第1層57及び第2層58の性質を有しない追加的な層を1つまたは複数個有するか有しない保護層系60を有することもできる。層57、58、59の境界面、特に第1層57と第2層58との境界面は、当該境界面に水素が付着して気泡形成が始まることを防止するために、最小限可能な数の欠陥を有するべきである。欠陥の数の低減は、コーティングまたは層57、58、59の付加中の粒子負荷を低減することによって、例えばこれらのコーティングをクリーンルーム状態下で付加することによって実現することができる。適切であれば、それぞれの層57、58、59のコーティング中に、あるいはこれらの層の付加の終了後に、平滑法を実行して欠陥の数を低減することもできる。コーティング中の層形成粒子の運動エネルギーの制御も、欠陥の数を低減することに寄与することができる。さらに、使用する層57、58、59は、最低限可能な内部応力を有するべきである。一般に、従来のコーティングプロセスを用いて、特に気相成長法によって付加することができる材料のみが、層57、58、59に用いられることは言うまでもない。
図2に関連して以上でさらに説明した方法で具体化され、活性化水素を含むか水素プラズマを含む残留ガス雰囲気中で過度の長時間にわたって、例えばRUV光源5に近接して配置される保護層系60を有する光学素子50の場合にも、特定時点において、保護層系の個別層57、58、59内、及び適切であれば多層系51の層54、55の水素汚染が、光学素子50の反射率の劇的な低下をもたらし得る層の除去または層間剥離が発生するほど高くなる、という状況が発生し得る。
従って、保護層系60の層57、58、59、及び適切であれば多層系51の層54、55から、含まれる水素を少なくとも部分的に除去するための、時々の、あるいは特定時間間隔における光学系50の処理が勧められる。図1に、例として、集光ミラー7を処理するための処理装置を示し、この処理装置は、温度調整装置15として機能し、本例では、熱放射を集光ミラー7に、より正確に言えば、その光学面56(図2参照)に供給するIR(infrared:赤外)放射器として設計され、これにより、この熱放射は、保護層系または多層系に直接作用し、これを50℃以上、好適には100℃以上の温度まで加熱し、これにより、保護層系60の層57、58、59から光学面56への水素の移送をもたらし、光学面56から水素を環境内に放出することができる。
光学素子50の熱処理に加えて、あるいはその代わりに、例えば、水素がイオン化された形で存在する場合に、光学面56の向きへの水素の拡散を可能にする電界の使用によって水素が光学面56に移送されることを可能にして、水素を保護層系60または多層系51から除去する、光学素子50を処理する他の可能性も存在することは言うまでもない。光学素子50の熱処理中または異なるように構成した処理中には、特に、第2層58内に含まれるか吸収された水素を、少なくとも部分的に解放し、その結果、水素吸収性の第2層58に水素飽和が生じることを防止することができる。
要約すれば、上述した方法では、反応性水素の存在下での光学素子50の恒久的な動作が可能になる、というのは、多層系51に加えて、保護層系60の場合にも、含まれる水素または注入される水素に起因する気泡形成が、たとえ遅延した形だけであるにせよ、即ち、非常に長い時間的尺度にわたるにせよ発生するからであり、この時間的尺度は、理想的には、光学素子50が設置されるか動作するEUVリソグラフィー装置1またはEUVリソグラフィー用の光学系の寿命期間に相当する。3つの層57、58、59の性質の適切な選定を行えば、特に、多孔質構造を有する第2層58の場合、光学素子50の反射率は、保護層系60によって比較的小さい程度にしか低下しない。

Claims (15)

  1. 基板(52)と、
    前記基板(52)に付加されたEUV放射反射多層系(51)と、
    前記多層系(51)に付加され、少なくとも第1層及び第2層(57, 58)を有する保護層系(60)とを具え、
    前記第1層(57)は、前記第2層(58)よりも前記多層系(51)の近くに配置され、前記第1層(57)は前記第2層(58)よりも水素の溶解度が低く、前記第1層(57)はアモルファス材料または単結晶材料で形成されることを特徴とする光学素子。
  2. 前記第1層(57)における水素の溶解度s1について、次式:ln(s1)<3が成り立ち、及び/または、前記第2層(58)における水素の溶解度s2について、次式:ln(s2)>5が成り立つことを特徴とする請求項1に記載の光学素子。
  3. 前記第1層(57)が、Mo、Ru、Ir、Ni、Fe、Co、Cuから成るグループから選択した材料で形成されることを特徴とする請求項1または2に記載の光学素子。
  4. 前記第1層(57)が、0.3nm〜10nmの厚さ(d1)、好適には0.3nmから2nmの厚さを有することを特徴とする請求項1〜3のいずれかに記載の光学素子。
  5. 前記第2層(58)が、多結晶材料及び/または開孔材料で形成されることを特徴とする請求項1〜4のいずれかに記載の光学素子。
  6. 前記第2層(58)が、Zr、Ti、Th、V、Pdから成るグループから選択した材料で形成されることを特徴とする請求項1〜5のいずれかに記載の光学素子。
  7. 前記第2層(58)が、5nm〜25nmの厚さ(d2)を有することを特徴とする請求項1〜6のいずれかに記載の光学素子。
  8. 前記第2層(58)が、10nm〜15nmの厚さ(d2)を有することを特徴とする請求項1〜7のいずれかに記載の光学素子。
  9. 前記保護層系(60)が最上の第3層(59)を有し、この第3層は、350Kの温度で、10-27cm4/(原子・s)以上、特に10-19cm4/(原子・s)以上である水素の再結合速度(kr)を有する材料で形成されることを特徴とする請求項1の前文、特に請求項1〜8のいずれかに記載の光学素子。
  10. 前記最上の第3層(59)の材料が、Mo、Ru、Cu、Ni、Fe、Pd、V、Nb、及びこれらの酸化物から成るグループから選択した材料であることを特徴とする請求項9に記載の光学素子。
  11. 前記第1層(57)、前記第2層(58)、及び/または前記第3層(59)が、金属または金属酸化物で形成されることを特徴とする請求項1〜10のいずれかに記載の光学素子。
  12. 集光ミラー(7)として形成されていることを特徴とする請求項1〜11のいずれかに記載の光学素子。
  13. 請求項1〜12のいずれかに記載の光学素子(7, 9, 10, 11, 13, 14, 50)を少なくとも1つ具えていることを特徴とするEUVリソグラフィー用の光学系。
  14. 基板(52)と、前記基板(52)に付加されたEUV放射反射多層系(51)と、前記多層系(51)に付加された保護層系(60)とを具えた光学素子(50)を処理する方法であって、
    前記保護層系(60)の少なくとも1つの層(57, 58, 59)及び/または前記多層系(51)の少なくとも1つの層(54, 55)から、当該層内に含まれる水素を抽出するように前記光学素子(50)を処理するステップを含むことを特徴とする方法。
  15. 前記層内に含まれる水素を抽出することが、前記光学素子(50)を、50℃以上の温度、好適には100℃以上の温度まで加熱することを含むことを特徴とする請求項14に記載の方法。
JP2015561983A 2013-03-15 2014-01-13 Euvリソグラフィー用の光学素子及び光学系、及びこの光学系を処理する方法 Active JP6382856B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361792638P 2013-03-15 2013-03-15
DE102013102670.2 2013-03-15
DE201310102670 DE102013102670A1 (de) 2013-03-15 2013-03-15 Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zur Behandlung eines solchen optischen Elements
US61/792,638 2013-03-15
PCT/EP2014/050471 WO2014139694A1 (en) 2013-03-15 2014-01-13 Optical element and optical system for euv lithography, and method for treating such an optical element

Publications (3)

Publication Number Publication Date
JP2016509270A true JP2016509270A (ja) 2016-03-24
JP2016509270A5 JP2016509270A5 (ja) 2017-02-16
JP6382856B2 JP6382856B2 (ja) 2018-08-29

Family

ID=51519610

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015561983A Active JP6382856B2 (ja) 2013-03-15 2014-01-13 Euvリソグラフィー用の光学素子及び光学系、及びこの光学系を処理する方法

Country Status (6)

Country Link
US (1) US10690812B2 (ja)
JP (1) JP6382856B2 (ja)
KR (1) KR102175814B1 (ja)
CN (1) CN105074576B (ja)
DE (1) DE102013102670A1 (ja)
WO (1) WO2014139694A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018536199A (ja) * 2015-11-19 2018-12-06 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のためのeuvソースチャンバーおよびガス流れ様式、多層ミラー、およびリソグラフィ装置
JP2020504327A (ja) * 2016-12-23 2020-02-06 カール・ツァイス・エスエムティー・ゲーエムベーハー 特にマイクロリソグラフィ投影露光装置のための光学素子
WO2020256064A1 (ja) * 2019-06-20 2020-12-24 Hoya株式会社 反射型マスクブランク、反射型マスク、並びに反射型マスク及び半導体装置の製造方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013222330A1 (de) 2013-11-04 2015-05-07 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
DE102015225509A1 (de) 2015-12-16 2017-06-22 Carl Zeiss Smt Gmbh Reflektives optisches Element
US10128016B2 (en) * 2016-01-12 2018-11-13 Asml Netherlands B.V. EUV element having barrier to hydrogen transport
DE102016208850A1 (de) * 2016-05-23 2017-12-07 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Halbleiterlithographie mit Elementen zur Plasmakonditionierung
DE102016213831A1 (de) 2016-07-27 2018-02-01 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
DE102016213839A1 (de) 2016-07-27 2016-12-15 Carl Zeiss Smt Gmbh Spiegel für ein mikrolithographisches Projektionsbelichtungssystem und Verfahren zur Bearbeitung eines Spiegels
DE102016223206A1 (de) 2016-11-23 2017-01-12 Carl Zeiss Smt Gmbh Verfahren zur aufarbeitung reflektiver optischer elemente für ultraviolette strahlung oder weiche röntgenstrahlung
DE102016224200A1 (de) 2016-12-06 2018-06-07 Carl Zeiss Smt Gmbh Verfahren zum Reparieren von reflektiven optischen Elementen für die EUV-Lithographie
DE102017200667A1 (de) 2017-01-17 2018-07-19 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage oder ein Inspektionssystem
DE102017206256A1 (de) 2017-04-11 2018-10-11 Carl Zeiss Smt Gmbh Wellenfrontkorrekturelement zur Verwendung in einem optischen System
DE102017213176A1 (de) 2017-07-31 2017-09-21 Carl Zeiss Smt Gmbh Optisches Element für die EUV-Lithographie und EUV-Lithographiesystem damit
DE102017213181A1 (de) * 2017-07-31 2019-01-31 Carl Zeiss Smt Gmbh Optische Anordnung für EUV-Strahlung mit einer Abschirmung zum Schutz vor der Ätzwirkung eines Plasmas
KR102402767B1 (ko) * 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
DE102018211980A1 (de) * 2018-07-18 2019-09-05 Carl Zeiss Smt Gmbh Reflektives optisches Element
DE102018221190A1 (de) * 2018-12-07 2020-06-10 Carl Zeiss Smt Gmbh Verfahren zum Bilden von Nanostrukturen an einer Oberfläche und Wafer-Inspektionssystem
DE102019212910A1 (de) * 2019-08-28 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
DE102019213349A1 (de) 2019-09-03 2021-03-04 Carl Zeiss Smt Gmbh Spiegelanordnung mit Wasserstoff-Barriere und optische Anordnung
DE102020206117A1 (de) 2020-05-14 2021-11-18 Carl Zeiss Smt Gmbh Optisches Element, EUV-Lithographiesystem und Verfahren zum Bilden von Nanopartikeln
US20220066071A1 (en) * 2020-08-27 2022-03-03 Kla Corporation Protection of optical materials of optical components from radiation degradation
US11402743B2 (en) * 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mask defect prevention
KR20220123918A (ko) * 2021-03-02 2022-09-13 에스케이하이닉스 주식회사 극자외선 마스크 및 극자외선 마스크를 이용하여 제조된 포토마스크
DE102022202059A1 (de) * 2022-03-01 2023-09-07 Carl Zeiss Smt Gmbh Verfahren zum Bearbeiten eines Werkstücks

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58115739A (ja) * 1981-12-28 1983-07-09 ウエスターン・エレクトリック・カムパニー・インコーポレーテッド X線装置
JP2004517484A (ja) * 2001-01-03 2004-06-10 イーユーヴィー リミテッド リアビリティ コーポレーション 極紫外線リソグラフィー用の自己浄化光学装置
JP2005516182A (ja) * 2001-07-03 2005-06-02 ザ リージェンツ オブ ザ ユニヴァーシティ オブ カリフォルニア 不動態化保護膜二重層
JP2006173490A (ja) * 2004-12-17 2006-06-29 Nikon Corp 光学素子及びこれを用いた投影露光装置
US20080149854A1 (en) * 2006-12-22 2008-06-26 Asml Netherlands B.V. Illumination system, lithographic apparatus, mirror, method of removing contamination from a mirror and device manufacturing method
JP2010192503A (ja) * 2009-02-16 2010-09-02 Seiko Epson Corp フォトマスクおよびフォトマスクの製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030008148A1 (en) * 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US6756163B2 (en) * 2002-06-27 2004-06-29 Intel Corporation Re-usable extreme ultraviolet lithography multilayer mask blank
DE10309084A1 (de) 2003-03-03 2004-09-16 Carl Zeiss Smt Ag Reflektives optisches Element und EUV-Lithographiegerät
US20060127780A1 (en) * 2004-12-15 2006-06-15 Manish Chandhok Forming a capping layer for a EUV mask and structures formed thereby
US20100239822A1 (en) * 2007-10-02 2010-09-23 Universita Degli Studi Di Padova Aperiodic multilayer structures
DE102009054653A1 (de) * 2009-12-15 2011-06-16 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Substrat für einen solchen Spiegel, Verwendung einer Quarzschicht für ein solches Substrat, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel oder einem solchen Substrat und Projetktionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
CN102782531B (zh) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
JP5091956B2 (ja) 2010-01-15 2012-12-05 パナソニック株式会社 火災警報システム
CN102621815B (zh) * 2011-01-26 2016-12-21 Asml荷兰有限公司 用于光刻设备的反射光学部件及器件制造方法
DE102011076011A1 (de) 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
US9349593B2 (en) * 2012-12-03 2016-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58115739A (ja) * 1981-12-28 1983-07-09 ウエスターン・エレクトリック・カムパニー・インコーポレーテッド X線装置
JP2004517484A (ja) * 2001-01-03 2004-06-10 イーユーヴィー リミテッド リアビリティ コーポレーション 極紫外線リソグラフィー用の自己浄化光学装置
JP2005516182A (ja) * 2001-07-03 2005-06-02 ザ リージェンツ オブ ザ ユニヴァーシティ オブ カリフォルニア 不動態化保護膜二重層
JP2006173490A (ja) * 2004-12-17 2006-06-29 Nikon Corp 光学素子及びこれを用いた投影露光装置
US20080149854A1 (en) * 2006-12-22 2008-06-26 Asml Netherlands B.V. Illumination system, lithographic apparatus, mirror, method of removing contamination from a mirror and device manufacturing method
JP2010192503A (ja) * 2009-02-16 2010-09-02 Seiko Epson Corp フォトマスクおよびフォトマスクの製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
R.H. FOWLER ET AL.: "A Theoretical Formula for the Solubility of Hydrogen in Metals", PROC. R. SOC. LOND., vol. A160, JPN7017004213, 1 May 1937 (1937-05-01), GB, pages 37 - 47, ISSN: 0003706259 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018536199A (ja) * 2015-11-19 2018-12-06 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のためのeuvソースチャンバーおよびガス流れ様式、多層ミラー、およびリソグラフィ装置
JP2020504327A (ja) * 2016-12-23 2020-02-06 カール・ツァイス・エスエムティー・ゲーエムベーハー 特にマイクロリソグラフィ投影露光装置のための光学素子
JP7098622B2 (ja) 2016-12-23 2022-07-11 カール・ツァイス・エスエムティー・ゲーエムベーハー 特にマイクロリソグラフィ投影露光装置のための光学素子
WO2020256064A1 (ja) * 2019-06-20 2020-12-24 Hoya株式会社 反射型マスクブランク、反射型マスク、並びに反射型マスク及び半導体装置の製造方法
JP7503057B2 (ja) 2019-06-20 2024-06-19 Hoya株式会社 反射型マスクブランク、反射型マスク、並びに反射型マスク及び半導体装置の製造方法
US12072619B2 (en) 2019-06-20 2024-08-27 Hoya Corporation Reflective mask blank, reflective mask, and method for manufacturing reflective mask and semiconductor device

Also Published As

Publication number Publication date
KR20150130410A (ko) 2015-11-23
KR102175814B1 (ko) 2020-11-09
CN105074576B (zh) 2018-03-20
JP6382856B2 (ja) 2018-08-29
DE102013102670A1 (de) 2014-10-02
CN105074576A (zh) 2015-11-18
US10690812B2 (en) 2020-06-23
WO2014139694A1 (en) 2014-09-18
US20160187543A1 (en) 2016-06-30

Similar Documents

Publication Publication Date Title
JP6382856B2 (ja) Euvリソグラフィー用の光学素子及び光学系、及びこの光学系を処理する方法
JP7009380B2 (ja) Euvリソグラフィ用のメンブレン
EP2710415B1 (en) Reflective optical element and optical system for euv lithography
US9773578B2 (en) Radiation source-collector and method for manufacture
KR101383464B1 (ko) 반사성 광학 소자 및 euv 리소그래피 장치를 작동하기 위한 방법
JP6235999B2 (ja) Euvリソグラフィ用の反射光学素子を製造する方法
Bajt et al. Properties of ultrathin films appropriate for optics capping layers exposed to high energy photon irradiation
JP7018429B2 (ja) Euvリソグラフィ用の反射光学素子
JP2015501527A (ja) Euvミラー上に酸化ケイ素から成るキャップ層を生成する方法、euvミラー及びeuvリソグラフィ装置
US20220179329A1 (en) Optical element and euv lithographic system
US9229331B2 (en) EUV mirror comprising an oxynitride capping layer having a stable composition, EUV lithography apparatus, and operating method
US20230076667A1 (en) Optical element, euv lithography system, and method for forming nanoparticles
Lairson et al. Improved EUV filter transmission with plasma cleaning
US20230266673A1 (en) Optical element, in particular for reflecting euv radiation, optical arrangement, and method for manufacturing an optical element
Aoki et al. Apparatus for contamination control development in EUVA
vd Meer et al. Materials for soft X-ray and EUV multi-layer mirrors
NL2011761A (en) Radiation source-collector and method for manufacture.
JP2006170812A (ja) 多層膜反射鏡、euv露光装置、及び軟x線光学機器

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170110

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180724

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180802

R150 Certificate of patent or registration of utility model

Ref document number: 6382856

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250