JP2014032673A - ダブルパターニング技術のための物理的決定性境界インターコネクト・フィーチャを生成するシステム及び方法 - Google Patents

ダブルパターニング技術のための物理的決定性境界インターコネクト・フィーチャを生成するシステム及び方法 Download PDF

Info

Publication number
JP2014032673A
JP2014032673A JP2013160071A JP2013160071A JP2014032673A JP 2014032673 A JP2014032673 A JP 2014032673A JP 2013160071 A JP2013160071 A JP 2013160071A JP 2013160071 A JP2013160071 A JP 2013160071A JP 2014032673 A JP2014032673 A JP 2014032673A
Authority
JP
Japan
Prior art keywords
cell
interconnect
deterministic boundary
deterministic
double patterning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013160071A
Other languages
English (en)
Other versions
JP5694463B2 (ja
Inventor
John A Milinichik
エー.ミリニチク ジョン
Yehuda Smooha
スムーハ エフダ
Daniel J Delpero
ジェー.デルペロ ダニエル
R Harleman Gregg
アール.ハーレマン グレッグ
Scott N Bertino
エヌ.バーチノ スコット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LSI Corp
Original Assignee
LSI Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Corp filed Critical LSI Corp
Publication of JP2014032673A publication Critical patent/JP2014032673A/ja
Application granted granted Critical
Publication of JP5694463B2 publication Critical patent/JP5694463B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】ダブルパターニング技術のためのレイアウトを生成するシステムを提供すること。
【解決手段】一実施形態において、本システムは、(1)少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャを生成するように構成された、決定性境界インターコネクト・フィーチャ生成器と、(2)上記決定性境界インターコネクト・フィーチャ生成器と関連付けられ、上記決定性境界インターコネクト・フィーチャ及び上記セルの他のフィーチャをそれらに対して配置するように構成されたセル配置ツール及びインターコネクト経路設定ツールとを具備している。
【選択図】図1

Description

本出願は、一般に集積回路(IC)に関し、より詳細には、ダブルパターニング(dual patterning)を利用したICの設計技法に関する。
回路設計者は、回路動作の基礎となる論理を構築すること、回路動作をシミュレーションすること、セル(即ち、トランジスタ等の諸々のデバイスを具備した論理エレメント)をどこに配置し、それらセルを結合するインターコネクトの経路をどこに設定すべきかを決定することを含めて、電子回路を設計しレイアウトするために、コンピュータ支援設計(CAD)ツールの一種である電子設計自動化(EDA)ツールを使用する。EDAツールを用いれば、設計者はコンピュータを使って回路を構築するとともに、その性能をシミュレーションすることができ、コストがかかり時間がかかる手作業が不要になる。EDAツールは、現代のIC、特に超大規模集積回路(VLSIC)の設計に不可欠である。このため、EDAツールは広く使用されている。
回路設計者は、初期の「設計」段階中に、1つ又は複数のEDAツールを用いて、所望の電子回路の論理的表現を作成する。回路の論理的表現が所期の動作をすることに満足した後で(典型的にはシミュレーションによって)、回路設計者は次に、「実装」段階において、「ICコンパイラ」(ICC)と呼ばれるEDAツールを用いる。これは、その論理的表現(典型的には「ネットリスト」中に具現化される)を、1つ又は複数のフォトリソグラフィ・マスク上の回路の各セルに対応する物理的表現に自動変換するためである。上記実装段階は、典型的には2つの副段階から成る。1つは、適切なゲートをライブラリから選択し、上記セルを支持する基板を表す領域内に相互に対してそれらを配置する「配置」副段階であり、1つは、上記セル内で上記基板全体にわたりローカル・インターコネクト(local interconnects)の経路を設定して、統合的な電子回路を形成する「経路設定(routing)」副段階である。次いで、それらのセルが相互に対して配置され、セル相互間(inter-cell)インターコネクトがレイアウトされて、IC全体、即ち「チップ」の物理的表現が出来上がる。最終的に上記フォトリソグラフィ・マスクが使用されて、基板上にICフィーチャの層が作製され、それによってICが形成される。
超大規模IC(VLSIC)技術の最小加工寸法(feature sizes)、特に相補型インターコネクト酸化膜半導体(CMOS)型の最小加工寸法は、縮小し続けている。残念ながら、それらフィーチャを作製するためにフォトリソグラフィで使用される光の波長は、それほど速くは縮小していない。したがって、フォトリソグラフィ技法では、最小加工寸法の縮小に追随できるように種々の進歩がなされてきた。これら技法の例として位相シフトマスク、より最近のものとしてはダブルパターニングが挙げられる。ダブルパターニングは、VLSICで微細フィーチャを画成するのに、フォトリソグラフィ・マスクを1種類だけ使用するのではなく、2種類使用するものである。
最新CMOS技術(典型的には20nm以下)におけるリソグラフィの課題に取り組むには、ゲートのみならず、ローカル・インターコネクト、更には一部の薄いインターコネクト経路設定層においても、ダブルパターニングが必要となる。残念ながら、ダブルパターニングには、フィーチャを2種類のマスクのどこには配置でき、どこには配置できないのかを決定する複雑なデザイン・ルールが必要である。これらのデザイン・ルールは、必要であると同時に、ICのレイアウト、特に近接する入力/出力(I/O)バッファ同士間又は静電気放電保護クランプ等のサポートセル(support cells)同士間の境界でのレイアウトにかなりの難題を突きつけるものである。それらの難題は、近接するバッファ又はセルが異なる要件に従ってレイアウトされる場合に、特に深刻なものとなる。セルのレイアウトは、分離において妥当と思われるものであっても、そのレイアウトが他のセルのレイアウトに隣接して配置される場合には、違反を引き起こす場合がある。ダブルパターニングによるインターコネクトに特別なインターコネクト・デザイン・ルールが適用される場合、大型のコアブロックでも、付近のインターコネクト又はチップ層フィル・パターン(fill patterns)との間で問題を起こす場合がある。
1つの態様は、ダブルパターニング技術のためのレイアウトを生成するシステムを提供する。一実施形態において、本システムは、(1)少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャ(deterministic boundary interconnect feature)を生成するように構成された、決定性境界インターコネクト・フィーチャ生成器と、(2)上記決定性境界インターコネクト・フィーチャ生成器と関連付けられ、上記決定性境界インターコネクト・フィーチャ及び上記セルの他のフィーチャをそれらに対して配置するように構成されたセル配置(cell placement)ツール及びインターコネクト経路設定ツールとを具備している。
別の態様は、ダブルパターニング技術のためのレイアウトを生成する方法を提供する。一実施形態において、本方法は、(1)少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャを生成することと、(2)上記決定性境界インターコネクト・フィーチャ及び上記セルの他のフィーチャを、それらに対して配置することとを含んでいる。
更に別の態様は、ミックスド・セル・ライブラリ(mixed cell libraries)を使って回路を設計するとともに実装するためのプログラム命令を含むコンピュータ可読記録媒体を提供する。一実施形態において、コンピュータシステムの1つ又は複数のプロセッサに本プログラム命令を実行させると、上記1つ又は複数のプロセッサは、(1)少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャを生成するとともに、(2)上記決定性境界インターコネクト・フィーチャ及び上記セルの他のフィーチャを、それらに対して配置する。
以下、下記の付属図面と併せて後掲の説明を参照する。
ICを構成するI/Oリングの説明図である。 従来のデザイン・ルールに従ってレイアウトされた、ICのI/Oバッファ・セルの説明図である。 決定性境界インターコネクト・フィーチャ(DBIF)の実施形態の便益を備えた形でレイアウトされた、ICのI/Oバッファ・セルの説明図である。 従来のデザイン・ルールに従ってレイアウトされた、隣接する3つのI/Oバッファ・セルの説明図である。 図3の説明図の一部をより詳細に表した図である。 U形状DBIFの実施形態の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セルの説明図である。 図5の説明図の一部をより詳細に表した図である。 側部セル(side-cell)DBIFの実施形態の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セルの説明図である。 P基板連結部(P-substrate tie)を有するU形状DBIFの実施形態の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セルの説明図である。 側部セルDBIFの実施形態の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セルのローカル・インターコネクト層の説明図である。 図9の説明図の一部をより詳細に表した図である。 フルリング(full-ring)DBIFの実施形態を有するコアブロックの説明図である。 ダブルパターニングCMOS技術のための物理的決定性境界インターコネクト・フィーチャを生成するシステム及び方法の一実施形態のブロック図/フローチャート混成図である。
ダブルパターニングはこれまで、所与のIC設計において、ゲートにしか作用してこなかった。しかしながら、最近のダブルパターニングは、ローカル・インターコネクト層に影響を与えており、数層の薄いインターコネクト層にも浸透してきている。
I/Oバッファ境界条件に対処するための従来の手法は、単純な最小間隔(minimum-spacing)デザイン・ルールを用いて内部インターコネクトとセル境界との間の最小間隔を確保するか、又はフィーチャが全くない「フィーチャ不許可ゾーン(no-features-allowed zone)」を規定するかの、いずれかを行うものである。この従来の手法を用いれば、同一「ファミリー」(即ち、同一の最上層電力バスを有する)内にあるI/Oバッファ及びサポートセルを、混在させるとともに整合させることができる。残念ながら、検証プロセスを行って、デザイン・ルールが適切であることを確認する必要がある。デザイン・ルールを検証するには、想定されるセルのあらゆる組み合わせを用いて、大きな試験セルを作製する必要がある。上記検証プロセスは、デザイン・ルールが単純な古いプロセス技術では適切であることが分かっている。しかし、新しいダブルパターニングCMOS技術は、電圧依存性間隔(voltage-dependent spacing)デザイン・ルール及び(他のインターコネクトから一定の距離範囲で間隔を設けることが許容されていない)禁止ギャップ(forbidden gap)デザイン・ルールを含め、遥かに複雑なデザイン・ルールを有している。これらは、特定の用途に存在し得る諸デザイン・ルールのうちの数種類に過ぎない。
例えば、ある最小間隔デザイン・ルールが50nmの間隔を強制するものである場合、所与のセル境界内部のインターコネクトを25nmに維持すれば適合するであろう。残念ながら、最新の最先端CMOS技術は、従来の最小間隔デザイン・ルールに従っているときでさえ、違反を構成する場合がある。例えば、セル境界から25nmの所にインターコネクトを有するI/Oバッファが、セル境界から(最小よりも遥かに大きい)45nm離れた所にインターコネクトを有するセルに密接させられ、且つインターコネクトを60nm〜110nmの範囲で相互に離間させることを禁じる禁止ギャップ・デザイン・ルールが存在する場合、それらのインターコネクトは相互に70nm離れることになるが、これは禁止ギャップ・デザイン・ルール違反である(これについては、後で図7に関連させて示される)。設計が複雑化すると、禁止ギャップはインターコネクト幅の関数として変化する。したがって、諸々のインターコネクトをどこに配置すべきかを、想定される近接セルのインターコネクトに基づいて予見することは困難である。
更に都合の悪いことに、この特定のセル密接作業のために上記インターコネクトが配置される場合、異なるセル密接作業には異なる境界配置(boundary placement)デザイン・ルールの集合が存在する可能性があり、このような場合に、この「既定」区域で新たな違反が発生するおそれがある。その結果、伝統的な方法を使ってフィーチャをレイアウトすることは、時間のかかる面倒なものとなるとともに、無用に大きなI/Oバッファ及びサポートセルを作製する性質のものとなる。
同様に、大型コアセルにおいても、従来の手法は、最小間隔デザイン・ルールによって指定される最小間隔の半分よりも境界に近い所には、どのインターコネクトも配置しないというものであった。残念ながら、インターコネクト及びビアについて複数の条件下で様々な間隔が必要とされるため、インターコネクトを配置できないフィーチャ不許可ゾーンの大きさを適度な大きさに選択することが、ますます困難になってきている。フィーチャ不許可ゾーンを拡大することが妥当な解決策と思えるかもしれないが、結果として縮小したインターコネクトをレイアウトするための窓部分が、種々のインターコネクト密度(interconnect density)デザイン・ルールに違反するおそれがある。これを改めるには、各コアブロック回路の周りで面倒な手作業を行い、ダブルパターニングによるインターコネクトにおいて、インターコネクト、ビア間隔、及びインターコネクト密度を絶妙にバランスさせる必要がある。これは、受け入れ難い解決策である。
当該技術分野の当業者であれば承知しているように、ダブルパターニング・デザイン・ルールは、典型的には、禁止間隔(forbidden spacing)デザイン・ルール、電圧依存性間隔デザイン・ルール、ビア間隔デザイン・ルール、密度デザイン・ルール、及び特別デュアルパターン(dual pattern)間隔/フィーチャ・デザイン・ルールを含んでいる。ブロックの境界付近にフィーチャをレイアウトすること、特に相互に近接して配置される場合が多いI/Oバッファ・セルをレイアウトすることは、非常に困難なものとなる可能性があり、試行錯誤によって行われるようになる可能性がある。図1はIC100のI/Oリング110のレイアウトであり、同図がこのことを示している。I/Oリング110は、相互に隣接してレイアウトされた複数のI/Oバッファ・セル(参照番号無し)を備えている。前述したように、特定の層の最小加工寸法がダブルパターニングを必要とするほどの微細なピッチになっている場合に、この隣接した態様によって複雑なデザイン・ルールが必要になる。問題を悪化させているのは、様々なI/Oバッファ・セルが、往々にして様々な設計者によってレイアウトされることである。しかも、それらは、単一のI/Oバッファ・セルのレイアウトを最適化するようにレイアウトされる。実際の環境では、設計者は、隣接するバッファ又はセルで支配的な境界条件を事前に認識していない。レイアウト違反は時間をかけて手作業で修復する必要があるため、こういった不確実性のために設計者は挫折し、レイアウトのための努力は頓挫する。
そこで、本明細書では、I/Oバッファの境界における不確実性を低減する必要があることが認識されている。本明細書の開示に従って、DBIFの概念が紹介される。DBIFは、(1)1つ又は複数のダブルパターニング・デザイン・ルールを使って画成されるとともにレイアウトされ、且つ(2)他のフィーチャが同じ場所に配置されるのを阻止する物理的フィーチャ(即ち、1つ又は複数の導電性材料、典型的には金属材料で形成されたもの)として規定される。DBIFは、セル又はブロックの1つ又は複数の層に対して、決定性経路設定境界(deterministic routing boundary)を作製するものであり、これによって、DBIFを画成しレイアウトするのに使用される上記1つ又は複数のダブルパターニング・デザイン・ルールに対する違反が減少し、おそらく全く起こらなくなる。したがって、DBIFは主に、他のフィーチャが1つ又は複数のダブルパターニング・デザイン・ルールに違反するのを抑制し、おそらく違反を阻止するために存在する。本開示の目的のために、「ダブルパターニング」は、少なくとも2種類のフォトリソグラフィ・マスクを使って特定のICの特定の層上に特定のフィーチャを形成することを含んでいる。
I/Oバッファ及び可能性として近接する全セル(例えば、ESDクランプ、キャパシタ・セル、フィラー・セル(filler cell)、及び他のサポートセル)の境界上又はその付近で、1つ又は複数の側面沿いにDBIFが生成されて配置される。それらDBIFのおかげで、設計者は境界フィーチャがどのようなものかを正確に知ることができ、結果として、全ての経路設定/インターコネクトに関連する間隔デザイン・ルール、禁止ギャップ・デザイン・ルール、及び密度デザイン・ルールを必ず満足することができる。いくつかの実施形態では、こういった問題に起因して今まで生成していたようなセル配置は、完全に消滅する。
SRAM及びアナログブロック等の大型のコア回路ブロックは、他のブロックに密接するものではない。しかし、同じタイプの非決定性(non-deterministic)境界結線が行われるため、それらは、I/Oバッファが有するダブルパターニングによるインターコネクトの間隔デザイン・ルールの不確定性と同じ不確定性を有する。したがって、本明細書に記載のシステム及び方法のいくつかの実施形態は、大型コア回路ブロックに決定性境界フィーチャを提供するように構成される。配置及び経路設定(place and route)ツールにはブロック(アナログ、I/O、等)内部の境界フィーチャ配置が与えられており、適正に構築されるであろう上記ブロックの隣に経路を配置するように、これらのツールに指示すればよい。
DBIFは、設計者に決定性(即ち既知の)境界を与えるとともに、内部のダブルパターニングによるインターコネクト及びインターコネクト・フィル・フィーチャ(fill features)の全てに境界を形成し、それによって、I/Oバッファとサポートセルとの間の、ダブルパターニングによる種々のインターコネクト・フィーチャに対する複雑なレイアウト・デザイン・ルールが違反されるのを防止する。SRAM又はアナログブロック等の大型のコアブロックにおいて、DBIFは、ダブルパターニングによる内部インターコネクト及びインターコネクト・フィル層(fill layers)に境界を形成し、それによって、予期しない条件に起因してそれらが外部結線に違反するのを防止する。DBIFは、簡単なデザイン・ルールを適用してセルの周りで経路を設定できる、不変の決定性境界を作製することができるのであり、禁止ギャップ・デザイン・ルール、大型ビア間隔(large via spacing)デザイン・ルール、電圧依存性間隔(voltage dependent spacing)デザイン・ルール、及び内部結線に対する他の特別なデュアルパターン・フィーチャ・デザイン・ルールは、考慮に入れる必要がない。
本明細書に記載のDBIFの種々の実施形態は、局部(即ちセル内)部分とセル相互間部分の両方を含んでいる。これらの部分は一緒に、ダブルパターニングによる外部フィーチャからダブルパターニングによる内部フィーチャを離隔する物理的な働きをする(これについては、後で図2A、図8、図9、及び図10に関連させて示される)。ほとんどのDBIFの実施形態は比較的長尺であり、1つのセルの1つ又は複数の側面全体に沿って延在しているが、DBIFは一般に、デザイン・ルールに確実に準拠するのに必要とされる区域を包含するように意図されている。他の区域は、完全性のため又は生成を容易にするためにDBIFに含まれている場合がある。例えば、あるセルがいくつかのローカル・インターコネクトを有しており、且つそれらローカル・インターコネクトの全てがそれらインターコネクトのうちの1つからの最小間隔要件を有している場合、そのローカル・インターコネクトは、DBIFを生成する際に考慮に入れられなければならない。他のローカル・インターコネクトが含まれていても差し支えないが、これによってDBIFが必要以上に大きくなる可能性がある。DBIFの周りに配置されるフィーチャは、最大限に密である必要はない。デザイン・ルールの目的で、あるローカル・インターコネクトを、セル境界からそれらのインターコネクトよりも遠くに配置する必要がある場合、それはずらすことができる。いずれかのインターコネクト層が、デザイン・ルールの目的で、より広く又はより狭くする必要がある場合、それは改変してもよければ、ずらしてもよい。インターコネクト間隔フィーチャ自体がローカル・インターコネクト配置を制限するとともに、セル境界を横切ってローカル・インターコネクトが影響を及ぼすのを防止している場合、ローカル・インターコネクトを用いずにDBIFを設計することができる。
DBIFの種々の実施形態の大きさ及び形状は、一定でなくてもよい。DBIFは、セル全体を取り巻くリングとすることができるのであり、I/O及び大型コアブロックにとって好適な解決策である。DBIFは、端子接続用に1つの側面を開けておいて、U形状とすることができる。上端部及び下端部の近接セルが不変である場合、DBIFは、セルの左右の側面だけを包囲するものであってもよい。例えば、下端部がシールリングであり、上端部はどのセルとも近接しておらず、上端縁部のインターコネクト条件に境界を形成するのに役立つように、上端部が多くの端子フィーチャを備えた状態で経路設定区域に対して開いているような場合である。セルのフィーチャが配置及び経路設定(P&R)境界を越えることをI/Oリング配置方法が許容する場合に、本方法及びP&Rツールによって許容されるならば、DBIFもP&R境界自体まで進めてオーバーラップさせることができる。
DBIFの種々の実施形態は、I/Oバッファ、並びにESDクランプ、キャパシタ・セル、フィラー・セル、及び他のI/Oリングセル等(但し、これらに限定されない)のI/Oサポートセルに使用することができる。これらのフィーチャは、メモリ(例えば、スタティック・ランダム・アクセス・メモリ、即ちSRAM、読出し専用メモリ、即ちROM、又は連想メモリ、即ちCAM)、アナログ回路(位相同期回路、即ちPLL、温度センサ、又はリードチャネル)、及び他の大型デジタルブロック等(但し、これらに限定されない)のコアブロック・セルにも使用することができる。
種々の実施形態において、デザイン・ルールでセル境界を形成するのに、同じファミリーのDBIFは全て、好ましくは全てがダブルパターニングによるインターコネクトである同数のインターコネクト層と、最小限のローカル・インターコネクト層とを使用する。ダブルパターニングによらないインターコネクト層を含める必要はないが、それらにも境界を形成したい場合は、そのようにしても差し支えない。長さ上の制約が何かある場合、インターコネクトをオーバーラップさせて、同じインターコネクトに属するインターコネクト同士間のギャップを互い違いに配置することができる。ローカル・インターコネクトに対して10μmの長さ制約があり、2つのローカル・インターコネクトが含まれている場合、第1のものは5μmまで進み、次いでギャップがあり、残りの設計全体にわたって10μmまで継続するようにし、次のローカル・インターコネクトは10μmまで進み、次いでギャップがあり、次いで10μmまで継続するようにして、ギャップにオーバーラップする形状を有するようにすることができる。
種々の実施形態において、DBIFは、ICの電力レールに接続される。より具体的な実施形態において、I/Oバッファ同士間又は多数のI/Oバッファ間で不変のデザイン・ルールを維持するために、1つのI/OバッファファミリーのDBIFは全て、同じ電圧層に接続される。ファミリー要件が存在しない大型コアブロック・セルでは、接地等の適切な電圧層を念頭に置く必要がある。DBIFは、ICのいずれかの内部回路機構に電力を提供するために使用されるものではないが、キャパシタ内では使用される可能性がある。DBIFの代替実施形態は、浮遊状態である。即ち、デザイン・ルールが許容する場合は、一部を浮遊させておいてもよい。例えば、インターコネクト配線(interconnect interconnects)は接地することができる。一方、ローカル・インターコネクトは浮遊させておくことができる。
本明細書の教示によれば、I/Oバッファ及びサポートセルには、ダブルパターニングによるローカル・インターコネクトと、インターコネクト配線とが、セル境界内部でリング状に、又はコアに面する側面を開けておき、且つ、セルの側面沿いに、端子に面する典型的には多数で大型のコアにインターコネクト境界を画成させた状態で、両側面及び下端部(セル境界内部の)にU形状に、又は上端部及び下端部の小さな間隔を除くセルの少なくとも2つの対向する側面に、配置されている(図4が例示するように、シールリングの配置に起因してI/Oの下端部が決定性であると仮定する)。
図2Aは、従来のデザイン・ルールに従ってレイアウトされた、ICのI/Oバッファ・セル210の説明図である。図2Bは、DBIF230の1つの実施形態の便益を備えた形でレイアウトされた、ICのI/Oバッファ・セル220の説明図である。DBIF230はU形状を有しており、図2Bが例示しているように、I/Oバッファ・セル220の左側、下端部、及び右側の側面に境界を形成している。図2Bの実施形態において、DBIF230は、インターコネクト配線に境界を物理的に形成している。図2A及び図2Bはトランジスタを示しているのではないことに留意されたい。見易くするために、M2、M3、及びM5のインターコネクト層だけが示されている。
図3は、従来のデザイン・ルールに従ってレイアウトされた、隣接する3つのI/Oバッファ・セル310、320、330の説明図である。図3はトランジスタを示しているのではないことに留意されたい。見易くするために、M2、M3、及びM5のインターコネクト層だけが示されている。区域340は、ダブルパターンニグによらない層にも適用される比較的単純な最小間隔デザイン・ルールに違反することを回避すべくインターコネクトを配置することが許容された、バッファを表している。しかし、これらの区域340、更にはバッファ・セル310、320、330内に入り込んだ所においても、インターコネクトは、依然としてダブルパターニングに対応したデザイン・ルール(例えば、禁止ギャップ・デザイン・ルール、広幅インターコネクト間隔(wide interconnect spacing)デザイン・ルール、及び電圧依存性デザイン・ルール)に違反する危険がある。
図4は、図3の説明図の一部をより詳細に表したものである。図4は、直線410で表されるI/Oバッファ・セル310と320との間のセル境界に、ローカル・インターコネクトがどれだけ接近できるかを効果的に例示している。四角形420は、適切な程度に狭く隔置された一組のインターコネクトを示している。残念ながら、四角形430は、禁止ギャップ違反を示している。四角形440は、電圧依存性間隔違反(例えば、1ボルト信号が3ボルト信号に近づき過ぎている)を示している。四角形450は、狭幅インターコネクトに対する広幅インターコネクト間隔(wide-interconnect-to-thin-interconnect spacing)違反を示している。
図5は、U形状DBIFの実施形態540の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セル510、520、530の説明図である。図3と同様に、図5がトランジスタを示しているのではないことに留意されたい。見易くするために、M2、M3、及びM5のインターコネクト層だけが示されている。
図6は、図5の説明図の一部をより詳細に表したものである。図6は、DBIFの実施形態540が、I/Oバッファ・セル510、520内部に、金属間隔をどのように物理的に強化するのかを示している。I/Oバッファ・セル510、520はそれぞれ、複数のローカル・インターコネクトを具備している。DBIFの金属は、次のバッファ・セルのDBIFのインターコネクトに対し、既知の境界を作製する。上記2つのバッファからの内部金属は、それらの局部DBIFであって、相互に作用しないように各バッファのローカル・インターコネクトを物理的に分離する局部DBIFと相互作用するだけである。物理的に不変のDBIFだけが、セル境界を横切って作用し合うのであり、このようにして、内部のダブルパターニングによる経路設定がセル境界を横切って別のセルと作用し合うという複雑性及び不確実性を排除している。
I/Oリングの方法が許容する場合、図7が例示しているように、セル境界自体の上にDBIFを配置して、それらをオーバーラップさせることができる。図7は、側部セルDBIFの実施形態740の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セル710、720、730の説明図である。DBIFの実施形態740それぞれの下端部が依然としてI/Oバッファ・セル710、720、730の境界の内部にあることに留意されたい。これは、フィーチャがセルP&R境界を横切ることを許容するP&R方法を有するI/Oリングセルであって、他のセルとオーバーラップすることが許容されたI/Oリングセルに用いるためのものである。
シールリングとI/Oバッファ/サポートセル下端部との間に、キャパシタ又はESDセル等のサポートセルを使用する可能性があるため、U形状のもの又は閉じたリング(total ring)が最も有益であろう。SRAM等の大型コアブロック又はPLL、シリアライザ/デシリアライザ(サーデス)回路、若しくは温度センサ等のアナログブロックでは、場合によっては例外的に端子用の開口部が設けられる可能性があるものの、ダブルパターニングによるインターコネクトを使って、セル全体がリング状に形成されることになるであろう。図8が示すように、一実施形態では、DBIFは、ローカル・インターコネクト及びインターコネクト1に加えて、ベース層にも境界を形成するであろう基板連結部を具備している。図8は、P基板連結部を有するU形状DBIFの実施形態840の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セル810、820、830の説明図である。DBIFの代替実施形態は、I/Oバッファ・セル810、820、830の周りで、完全なリングになっている。図8の実施形態において、P基板連結部は、M1及び活性層及び植え込み層に加えてローカル・インターコネクトも組み込んで、ダブルパターニングによる層に加えて全ベース層(まだデュアルパターン化されていない)にも境界を形成している。
例示実施形態では、1つのファミリーにおいて、全I/Oバッファ及びサポートセルのセル境界内部に、積載後のインターコネクト層が配置されている。したがって、任意の2つのファミリーセルが並置される場合、それらは、複雑なデュアルパターン・フィーチャ・デザイン・ルールをクリアするが、インターコネクト密度及び内部セル経路設定にあまり弊害を与えない程度に十分に接近したまま残っている。
前述したように、I/Oバッファ、I/Oサポートセル、又は大型コアセルの周辺のフィーチャ不許可ゾーンを使用するという実証済みの方法は、ダブルパターニングによるローカル・インターコネクト及びインターコネクトを使った新しい技術ではうまく働かない。これは、ダブルパターニングによるフィーチャ用の全ての新規且つ複雑なデザイン・ルールに起因するとともに、新たな電圧依存性インターコネクト間隔デザイン・ルールに起因するものである。物理的フィーチャである決定性境界インターコネクト・フィーチャ(DBIF)の発明は、設計時間を短縮するとともに、可能性として近接するI/Oバッファ・セルのレイアウトを簡略化するであろう。DBIFは、内部フィーチャと外部フィーチャとを離隔するとともに、両者を既知のダブルパターニングによるインターコネクト・フィーチャに対してDRCクリーン(DRC clean)な状態に維持するように物理的境界を作製するため、本発明は更に、メモリ及びアナログブロック等の大型のコアセルの設計も容易化する。
図9は、側部セルDBIFの実施形態940の便益を備えた形でレイアウトされた、隣接する3つのI/Oバッファ・セル910、920、930のローカル・インターコネクト層の説明図である。図9は主に、側部セルDBIFの実施形態940を、隣接するI/Oバッファ・セル910、920、930に関連させて示す目的で提示されている。同図において、DBIFの実施形態940は、構造全体の一部としてローカル・インターコネクトを用いている。
図10は、図9の説明図の一部をより詳細に表したものであり、具体的には、DBIFのローカル・インターコネクトを拡大して示している。水平に延びるローカル・インターコネクト1010、1020は、DBIF940によって制限されている。図10において、DBIF940は、2つの縦方向のローカル・インターコネクト940a、940bを具備している。しかし、DBIF940の一部として、縦方向ローカル・インターコネクト940a、940bのうちの一方だけを活用してもよい。しかし、密度に適応するために2つが含まれている。密度に心配がなく、ローカル・インターコネクトだけ境界を形成しておけば、密接されたセル境界にまたがるダブルパターニング・デザイン・ルールに何ら違反しないことが確実な場合は、ローカル・インターコネクトはDBIF940の一部である必要はない。
図11は、フルリングDBIFの実施形態を有するコアブロックの説明図である。図11は、端子ピンが設置された左上の角部1130の金属2を除き、DBIF1120で完全に包囲されたコアブロック1110を示している。
図12は、ダブルパターニングCMOS技術のための物理的DBIFを生成するシステム及び方法の一実施形態のブロック図/フローチャート混成図である。図12は、回路生成プロセス内の、(おそらく数ある中の)セル設計/実装段階1210及びチップ実装段階1220なる2つの段階を示している。
セル設計/実装段階1210において、一人又は複数の設計者は、1つ又は複数のセル設計EDAツール1211を用いて論理的セル表現1212を生成する。例示実施形態において、ネットリストが論理的セル表現1212を表している。次いで、上記一人又は複数の設計者は、典型的には1つ又は複数のセルシミュレーションEDAツール1213を使って、論理的セル表現1212を1つ又は複数のシミュレーションにかける。上記1つ又は複数のシミュレーションの結果によっては、上記一人又は複数の設計者は、意図した動作をするまで、論理的セル表現1212を修正するように要求される場合がある。
次いで、1つ又は複数のゲート配置(gate placement)EDAツール1214及び1つ又は複数のローカル・インターコネクト経路設定EDAツール1215を用い、ゲート及びローカル・インターコネクトを配置することによって、論理的セル表現1212を物理的セル表現1216に変換する。但し、ゲート又はローカル・インターコネクトが配置される前に、論理的セル表現1212を実現するICの特定の層がダブルパターニングを必要とするか否かについて、判定が行われる。1つ又は複数の層がダブルパターニングを必要とする場合、本明細書に開示の知見は、そのセルに対してDBIFの生成を要請する。結果として、先にDBIFが生成されてレイアウトされることになり、それによって、この後にレイアウトされるゲート及びローカル・インターコネクトがダブルパターニング・デザイン・ルールに違反しないようにしている。
したがって、図12の実施形態において、1つ又は複数の層にダブルパターニングが必要であると考えた場合に、1つ又は複数のゲート配置EDAツール1214がDBIF生成器1217を呼び出す。DBIF生成器1217は、少なくともダブルパターニングを必要とするセルの層に対し、少なくとも1つのダブルパターニング・デザイン・ルールに基づき、DBIFを生成するように構成されている。図12の実施形態では、ダブルパターニング・デザイン・ルール・データベース1218内に上記少なくとも1つのダブルパターニング・デザイン・ルールが含まれる。このデータベースは、独立したデータベースであってもよければ、別のデータベースの一部であってもよい。
セルに対してDBIFが生成されると、1つ又は複数のゲート配置EDAツール1214は、まずそのDBIFを配置する。1つ又は複数のゲート配置EDAツール1214は、DBIFを先に配置した後、セル内にゲートを配置する。1つ又は複数のゲート配置EDAツール1214と関連付けられた1つ又は複数のローカル・インターコネクト経路設定EDAツール1215は、ゲートが配置されると、セル内部でローカル・インターコネクトの経路を設定するように構成されている。
1つ又は複数のセル設計EDAツール1211、論理的セル表現1212、1つ又は複数のセルシミュレーションツール1213、1つ又は複数のゲート配置EDAツール1214、1つ又は複数のローカル・インターコネクト経路設定EDAツール1215、物理的セル表現1216、DBIF生成器1217、及びデータベース1218の間で展開している矢印線は、情報の流れ、及びセル設計/実装段階1210内で行われる少なくとも一部のプロセスが反復性の性質を有することを模式的に表すことを意図されている。
チップ実装段階1220では、1つ又は複数のセル配置EDAツール1221を用いて、セルを相互に対して配置することによって、物理的セル表現1216を他のセル(図示省略)の物理的セル表現と一緒に物理的チップ表現1223に変換する。
更に、チップ実装段階1220において、1つ又は複数のセル配置EDAツール1221に関連付けられた1つ又は複数のセル相互間インターコネクト経路設定EDAツール1222は、セル相互間インターコネクトの経路設定を多数のセル間で行うように構成されている。1つ又は複数のセル配置EDAツール1221、1つ又は複数のセル相互間インターコネクト経路設定ツール1222、及び物理的チップ表現1223の間で展開している矢印線は、チップ実装段階1220内部で行われるプロセスの全体的流れを模式的に表すことを意図されている。
物理的チップ表現1223が完了したときに、それの動作が適正であることを確認するために、更なるシミュレーションを行うことができる。最終的に、物理的チップ表現1223を用いて、リソグラフィック回路製造装置に用いられるマスクが生成される。このときに、図12にあるように、「テープアウト(tapeout)」と呼ばれる1つの成果に到達する。
市場で入手可能な種々のEDAツールは、前に説明したシステム及び方法を遂行するように構成することが可能であることに留意されたい。一実施形態では、DBIF生成器1217は、市場で入手可能なゲート配置EDAツールの環境内で動作するプログラム、おそらくスクリプト、の形態を成す。例えば、Mountain View,CaliforniaのSynopsys,Inc.から市販されているGalaxy Custom Designerは、ゲート配置EDAツールである。したがって、本明細書に記載のシステム及び方法の種々の実施形態は、ミックスド・セル・ライブラリを使って回路を設計し実装するためのプログラム命令を含むコンピュータ可読記録媒体の形態を成すことができる。一実施形態では、コンピュータシステムの1つ又は複数のプロセッサによってプログラム命令が実行されると、上記1つ又は複数のプロセッサは、(1)少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャを生成するとともに、(2)上記決定性境界インターコネクト・フィーチャ及び上記セルの他のフィーチャを、それらに対して配置する。
本出願に関連する技術分野の当業者であれば、説明した実施形態に対し、他にも更なる追加、省略、交換、及び改造を行い得ることを理解するであろう。

Claims (10)

  1. ダブルパターニング技術のためのレイアウトを生成するシステムであって、
    少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャを生成するように構成された、決定性境界インターコネクト・フィーチャ生成器と、
    前記決定性境界インターコネクト・フィーチャ生成器と関連付けられ、前記決定性境界インターコネクト・フィーチャ及び前記セルの他のフィーチャをそれらに対して配置するように構成されたセル配置ツール及びインターコネクト経路設定ツールと
    を備えたシステム。
  2. 前記決定性境界インターコネクト・フィーチャ生成器は、決定性境界インターコネクト・フィーチャのファミリーを、同じ数量のインターコネクト層を使って生成するように更に構成される、請求項1に記載のシステム。
  3. 前記インターコネクト層は、ダブルパターニング層に限定される、請求項2に記載のシステム。
  4. 前記決定性境界インターコネクト・フィーチャは、集積回路の電力レールに接続されるように構成される、請求項1に記載のシステム。
  5. 前記決定性境界インターコネクト・フィーチャは、キャパシタで使用されるように構成される、請求項1に記載のシステム。
  6. 前記決定性境界インターコネクト・フィーチャは、側部セル決定性境界インターコネクト・フィーチャ及びU形状決定性境界インターコネクト・フィーチャのうちの一方であり、I/Oバッファ・セル及びI/Oサポートセルのうちの一方に対応する、請求項1に記載のシステム。
  7. 前記決定性境界インターコネクト・フィーチャは、フルリング決定性境界インターコネクト・フィーチャであり、コアブロック・セルに対応する、請求項1に記載のシステム。
  8. 前記決定性境界インターコネクトは、1つ又は複数の導電性材料で形成され、前記少なくとも1つのダブルパターニング・デザイン・ルールを使って画成されるとともにレイアウトされる物理的フィーチャである、請求項1に記載のシステム。
  9. ダブルパターニング技術のためのレイアウトを生成する方法であって、
    少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャを生成することと、
    前記決定性境界インターコネクト・フィーチャ及び前記セルの他のフィーチャを、それらに対して配置することと
    を含む方法。
  10. ミックスド・セル・ライブラリを使って回路を設計するとともに実装するためのプログラム命令を含むコンピュータ可読記録媒体であって、
    コンピュータシステムの1つ又は複数のプロセッサによって前記プログラム命令が実行されると、前記1つ又は複数のプロセッサが、
    少なくとも1つのダブルパターニング・デザイン・ルールに基づいて、あるセルに対して決定性境界インターコネクト・フィーチャを生成し、且つ
    前記決定性境界インターコネクト・フィーチャ及び前記セルの他のフィーチャを、それらに対して配置する
    コンピュータ可読記録媒体。
JP2013160071A 2012-08-01 2013-08-01 ダブルパターニング技術のための物理的決定性境界インターコネクト・フィーチャを生成するシステム及び方法 Expired - Fee Related JP5694463B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/564,159 US20140040847A1 (en) 2012-08-01 2012-08-01 System and method for generating physical deterministic boundary interconnect features for dual patterning technologies
US13/564,159 2012-08-01

Publications (2)

Publication Number Publication Date
JP2014032673A true JP2014032673A (ja) 2014-02-20
JP5694463B2 JP5694463B2 (ja) 2015-04-01

Family

ID=49110974

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013160071A Expired - Fee Related JP5694463B2 (ja) 2012-08-01 2013-08-01 ダブルパターニング技術のための物理的決定性境界インターコネクト・フィーチャを生成するシステム及び方法

Country Status (6)

Country Link
US (1) US20140040847A1 (ja)
EP (1) EP2693351A1 (ja)
JP (1) JP5694463B2 (ja)
KR (1) KR101460448B1 (ja)
CN (1) CN103577634A (ja)
TW (1) TW201407397A (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US10296695B1 (en) 2014-03-31 2019-05-21 Cadence Design Systems, Inc. Method, system, and computer program product for implementing track patterns for electronic circuit designs
JP6373150B2 (ja) 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
EP3200072B1 (en) * 2015-03-24 2019-08-14 Huawei Technologies Co., Ltd. Method for updating terminal system, terminal and system
US9659138B1 (en) 2015-03-31 2017-05-23 Cadence Design Systems, Inc. Methods, systems, and computer program product for a bottom-up electronic design implementation flow and track pattern definition for multiple-patterning lithographic techniques
US9904756B1 (en) * 2015-03-31 2018-02-27 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing DRC clean multi-patterning process nodes with lateral fills in electronic designs
US9652579B1 (en) 2015-03-31 2017-05-16 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing DRC clean multi-patterning process nodes with parallel fills in electronic designs
EP3414642A4 (en) 2016-02-08 2020-09-30 Chaologix, Inc. SIDE CHANNEL CONSCIOUS AUTOMATIC PLACE AND ROUTE
US9996655B2 (en) 2016-03-04 2018-06-12 Sandisk Technologies Llc Skeleton I/O generation for early ESD analysis
KR102514044B1 (ko) * 2016-03-22 2023-03-24 삼성전자주식회사 집적 회로 및 집적 회로의 설계 방법
US10572615B2 (en) * 2017-04-28 2020-02-25 Synopsys, Inc. Placement and routing of cells using cell-level layout-dependent stress effects
US10559558B2 (en) 2017-09-29 2020-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pin modification for standard cells
US10878165B2 (en) * 2018-07-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same
US11449660B1 (en) * 2020-03-10 2022-09-20 Synopsys, Inc. Method to perform secondary-PG aware buffering in IC design flow

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011164922A (ja) * 2010-02-09 2011-08-25 Renesas Electronics Corp 半導体集積回路のレイアウト装置、及び半導体集積回路のレイアウト方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6898068B2 (en) * 2003-09-24 2005-05-24 Texas Instruments Incorporated Dual mask capacitor for integrated circuits
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8255837B2 (en) * 2009-02-03 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for cell boundary isolation in double patterning design
JP2010278189A (ja) 2009-05-28 2010-12-09 Renesas Electronics Corp 半導体集積回路の設計方法及び設計システム
US8219939B2 (en) * 2009-11-12 2012-07-10 Advanced Micro Devices, Inc. Method of creating photolithographic masks for semiconductor device features with reduced design rule violations
US8507957B2 (en) * 2011-05-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layouts with power rails under bottom metal layer
US8607172B2 (en) * 2011-10-06 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods of designing the same
US10283437B2 (en) * 2012-11-27 2019-05-07 Advanced Micro Devices, Inc. Metal density distribution for double pattern lithography

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011164922A (ja) * 2010-02-09 2011-08-25 Renesas Electronics Corp 半導体集積回路のレイアウト装置、及び半導体集積回路のレイアウト方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN6014038124; Christopher Cork, et al.: 'Large-scale double-patterning compliant layouts for DP engine and design rule development.' Proc. of SPIE, [online] Vol.7275, 20090312, pp.72751K-1〜72751K-7, SPIE *

Also Published As

Publication number Publication date
CN103577634A (zh) 2014-02-12
EP2693351A1 (en) 2014-02-05
KR20140017438A (ko) 2014-02-11
US20140040847A1 (en) 2014-02-06
JP5694463B2 (ja) 2015-04-01
TW201407397A (zh) 2014-02-16
KR101460448B1 (ko) 2014-11-10

Similar Documents

Publication Publication Date Title
JP5694463B2 (ja) ダブルパターニング技術のための物理的決定性境界インターコネクト・フィーチャを生成するシステム及び方法
US8327301B2 (en) Routing method for double patterning design
US8347239B2 (en) Fast lithography compliance check for place and route optimization
US7989849B2 (en) Apparatuses and methods for efficient power rail structures for cell libraries
KR100847842B1 (ko) 반도체 소자의 마스크 제작방법
US11205032B2 (en) Integrated circuit design method, system and computer program product
US20130205266A1 (en) Coloring/grouping patterns for multi-patterning
TW201539225A (zh) 產生一可多重曝光顯影(mpl)積體電路布局的方法
US9965579B2 (en) Method for designing and manufacturing an integrated circuit, system for carrying out the method, and system for verifying an integrated circuit
US20240201727A1 (en) Clock signal distribution system, integrated circuit device and method
US20230403868A1 (en) Method of manufacturing integrated circuit device
Kahng Key directions and a roadmap for electrical design for manufacturability
JP4794130B2 (ja) マスクパターンデータ自動補正方法及びそのプログラム
TWI806874B (zh) 藉由整合填充技術用於製造積體電路之方法及系統
US7958482B2 (en) Stitched circuitry region boundary identification for stitched IC chip layout
US20230237234A1 (en) Integrated circuit design method, system and computer program product
US11900041B2 (en) Via coloring methods and systems
US8006211B2 (en) IC chip and design structure including stitched circuitry region boundary identification
JP2005235804A (ja) 半導体装置の設計方法及びプログラム
Lienig et al. Steps in Physical Design: From Netlist Generation to Layout Post Processing
Pikus Decomposition technologies for advanced nodes
US20230342533A1 (en) Method for intra-cell-repurposing dummy transistors and semiconductor device having repurposed formerly dummy transistors
KR100731109B1 (ko) 오프 그리드를 방지할 수 있는 반도체 소자의 설계 방법
US20230222278A1 (en) Method for generating routing structure of semiconductor device
US20230237235A1 (en) Method of implementing an integrated circuit having a narrow-width cell and a wider-width cell with same functionality

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20140819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140909

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140917

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150204

R150 Certificate of patent or registration of utility model

Ref document number: 5694463

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees