JP2013045339A - 配置配線装置 - Google Patents

配置配線装置 Download PDF

Info

Publication number
JP2013045339A
JP2013045339A JP2011183624A JP2011183624A JP2013045339A JP 2013045339 A JP2013045339 A JP 2013045339A JP 2011183624 A JP2011183624 A JP 2011183624A JP 2011183624 A JP2011183624 A JP 2011183624A JP 2013045339 A JP2013045339 A JP 2013045339A
Authority
JP
Japan
Prior art keywords
cells
placement
cell
gap
decap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011183624A
Other languages
English (en)
Inventor
Yasuo Moriguchi
保夫 森口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2011183624A priority Critical patent/JP2013045339A/ja
Publication of JP2013045339A publication Critical patent/JP2013045339A/ja
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】効率的にIR−Dropを防止することが可能な配置配線装置を提供すること。
【解決手段】複数のセルを配置した後、セル間の隙間が所定の大きさよりも小さい場合にはセル間の隙間が所定の大きさ以上となるようにセルの配置を変更する(S17)。そして、配置された複数のセルの間に容量セルを挿入し(S15)、配置された複数のセルの配線を行なう(S14,S16)。したがって、効率的にIR−Dropを防止することが可能となる。
【選択図】図2

Description

本発明は、半導体集積回路の配置配線を行なう技術に関し、特に、デカップリング容量セルを効率的に配置する配置配線装置に関する。
近年、半導体集積回路の高集積化が進んでおり、それに伴って半導体集積回路の配置配線を行なう配置配線装置にも様々な機能が搭載されてきている。たとえば、配置配線装置が配置配線を行なった後に、標準マクロセル(以下、単にマクロセルと呼ぶ。)の隙間にデカップリング容量Fillerセル(以下、Decapセルと呼ぶ。)が挿入される。このDecapセルの挿入量(容量)は、配置配線装置の配置配線、最適化の結果でほぼ決定される。これに関連する技術として、下記の特許文献1〜2に開示された発明がある。
特許文献1は、半導体集積回路装置全体の電源ノイズをより効果的に抑制することができるとともに半導体集積回路装置中央部の論理セルによって構成される集積回路の動作速度の低下を防止することを目的とする。半導体集積回路形成領域を電源主幹線および電源幹線を含むように複数のブロック領域に分割し、分割された各ブロック領域の電源主幹線の近傍に容量セルを配置する。その後に配置した容量セルより電源主幹線から離れた領域に複数の論理セルを配置する。また、ブロック領域に配置する容量セルの数量を分割したブロック領域毎の電源幹線の電圧降下値に応じて決定する。
特許文献2は、占有面積の増大を抑制しつつ、必要総容量の最適化をはかり、電源ノイズを抑えた半導体集積回路を設計する方法を提供することを目的とする。半導体集積回路の必要容量最適化に際し、セルの活性度を動的に考慮し、IRドロップ(電圧降下)を最適化し、より高精度の容量最適化を測る。すなわち、電源の電圧変動を抑制するために挿入する電源容量の見積もりに際し、回路中のセル活性率を考慮して全体で必要な容量成分を削減したり、セル動作タイミングを見積もって電源変動の激しい時間的な箇所を補強するためのみ必要な容量にしたりすることにより、面積的なデメリットを削減することができる。また、容量見積もり時に配線負荷モデルを使用することにより、設計初期の段階にかつ短時間で処理が可能になる。
特開2007−329339号公報 特開2006−185323号公報
上述のように、従来の配置配線装置において、Decapセルは、配置配線、タイミング最適化終了後に挿入され、挿入量は配置配線の結果でほぼ決定されていた。特に、タイミングクリティカルパスの配置領域は、タイミング最適化により自動配置セル間の配置間隔が狭くなる傾向にある。
また、挿入される1つ1つのDecapセルが小さくなることで容量値も少なくなり、IR−Drop削減効果が少なくなる傾向にある。また、チップ面積削減を同時に行なえば、さらにDecapセルはクリティカルパス領域から離れた場所にのみ挿入される可能性が高くなる。
従来の対策としては、配置前のフロアプラン作成時(自動配置前)に、予めDecapセルを等間隔に配置しておくなどの方法もあるが、タイミング最適化への妨げになる可能性が高くなり面積が大きくなるといった問題点がある。
また、EDAツールによって最大IR−Drop箇所を中心に自動でDecapセルを挿入する方法もあるが、ツール実行TATが増加する上、面積あたりのDecapセル挿入量効果は少ない。
本発明は、上記問題点を解決するためになされたものであり、その目的は、効率的にIR−Dropを防止することが可能な配置配線装置を提供することである。
本発明の一実施例によれば、デカップリング用の容量セルを挿入する配置配線装置が提供される。複数のセルを配置した後、セル間の隙間が所定の大きさよりも小さい場合にはセル間の隙間が所定の大きさ以上となるようにセルの配置を変更する。そして、配置された複数のセルの間に容量セルを挿入し、配置された複数のセルの配線を行なう。
本発明の一実施例によれば、セル間の隙間が所定の大きさよりも小さい場合にはセル間の隙間が所定の大きさ以上となるようにセルの配置を変更して容量セルを挿入するので、効率的にIR−Dropを防止することが可能となる。
本発明の第1の実施の形態における配置配線装置のハードウェア構成例を示すブロック図である。 本発明の第1の実施の形態における配置配線装置の処理手順を説明するためのフローチャートである。 本発明の第1の実施に形態における配置配線装置による配置全体のイメージを示す図である。 (a)は、セル間の隙間調整を行なう前のセル配置を示す図である。(b)は、セル間の隙間調整を行なった後のセル配置を示す図である。 サイズの違うDecapセルを配置したときの容量値を示す図である。 本発明の第2の実施に形態における配置配線装置による配置全体のイメージを示す図である。 本発明の第5の実施の形態における配置配線装置の処理手順を説明するためのフローチャートである。 本発明の第5の実施に形態における配置配線装置による配置全体のイメージを示す図である。 (a)は、セル間の隙間調整を行なう前のセル配置を示す図である。(b)は、セル間の隙間調整を行なった後のセル配置を示す図である。
(第1の実施の形態)
図1は、本発明の第1の実施の形態における配置配線装置のハードウェア構成例を示すブロック図である。配置配線装置は、一般的なコンピュータによって実現され、コンピュータ本体1、ディスプレイ装置2、FD(Flexible Disk)4が装着されるFDドライブ3、キーボード5、マウス6、CD−ROM(Compact Disc-Read Only Memory)8が装着されるCD−ROM装置7、およびネットワーク通信装置9を含む。配置配線プログラムは、FD4またはCD−ROM8等の記録媒体によって供給される。配置配線プログラムがコンピュータ本体1によって実行されることにより、マクロセルの隙間にDecapセルが挿入される。また、配置配線プログラムは他のコンピュータより通信回線を経由し、コンピュータ本体1に供給されてもよい。
また、コンピュータ本体1は、CPU10、ROM(Read Only Memory)11、RAM(Random Access Memory)12およびハードディスク13を含む。CPU10は、ディスプレイ装置2、FDドライブ3、キーボード5、マウス6、CD−ROM装置7、ネットワーク通信装置9、ROM11、RAM12またはハードディスク13との間でデータを入出力しながら処理を行なう。FD4またはCD−ROM8に記録された配置配線プログラムは、CPU10によりFDドライブ3またはCD−ROM装置7を介してハードディスク13に格納される。CPU10は、ハードディスク13から適宜配置配線プログラムをRAM12にロードして実行することによって、マクロセルの隙間にDecapセルが挿入される。
図2は、本発明の第1の実施の形態における配置配線装置の処理手順を説明するためのフローチャートである。まず、配置配線装置は、論理回路に基づいてセルを配置し(S11)、CTS(クロック・ツリー合成)を行なう(S12)。このとき、並行して任意の大きさのDecapセルをボーナスセル扱いで挿入しておく(S21)。Decapセルの大きさは可能な限り大きくするのが望ましいが、デザイン、セル占有率などの条件に合わせて適度な大きさとする。
そして、挿入したDecapセルを配線直前に削除しておく(S22)。これによって、マクロセル間の間隔(隙間)が平均的に広くなるように配置することができる。
次に、Decap対策を行なうか否かが判定される(S13)。Decap対策を行なわない場合は(S13,1)、ステップS14に処理が進む。また、Decap対策を行なう場合は(S13,2)、設計情報記述フォーマットDEF(Design Exchange Format)21およびライブラリ記述フォーマットLEF(Library Exchange Format)22に基づいてスクリプトを実行することにより、Decapセルの挿入領域を調整する(S17)。
図3は、本発明の第1の実施に形態における配置配線装置による配置全体のイメージを示す図である。また、図4(a)は、セル間の隙間調整を行なう前のセル配置を示す図である。図4(a)は、図3に示す配置全体31の中の領域32を拡大したものである。セル間の隙間は、2〜11UAとなっている。なお、a〜fは、それぞれセルを示している。
図4(b)は、セル間の隙間調整を行なった後のセル配置を示す図である。図4(b)に示すように、セル間の隙間が2〜10UAの場合は、セルの配置を調整してセル間の隙間が11UAとなるようにする。このセル間の隙間である11UAは、デザインごとに任意の値とする。これによって、特定の大きさのDecapセルをセルの隙間に挿入することができる。
次に、Decapセルの挿入領域を調整した後のDEF23に基づいて配線を行ない(S14)、Decapセルを挿入し(S15)、ECO配線を行なう(S16)。
図5は、サイズの違うDecapセルを配置したときの容量値を示す図である。図5に示すように、セルの両サイドには隣接対策が必要であるため、小さいDecapセルを並べるよりも、大きなDecapセルを並べるほうがより大きな容量値を得ることができるようになる。
以上説明したように、本実施の形態における配置配線装置によれば、セル間の隙間を調整した後にDecapセルを挿入するようにしたので、面積あたりのDecapセルの容量値を大きくすることができ、効率的にIR−Dropを防止することが可能となった。
(第2の実施の形態)
第1の実施の形態においては、自動配置配線されるスタンダードセル(コア)領域全体のセルの隙間を調整するものであった。本発明の第2の実施の形態においては、最大IR−Drop領域のセルの隙間のみを調整するものである。
本発明の第2の実施の形態における配置配線装置の構成は、図1に示す第1の実施の形態における配置配線装置の構成と同様である。したがって、重複する構成および機能の詳細な説明は繰り返さない。
図6は、本発明の第2の実施に形態における配置配線装置による配置全体のイメージを示す図である。配置全体41の中の最大IR−Drop領域42に対してセル間の隙間の調整を行なう。
具体的には、図2に示すステップS21で任意の大きさのDecapセルを挿入する際、およびステップS17でDecapセルの挿入領域を調整する際に領域42に限定してこれらの処理を行なう。これによって、最大IR−Drop領域に限定してDecapセルの容量値を増加させることができる。
以上説明したように、本実施の形態における配置配線装置によれば、最大IR−Drop領域に限定してDecapセルの挿入領域を調整するようにしたので、第1の実施の形態において説明した効果に加えて、トータルのDecapセルの挿入量を削減することができ、Decapセルのリーク電流値を削減することが可能となった。
(第3の実施の形態)
本発明の第3の実施の形態における配置配線装置の構成は、図1に示す第1の実施の形態における配置配線装置の構成と同様である。したがって、重複する構成および機能の詳細な説明は繰り返さない。
本実施の形態においては、図2に示すステップS17でDecapセルの挿入領域を調整する際に、図6に示す領域42以外の領域におけるセル間の隙間を任意のサイズに削減する。これによって、最大IR−Drop領域42においてはDecapセルの容量値を増やしてIR−Dropを削減することができると共に、領域42以外の領域においてはセル間の隙間を小さくすることができ、余分な配線を削減することができる。
以上説明したように、本実施の形態における配置配線装置によれば、最大IR−Drop領域42以外の領域においてセル間の隙間を小さくするようにしたので、第2の実施の形態において説明した効果に加えて、余分な配線を削減することで配線容量を小さくして電力削減を図ることが可能となった。
(第4の実施の形態)
本発明の第4の実施の形態における配置配線装置の構成は、図1に示す第1の実施の形態における配置配線装置の構成と同様である。したがって、重複する構成および機能の詳細な説明は繰り返さない。
本実施の形態においては、図2に示すステップS21で任意の大きさのDecapセルを挿入する際、およびステップS17でDecapセルの挿入領域を調整する際に、電源供給元と動作率の高いモジュールとの間に集中的にDecapセルを挿入する。
以上説明したように、本実施の形態における配置配線装置によれば、電源供給元と動作率の高いモジュールとの間に集中的にDecapセルを挿入するようにしたので、第1の実施の形態において説明した効果に加えて、電源ライン(幹線、メッシュ)の動的なIR−Dropをさらに削減することが可能となった。
(第5の実施の形態)
本発明の第5の実施の形態における配置配線装置の構成は、図1に示す第1の実施の形態における配置配線装置の構成と同様である。したがって、重複する構成および近状の詳細な説明は繰り返さない。
図7は、本発明の第5の実施の形態における配置配線装置の処理手順を説明するためのフローチャートである。まず、配置配線装置は、論理回路に基づいてセルを配置し(S31)、CTS(クロック・ツリー合成)を行ない(S32)、配線を行なう(S33)。
このとき、並行してクロックライン(CLKライン)上のセル(クロックバッファ、FF)を抽出し、それらの周辺の領域に対して、第1の実施の形態と同様に、マクロセル間の間隔(隙間)が平均的に広くなるように配置する(S41)。そして、CTS(クロック・ツリー合成)を行ない(S42)、配線を行なってDEF21を生成する(S43)。
次に、DEF21およびLEF22に基づいてスクリプトを実行することにより、Decapセルの挿入領域を調整して、Decapセル挿入領域調整後のDEF23を生成する(S45)。そして、DEF23に基づいてDecapセルを挿入し(S34)、ECO配線を行なう(S35)。
図8は、本発明の第5の実施に形態における配置配線装置による配置全体のイメージを示す図である。配置全体51の中にクロック・ツリー52が配置されている。また、図9(a)は、セル間の隙間調整を行なう前のセル配置を示す図である。図9(a)は、図8に示す配置全体51の中の領域53を拡大したものである。領域53は、クロックラインの一部であるクロックバッファやFFを含む任意の領域を示している。セル間の隙間は、2〜11UAとなっている。なお、a〜fは、それぞれセルを示している。
図9(b)は、セル間の隙間調整を行なった後のセル配置を示す図である。図9(b)に示すように、クロックセル近辺のセル間の隙間が広がっている。なお、クロックセルは動かさないように設定しておき、クロック・ツリーのスキューを変えることなく、クロックセルを中心とした隙間に特定の大きなDecapセルを挿入することができる。
以上説明したように、本実施の形態における配置配線装置によれば、クロックセルを中心とした隙間に特定の大きなDecapセルを挿入することができるため、第1の実施の形態において説明した効果に加えて、動作率の高いクロックラインを中心に動的なIR−Dropの削減を図ることが可能となる。これによって、Decapセルの挿入量を削減できリーク電流を抑えることができると共に、さらに面積を削減することが可能となった。
今回開示された実施の形態は、すべての点で例示であって制限的なものではないと考えられるべきである。本発明の範囲は上記した説明ではなくて特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲内でのすべての変更が含まれることが意図される。
1 コンピュータ本体、2 ディスプレイ装置、3 FDドライブ、4 FD、5 キーボード、6 マウス、7 CD−ROM装置、8 CD−ROM装置、9 ネットワーク通信装置、21,23 DEF、22 LEF。

Claims (3)

  1. デカップリング用の容量セルを挿入する配置配線装置であって、
    複数のセルを配置した後、セル間の隙間が所定の大きさよりも小さい場合には前記セル間の隙間が前記所定の大きさ以上となるようにセルの配置を変更する配置手段と、
    前記配置手段によって配置された前記複数のセルの間に前記容量セルを挿入する挿入手段と、
    前記配置手段によって配置された前記複数のセルの配線を行なう配線手段とを含む、配置配線装置。
  2. 前記配置手段は、動作率が高いセル領域を抽出し、該セル領域内のセル間の隙間が所定の大きさよりも小さい場合には前記セル間の隙間が前記所定の大きさ以上となるようにセルの配置を変更する、請求項1記載の配置配線装置。
  3. 前記配置手段は、クロックライン上のセル領域を抽出し、該セル領域内のセル間の隙間が所定の大きさよりも小さい場合には前記セル間の隙間が前記所定の大きさ以上となるようにセルの配置を変更する、請求項1記載の配置配線装置。
JP2011183624A 2011-08-25 2011-08-25 配置配線装置 Withdrawn JP2013045339A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011183624A JP2013045339A (ja) 2011-08-25 2011-08-25 配置配線装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011183624A JP2013045339A (ja) 2011-08-25 2011-08-25 配置配線装置

Publications (1)

Publication Number Publication Date
JP2013045339A true JP2013045339A (ja) 2013-03-04

Family

ID=48009184

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011183624A Withdrawn JP2013045339A (ja) 2011-08-25 2011-08-25 配置配線装置

Country Status (1)

Country Link
JP (1) JP2013045339A (ja)

Similar Documents

Publication Publication Date Title
US8645893B1 (en) Method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance
US9740815B2 (en) Electromigration-aware integrated circuit design methods and systems
WO2010100871A1 (ja) 遅延ライブラリ生成システム
US11526646B2 (en) Side channel aware automatic place and route
JP2010066871A (ja) 半導体集積回路のレイアウト設計方法及びレイアウト設計装置
US20090293033A1 (en) System and method for layout design of integrated circuit
JP2008250630A (ja) デカップリングセル配置方法及びデカップリングセル配置装置
US8024680B2 (en) Minimal leakage-power standard cell library
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
Bhamidipati et al. A power delivery network and cell placement aware IR-drop mitigation technique: Harvesting unused timing slacks to schedule useful skews
US10089433B2 (en) Method for triple-patterning friendly placement
JP2004070721A (ja) 自動配置配線装置
US9275186B2 (en) Optimization for circuit migration
US9892226B2 (en) Methods for providing macro placement of IC
JP2010087244A (ja) 半導体集積回路、半導体集積回路のレイアウト方法、及び半導体集積回路のレイアウトプログラム
JP2013045339A (ja) 配置配線装置
JP2008112817A (ja) 電源スイッチ挿入方法及び電源スイッチ挿入装置
JP2010073728A (ja) 半導体集積回路レイアウト設計方法及び半導体集積回路レイアウト設計装置
JP2008176486A (ja) 多電源集積回路の設計方法、多電源集積回路の設計支援システム及びプログラム
JP6015456B2 (ja) 半導体装置の設計方法及びプログラム
US8843866B2 (en) Support apparatus, design support method, and computer-readable recording medium
JP4186890B2 (ja) 半導体集積回路の素子配置システム、素子配置方法、及びプログラム
EP4152201A1 (en) Systems and methods for circuit design dependent programmable maximum junction temperatures
JP2012137890A (ja) 集積回路のレイアウト設計方法、レイアウト設計装置、及び設計プログラム
JP2008152329A (ja) 回路解析方法、及び回路解析プログラム、回路シミュレーション装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20141104