JP2012124227A - Substrate cleaning method and substrate processing apparatus - Google Patents

Substrate cleaning method and substrate processing apparatus Download PDF

Info

Publication number
JP2012124227A
JP2012124227A JP2010271827A JP2010271827A JP2012124227A JP 2012124227 A JP2012124227 A JP 2012124227A JP 2010271827 A JP2010271827 A JP 2010271827A JP 2010271827 A JP2010271827 A JP 2010271827A JP 2012124227 A JP2012124227 A JP 2012124227A
Authority
JP
Japan
Prior art keywords
substrate
etching
wafer
foreign matter
holding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010271827A
Other languages
Japanese (ja)
Inventor
Akitake Tamura
明威 田村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010271827A priority Critical patent/JP2012124227A/en
Publication of JP2012124227A publication Critical patent/JP2012124227A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide technology which can satisfactorily remove foreign matter stuck to a substrate (e.g., particles and residues at etching time) by dry cleaning.SOLUTION: A silicon oxide film 8 formed on the surface of a wafer W by oxidation at ashing time is etched by hydrogen fluoride gas to remove particles P stuck to the wafer W together with the silicon oxide film 8. At the time of etching by hydrogen fluoride, the wafer W is set with its surface down, and further the wafer W is heated to be electrically charged, whereby heat migration and electrostatic forces, in addition to gravity, are made to act upon particles P. Also, after the silicon oxide film 8 is etched at ashing time, the surface of the wafer W is further oxidized by, for example, ozone gas and then etched by hydrogen fluoride gas. These successive steps are carried out once or twice or more, making it possible to remove the particles P more surely than ever.

Description

本発明は、基板上に付着している異物を除去する技術に関する。   The present invention relates to a technique for removing foreign matter adhering to a substrate.

基板に半導体装置を製造するための一連の工程において、プロセスモジュール内で行われるプロセス中や基板の搬送中に異物であるパーティクルが付着することがあり、そのまま基板に対して後工程が行われて半導体装置が製造されると歩留まりが低下する。このため、発塵抑制のための対策がとられているが、パーティクルが付着しやすいドライエッチングが行われた後の基板は、洗浄ステーションに搬入されて、洗浄処理が行われパーティクルが除去される。   In a series of steps for manufacturing a semiconductor device on a substrate, foreign particles may adhere to the substrate during the process performed in the process module or during the transfer of the substrate. When the semiconductor device is manufactured, the yield decreases. For this reason, measures are taken to suppress dust generation, but the substrate after dry etching, where particles are likely to adhere, is carried into a cleaning station and subjected to a cleaning process to remove particles. .

パーティクル除去を目的とした洗浄方法としては、アンモニア水と過酸化水素水との混合液によるリフトオフを利用したウェット洗浄、超音波等により物理的に除去するウェット洗浄、化学反応性ガスにより化学的にパーティクルを除去するドライ洗浄及びガスによる衝撃力を利用したドライ洗浄(エアロゾル洗浄)等が挙げられる。ウェット洗浄は、リフトオフした後のパーティクルが薬液中に移動し排出されるため再付着の懸念はないが、ウォーターマークの生成を回避するために複雑なレシピが必要となり、またパターンの線幅が狭くなると洗浄液の乾燥時に表面張力によりパターン倒れが起こるという問題がある。また、化学的なパーティクル除去では、パーティクルの組成が既知の場合でなければ適用できないし、特定の材質のパーティクルのみしか除去できない。ガスによる衝撃力を利用した洗浄については、パーティクルの除去能力と衝撃によるパターン倒れの起こる確率とがトレードオフの関係であることから、共に適用できる工程が限られている。   Cleaning methods aimed at particle removal include wet cleaning using lift-off with a mixture of ammonia water and hydrogen peroxide water, wet cleaning physically removed by ultrasonic waves, etc., and chemically reactive gas. Examples thereof include dry cleaning for removing particles and dry cleaning (aerosol cleaning) using an impact force of gas. In wet cleaning, the particles after lift-off move into the chemical and are discharged, so there is no concern about reattachment, but a complicated recipe is required to avoid the generation of watermarks, and the pattern line width is narrow. Then, there is a problem that the pattern collapses due to the surface tension when the cleaning liquid is dried. Chemical particle removal can be applied only when the composition of the particles is known, and only particles of a specific material can be removed. For cleaning using the impact force of gas, there are trade-offs between the ability to remove particles and the probability of pattern collapse due to impact, so the processes that can be applied together are limited.

特許文献1には、マクスウェル応力、ガス衝撃波、熱応力及び熱泳動力、機械的振動を利用したパーティクル除去について記載されている。また、特許文献2には、ガスクラスターによるパーティクルの物理的な除去について記載されている。しかし、両特許文献共に、本発明で述べるリフトオフを利用したドライ洗浄によるパーティクル除去については記載されていない。   Patent Document 1 describes particle removal using Maxwell stress, gas shock wave, thermal stress and thermophoretic force, and mechanical vibration. Patent Document 2 describes physical removal of particles by gas clusters. However, both patent documents do not describe particle removal by dry cleaning using lift-off described in the present invention.

特開2005−101539号公報JP 2005-101539 A 特開2001−137797号公報JP 2001-137797 A

本発明はこのような背景の下になされたものであり、その目的は、基板に付着している異物(パーティクルやエッチング時の残渣等)をドライ洗浄により良好に除去することができる技術を提供することにある。   The present invention has been made under such a background, and an object of the present invention is to provide a technique capable of satisfactorily removing foreign matters (particles, residues during etching, etc.) adhering to a substrate by dry cleaning. There is to do.

本発明における基板洗浄方法は、
基板を、表面が下を向くように保持部に保持する工程と、
基板の表面に酸化用のガスを供給して基板の表面を酸化する工程と、
前記保持部に保持された基板の下向きの表面にエッチングガスを供給して、前記工程により酸化された酸化膜をエッチングすることにより、基板の表面に付着している異物を酸化膜ごと除去する除去工程と、を含み、
この除去工程は、重力の作用に加えて、熱泳動力及び静電斥力の少なくとも一方を異物に作用させるために、基板を加熱する工程及び基板を帯電させる工程の少なくとも一方を併用して行われることを特徴とする。
The substrate cleaning method in the present invention is:
Holding the substrate in the holding portion so that the surface faces downward;
Supplying an oxidizing gas to the surface of the substrate to oxidize the surface of the substrate;
Removing the foreign matter attached to the surface of the substrate together with the oxide film by supplying an etching gas to the downward surface of the substrate held by the holding unit and etching the oxide film oxidized by the process Including a process,
This removal step is performed by using at least one of the step of heating the substrate and the step of charging the substrate in order to cause at least one of thermophoretic force and electrostatic repulsive force to act on the foreign matter in addition to the action of gravity. It is characterized by that.

また、他の本発明における基板洗浄方法は、
エッチングマスクを用いて、真空雰囲気にてエッチングガスによりエッチングした後の基板の表面に付着している異物を除去する方法において、
前記エッチング後に真空を破らずに、前記基板を表面が下を向くように保持部に保持する工程と、
前記保持部に保持された基板の下向きの表面にエッチングガスを供給して、当該基板の表層部をエッチングすることにより、基板の表面に付着している異物を表層部ごと除去する除去工程と、を含み、
この除去工程は、重力の作用に加えて、熱泳動力及び静電斥力の少なくとも一方を異物に作用させるために、基板を加熱する工程及び基板を帯電させる工程の少なくとも一方を併用して行われることを特徴とする。
In addition, the substrate cleaning method of the present invention is
In the method of removing foreign matter adhering to the surface of the substrate after etching with an etching gas in a vacuum atmosphere using an etching mask,
Holding the substrate in a holding portion so that the surface faces downward without breaking the vacuum after the etching;
An etching process is performed by supplying an etching gas to the downward surface of the substrate held by the holding unit, and etching the surface layer portion of the substrate to remove foreign matter adhering to the surface of the substrate together with the surface layer portion; Including
This removal step is performed by using at least one of the step of heating the substrate and the step of charging the substrate in order to cause at least one of thermophoretic force and electrostatic repulsive force to act on the foreign matter in addition to the action of gravity. It is characterized by that.

本発明における基板処理装置は、
基板を、表面が下を向くように保持する保持部を内部に有する処理容器と、
前記保持部に保持された基板の表面を酸化するために酸化用のガスを供給する酸化用のガス供給部と、
前記酸化用のガスにより酸化された基板の表面を下向きのままエッチングして、基板の表面に付着している異物を酸化膜ごと除去するためにエッチングガスを基板の表面に供給するエッチングガス供給部と、
基板上の異物に熱泳動力を作用させるために基板を加熱する加熱機構及び基板上の異物に静電斥力を作用させるために基板を帯電させる帯電機構のうちの少なくとも一方と、を備えたことを特徴とする。
The substrate processing apparatus in the present invention is
A processing container having a holding part for holding the substrate so that the surface faces downward;
An oxidizing gas supply unit that supplies an oxidizing gas to oxidize the surface of the substrate held by the holding unit;
An etching gas supply unit that etches the surface of the substrate oxidized by the oxidizing gas while facing downward, and supplies an etching gas to the surface of the substrate in order to remove foreign matter adhering to the surface of the substrate together with the oxide film When,
And at least one of a heating mechanism for heating the substrate to apply a thermophoretic force to the foreign matter on the substrate and a charging mechanism for charging the substrate to cause an electrostatic repulsive force to the foreign matter on the substrate. It is characterized by.

また、他の本発明における基板処理装置は、
エッチング用の処理容器を有し、エッチングマスクを用いてエッチングガスにより基板の表面をエッチングするエッチングモジュールと、
前記エッチング用の処理容器に気密に接続され、基板を搬送する基板搬送機構を有する真空搬送室と、
この真空搬送室に気密に接続され、基板を、表面が下を向くように保持するための保持部を内部に有する洗浄用の処理容器と、
前記保持部に保持された基板の表面に付着している異物を表層部ごと除去するためにエッチングガスを基板の表面に供給するエッチングガス供給部と、
基板上の異物に熱泳動力を作用させるために基板を加熱する加熱機構及び基板上の異物に静電斥力を作用させるために基板を帯電させる帯電機構のうちの少なくとも一方と、を備えたことを特徴とする。
In addition, the substrate processing apparatus in another aspect of the present invention is
An etching module having a processing container for etching, and etching the surface of the substrate with an etching gas using an etching mask;
A vacuum transfer chamber that is airtightly connected to the processing container for etching and has a substrate transfer mechanism for transferring a substrate;
A processing container for cleaning, which is hermetically connected to the vacuum transfer chamber and has a holding unit for holding the substrate so that the surface faces downward,
An etching gas supply unit for supplying an etching gas to the surface of the substrate in order to remove the entire surface layer of the foreign matter adhering to the surface of the substrate held by the holding unit;
And at least one of a heating mechanism for heating the substrate to apply a thermophoretic force to the foreign matter on the substrate and a charging mechanism for charging the substrate to cause an electrostatic repulsive force to the foreign matter on the substrate. It is characterized by.

本発明は、異物が付着した基板の表面に対して、ドライ環境下でリフトオフすることにより、ウェット洗浄の場合に問題となっているウォーターマークの生成やパターン倒れ等が起こることなく、基板から異物を遊離させて除去することができる。また、このとき、基板の表面を下方に向けて重力を利用することにより、基板から遊離した大きな異物の基板への再付着を抑制するとともに、基板から遊離した小さな異物については熱泳動や静電気によりその再付着を抑制する。このことにより、基板に対して良好な異物除去処理を行うことができる。   The present invention lifts off the surface of the substrate to which the foreign substance has adhered in a dry environment, so that the generation of a watermark or pattern collapse, which is a problem in wet cleaning, does not occur from the substrate. Can be liberated and removed. At this time, the surface of the substrate is directed downward and gravity is used to suppress the reattachment of the large foreign matter released from the substrate to the substrate, and the small foreign matter released from the substrate is caused by thermophoresis or static electricity. The reattachment is suppressed. As a result, a good foreign matter removal process can be performed on the substrate.

本発明の実施形態に係る基板処理装置の構成を示す概要図である。It is a schematic diagram showing the composition of the substrate processing device concerning the embodiment of the present invention. 上述の基板処理装置に設けられている洗浄モジュールを示す縦断側面図である。It is a vertical side view which shows the washing | cleaning module provided in the above-mentioned substrate processing apparatus. 上述の洗浄モジュールに備えられている基板受け渡し機構を示す平面図である。It is a top view which shows the board | substrate delivery mechanism with which the above-mentioned washing | cleaning module is equipped. 上述の洗浄モジュールに備えられているガス供給部を示す斜視図である。It is a perspective view which shows the gas supply part with which the above-mentioned washing | cleaning module is equipped. 洗浄工程における作用を説明する基板部分の概念断面図である。It is a conceptual sectional view of a substrate part explaining an operation in a washing process. 各力学作用によるパーティクルの移動速度を示す特性図である。It is a characteristic view which shows the moving speed of the particle by each dynamic action. 第2の実施形態に係る保持反転機構を示す横断平面図である。It is a cross-sectional top view which shows the holding | maintenance inversion mechanism which concerns on 2nd Embodiment. 第3の実施形態に係る洗浄モジュールを示す縦断側面図である。It is a vertical side view which shows the washing | cleaning module which concerns on 3rd Embodiment. 第3の実施形態に係る保持部を示す縦断側面図である。It is a vertical side view which shows the holding | maintenance part which concerns on 3rd Embodiment. 洗浄処理される基板の他の例を示す縦断面概要図である。It is a longitudinal section schematic diagram showing another example of a substrate to be cleaned. 洗浄処理される基板の他の例を示す縦断面概要図である。It is a longitudinal section schematic diagram showing another example of a substrate to be cleaned.

本発明の基板洗浄装置の実施形態について、図1〜図4を用いて説明する。図1は、本発明の基板洗浄装置を含むマルチチャンバシステムをなす基板処理装置1である。図1中11は大気搬送室であり、この大気搬送室11には、基板である半導体ウエハ(以下、ウエハという。)Wの搬入出を行うための搬入出ステージ12とウエハWの位置決め用のアライメントモジュール13が隣接して設けられており、これら搬入出ステージ12、アライメントモジュール13及び後述のロードロック室15の間でウエハWの受け渡しを行う基板搬送機構14が設けられている。また、大気搬送室11は、ロードロック室15を介して真空搬送室16に接続されている。   An embodiment of a substrate cleaning apparatus of the present invention will be described with reference to FIGS. FIG. 1 shows a substrate processing apparatus 1 constituting a multi-chamber system including a substrate cleaning apparatus of the present invention. In FIG. 1, reference numeral 11 denotes an atmospheric transfer chamber. In the atmospheric transfer chamber 11, a loading / unloading stage 12 for loading / unloading a semiconductor wafer (hereinafter referred to as a wafer) W as a substrate and a positioning of the wafer W are provided. An alignment module 13 is provided adjacent to each other, and a substrate transfer mechanism 14 for transferring the wafer W between the loading / unloading stage 12, the alignment module 13, and a load lock chamber 15 described later is provided. The atmospheric transfer chamber 11 is connected to the vacuum transfer chamber 16 via the load lock chamber 15.

この真空搬送室16には、複数のエッチングモジュール17と本発明の実施形態に係わる基板洗浄装置である洗浄モジュール2とが気密に接続されている。また、真空搬送室16には、回転、伸縮自在な基板搬送機構18が設けられており、ロードロック室15及び前記各モジュール17、2との間でウエハWの受け渡しを行うことができるようになっている。基板処理装置1は制御部10を備えており、この制御部10により洗浄モジュール2を含めた各機器の動作を制御している。   The vacuum transfer chamber 16 is airtightly connected with a plurality of etching modules 17 and a cleaning module 2 that is a substrate cleaning apparatus according to an embodiment of the present invention. Further, the vacuum transfer chamber 16 is provided with a substrate transfer mechanism 18 that can be rotated and expanded, so that the wafer W can be transferred between the load lock chamber 15 and the modules 17 and 2. It has become. The substrate processing apparatus 1 includes a control unit 10, and the operation of each device including the cleaning module 2 is controlled by the control unit 10.

次に、洗浄モジュール2について説明する。図2に示すように、洗浄モジュール2は、真空容器である処理容器3を備え、この処理容器3内には、ウエハWを保持して反転させるための保持反転機構6が設けられている。この保持反転機構6は、図2及び図3に示すように、真空搬送室16とは反対側の処理容器3の側壁に設けられた回転機構67、この回転機構67から処理容器3の中央に向かって水平(図中X方向)に伸びる回転軸63、この回転軸63の先端(回転機構67と反対側の端)において回転軸63と直交するように水平(図3中Y方向)に伸びるガイド部材64を備えている。   Next, the cleaning module 2 will be described. As shown in FIG. 2, the cleaning module 2 includes a processing container 3 that is a vacuum container, and a holding and reversing mechanism 6 for holding and reversing the wafer W is provided in the processing container 3. As shown in FIGS. 2 and 3, the holding and reversing mechanism 6 includes a rotation mechanism 67 provided on the side wall of the processing container 3 on the side opposite to the vacuum transfer chamber 16, and the rotation mechanism 67 to the center of the processing container 3. A rotating shaft 63 extending horizontally (X direction in the figure) toward the horizontal, and extending horizontally (Y direction in FIG. 3) so as to be orthogonal to the rotating shaft 63 at the tip (end opposite to the rotating mechanism 67) of the rotating shaft 63. A guide member 64 is provided.

このガイド部材64の両端には、各々X方向に伸び、互いに同期して近付くように、あるいは遠ざかるように移動する一対のクランプ部材62、62が設けられている。これらクランプ部材62、62における互いに対向する部位は、ウエハWの周縁を狭圧保持する(クランプする)ようにウエハWの周縁に対応する円弧形状になっている。クランプ部材62、62を移動する機構としては、ガイド部材64に沿って伸びると共に、両端部が互いに逆ネジの関係となるようにネジ切りされたボールネジをクランプ部材62、62に螺合させ、このボールネジをモータで回転させる機構などにより構成される。この場合、ボールネジを正転、逆転させることで、クランプ部材62、62が互いに接近、離隔する動作を行い、ウエハWのクランプ保持、その解除を行うことができる。また、前記回転機構67により回転軸63をX方向を軸として180°回転させることにより、クランプ部材62により保持されたウエハWの向きを表裏逆転、つまりウエハWの表面を上向きと下向きとの間で反転させることができる。   At both ends of the guide member 64, there are provided a pair of clamp members 62, 62 that extend in the X direction and move so as to approach each other or move away from each other. The portions of the clamp members 62, 62 facing each other have an arc shape corresponding to the periphery of the wafer W so as to hold (clamp) the periphery of the wafer W with a narrow pressure. As a mechanism for moving the clamp members 62, 62, a ball screw that extends along the guide member 64 and is threaded so that both ends are in a reverse screw relationship with each other is screwed into the clamp members 62, 62. It consists of a mechanism that rotates a ball screw with a motor. In this case, by rotating the ball screw forward and backward, the clamping members 62 and 62 can move toward and away from each other, and the wafer W can be clamped and released. Further, the rotation mechanism 67 rotates the rotation shaft 63 by 180 degrees about the X direction, thereby reversing the direction of the wafer W held by the clamp member 62, that is, the surface of the wafer W between the upward and downward directions. Can be reversed.

処理容器3内には、ガス供給部7が備えられている。ガス供給部7は、図4に示すように、空洞のリング状部材の上面に、周方向に沿って、多数のガス吹き付け孔71が間隔をおいて穿設されている。ガス供給部7の下部には、ガス供給管72が接続されている。このガス供給管72は、処理容器3底面を貫通して、処理容器3の下方において分岐しており、その分岐の先では夫々開閉弁を介してフッ化水素(HF)ガス供給系76及びオゾン(O3)ガス供給系78に接続している。   A gas supply unit 7 is provided in the processing container 3. As shown in FIG. 4, the gas supply unit 7 has a large number of gas spray holes 71 formed at intervals on the upper surface of the hollow ring-shaped member along the circumferential direction. A gas supply pipe 72 is connected to the lower part of the gas supply unit 7. The gas supply pipe 72 penetrates the bottom surface of the processing container 3 and branches below the processing container 3, and at the end of the branch, a hydrogen fluoride (HF) gas supply system 76 and ozone are respectively connected via an on-off valve. (O3) Connected to the gas supply system 78.

また、処理容器3内の上部には、例えば赤外線ランプなどの輻射ランプからなる加熱機構4が設けられている。この加熱機構4は、その下方において反転機構6により保持されたウエハWに向けて赤外線を照射することでウエハWを加熱することができる。処理容器3の天井部には、排気口51が形成され、この排気口51は排気管52を介して真空排気機構である真空ポンプ5に接続されている。Gはゲートバルブ、31はウエハWの搬送口である。   In addition, a heating mechanism 4 made of a radiant lamp such as an infrared lamp is provided in the upper part of the processing container 3. The heating mechanism 4 can heat the wafer W by irradiating infrared rays toward the wafer W held by the reversing mechanism 6 below. An exhaust port 51 is formed in the ceiling portion of the processing container 3, and the exhaust port 51 is connected to a vacuum pump 5 that is a vacuum exhaust mechanism via an exhaust pipe 52. G is a gate valve, and 31 is a wafer W transfer port.

次に、本実施形態における洗浄モジュールの作用について説明する。先ず、これから処理されるウエハWを複数枚収納したキャリアが、基板処理装置1の搬入出ステージ12に載置される。大気搬送室11内の搬送機構14により、前記キャリアからウエハWを1枚取り出して、このウエハWの保持位置をアライメントモジュール13にて調整した後、ウエハWをロードロック室15に搬入する。このウエハWは、真空搬送室16の搬送機構18により受け取られ、真空搬送室16を介してエッチングモジュール17に搬入される。エッチングモジュール17は、真空容器内に平行平板電極をなす上部電極及びウエハW載置台を兼用する下部電極が設けられ、これら電極間に高周波電力を供給してエッチングガスをプラズマ化するように構成されている。ウエハWの表面構造は、ポリシリコン層83の上にレジストマスクが形成されており、エッチングモジュール17にて臭化水素(HBr)ガスなどのプラズマによりエッチングすることでウエハWの表面にトレンチやホール(凹部)85を形成する。次いで、このウエハWに対して酸素(O2)プラズマでアッシングすることにより前記レジストマスクが除去され、かつトレンチ85内部も含めたウエハWの表面全体に亘って酸化ケイ素(SiO2)薄膜8が形成される(図5中(a))。   Next, the operation of the cleaning module in this embodiment will be described. First, a carrier containing a plurality of wafers W to be processed is placed on the carry-in / out stage 12 of the substrate processing apparatus 1. One wafer W is taken out of the carrier by the transfer mechanism 14 in the atmospheric transfer chamber 11, the holding position of the wafer W is adjusted by the alignment module 13, and then the wafer W is transferred into the load lock chamber 15. The wafer W is received by the transfer mechanism 18 of the vacuum transfer chamber 16 and is carried into the etching module 17 through the vacuum transfer chamber 16. The etching module 17 is provided with an upper electrode forming a parallel plate electrode and a lower electrode also serving as a wafer W mounting table in a vacuum vessel, and is configured to supply high-frequency power between these electrodes to turn the etching gas into plasma. ing. As for the surface structure of the wafer W, a resist mask is formed on the polysilicon layer 83, and trenches or holes are formed on the surface of the wafer W by etching with plasma such as hydrogen bromide (HBr) gas by the etching module 17. (Recess) 85 is formed. Next, the resist mask is removed by ashing the wafer W with oxygen (O 2) plasma, and a silicon oxide (SiO 2) thin film 8 is formed over the entire surface of the wafer W including the inside of the trench 85. ((A) in FIG. 5).

そして、エッチングモジュール17から搬出されたウエハWは、被処理面を上に向けた状態でその裏面側にて搬送機構18により保持され、取り出される。このウエハWは、この状態のまま、真空搬送室16及び搬入口31を介して洗浄モジュール2に搬入される。そして、ウエハWをクランプ部材62により挟み込んで保持して、搬送機構18を少し下降させる。これにより、搬送機構18から保持反転機構6にウエハWが受け渡され、続いて搬送機構18を洗浄モジュール2の外に退出させて、ゲートバルブGを閉じる。保持反転機構6は、クランプ部材62に保持されたウエハWを表裏逆転させる。   Then, the wafer W unloaded from the etching module 17 is held and taken out by the transfer mechanism 18 on the back surface side with the processing surface facing upward. The wafer W is carried into the cleaning module 2 through the vacuum transfer chamber 16 and the carry-in port 31 in this state. Then, the wafer W is sandwiched and held by the clamp member 62, and the transfer mechanism 18 is slightly lowered. As a result, the wafer W is transferred from the transfer mechanism 18 to the holding and reversing mechanism 6, and then the transfer mechanism 18 is moved out of the cleaning module 2 and the gate valve G is closed. The holding / reversing mechanism 6 reverses the wafer W held by the clamp member 62 from the front to the back.

しかる後、ウエハWは、加熱機構4から照射される赤外線により例えば150℃〜200℃に加熱され(図5中(a))、この状態でガス供給部7からフッ化水素ガスをウエハWの表面に供給し、これによりウエハWの表面のシリコン酸化膜8がエッチングされる。このため、ウエハWの表面に付着していた異物であるパーティクルPが、シリコン酸化膜8と共に表面から離れ、ウエハWの表面付近の気中に浮遊した状態となる(図5中(b))。なお、ウエハWの表面には、エッチング時の残渣やアッシング時のレジストの滓などが付着しており、本願ではこれらを総称して異物と呼んでいるが、実施形態の説明ではこれらをパーティクルPと称して説明することとする。シリコン酸化膜8がエッチングされる膜厚は、例えば0.5Å以下と極めて薄い。なお、シリコン酸化膜8のエッチングにより、ウエハWの表面では水が発生するが、ウエハWの温度は150℃〜200℃であるため、ドライ環境は保たれる。   Thereafter, the wafer W is heated to, for example, 150 ° C. to 200 ° C. by infrared rays irradiated from the heating mechanism 4 ((a) in FIG. 5), and in this state, hydrogen fluoride gas is supplied from the gas supply unit 7 to the wafer W. This is supplied to the surface, whereby the silicon oxide film 8 on the surface of the wafer W is etched. For this reason, the particles P, which are foreign substances adhering to the surface of the wafer W, are separated from the surface together with the silicon oxide film 8 and float in the air near the surface of the wafer W ((b) in FIG. 5). . Note that residues on etching or resist wrinkles on ashing adhere to the surface of the wafer W, and these are collectively referred to as foreign substances in the present application. Will be described. The film thickness to which the silicon oxide film 8 is etched is extremely thin, for example, 0.5 mm or less. Although the silicon oxide film 8 is etched, water is generated on the surface of the wafer W. However, since the temperature of the wafer W is 150 ° C. to 200 ° C., a dry environment is maintained.

ここで、ウエハWから遊離したパーティクルPに働く力について説明する。ウエハWの表面が下向きであることから、パーティクルPは重力によりウエハWの表面から離れようとする。また、ウエハWが加熱されていることから、ウエハWの界面と下方側空間との間には温度勾配が形成され、このためパーティクルPには熱泳動力が作用し、パーティクルPは重力と熱泳動力とによる下向きの力、即ちウエハWの表面から離れようとする力が作用する。一方、パーティクルPはブラウン運動をするが、ブラウン運動の方向はランダムであるため、ブラウン運動の影響が重力や熱泳動力に比べて相対的に大きいと、ウエハWに対してパーティクルPの再付着が起こり易くなる。   Here, the force acting on the particles P released from the wafer W will be described. Since the surface of the wafer W faces downward, the particles P tend to leave the surface of the wafer W due to gravity. In addition, since the wafer W is heated, a temperature gradient is formed between the interface of the wafer W and the lower space. For this reason, thermophoretic force acts on the particles P, and the particles P are subjected to gravity and heat. A downward force due to the migration force, that is, a force to move away from the surface of the wafer W acts. On the other hand, although the particle P performs the Brownian motion, the direction of the Brownian motion is random. Therefore, if the influence of the Brownian motion is relatively large compared to the gravity or thermophoretic force, the particle P reattaches to the wafer W. Is likely to occur.

図6は、重力、5℃/cmの温度勾配下での熱泳動及びブラウン運動夫々に由来する、気圧13.3×10 Pa(1torr)におけるパーティクルPの移動速度の算出結果を示す図である。例えば粒径が0.07μm以上のパーティクルPの場合には、重力による移動速度の方がブラウン運動による移動速度よりも大きいため、ウエハWの表面を下に向けることがパーティクルPの再付着防止に有効である。また、例えば粒径が0.01μm〜10μmのパーティクルPにおいては、5℃/cmの温度勾配下での熱泳動による移動速度の方がブラウン運動による移動速度よりも大きいため、パーティクルPの再付着防止には、5℃/cmの温度勾配下での熱泳動を利用することが有効である。しかし、この場合でも、ウエハWの表面を上に向けた場合には、1μm以上のパーティクルPにおいては熱泳動による移動速度よりも重力による移動速度のほうが支配的になるため、パーティクルPの再付着のリスクが高くなる。 FIG. 6 is a diagram showing the calculation result of the moving speed of the particle P at the atmospheric pressure of 13.3 × 10 3 Pa (1 torr) derived from the thermophoresis under the temperature gradient of 5 ° C./cm and the Brownian motion, respectively. is there. For example, in the case of a particle P having a particle size of 0.07 μm or more, the moving speed due to gravity is larger than the moving speed due to the Brownian motion, so that the surface of the wafer W is directed downward to prevent the reattachment of the particles P. It is valid. Further, for example, in a particle P having a particle diameter of 0.01 μm to 10 μm, the moving speed by thermophoresis under a temperature gradient of 5 ° C./cm is larger than the moving speed by Brownian motion, so that the reattachment of the particles P For prevention, it is effective to use thermophoresis under a temperature gradient of 5 ° C./cm. However, even in this case, when the surface of the wafer W is directed upward, the movement speed due to gravity is more dominant than the movement speed due to thermophoresis in the particle P of 1 μm or more. The risk is high.

本実施形態では、ウエハWは表面が下向きの状態のため、重力と熱泳動力により、前述したリフトオフによりウエハWから遊離したパーティクルPのウエハWへの再付着のリスクが軽減される。このことは、大きなパーティクルPがウエハWに再付着することを重力により抑制し、微小なパーティクルPがウエハWに再付着することを熱泳動により抑制しているということもできる。そして、この遊離パーティクルPは、ウエハWへの再付着が抑えられながら、ウエハWの表面上を流れる気流に運ばれ、排気口51より排出され、こうしてウエハWの表面の洗浄処理が行われる。この実施形態では、1回目のリフトオフの後、再度ウエハWの表面にシリコン酸化膜8を形成してリフトオフを行う。具体的には、1回目のリフトオフの後、オゾンガス供給系78からガス供給部7を介してウエハWの表面にオゾンガスを供給する。オゾンによりシリコンが酸化されることで、ウエハWの表面にシリコン酸化膜8が形成される(図5中(c))。そして、フッ化水素ガス供給系76からガス供給部7を介してウエハWの表面にフッ化水素ガスを供給し、リフトオフする(図5中(d))。   In this embodiment, since the surface of the wafer W faces downward, the risk of redeposition of particles P released from the wafer W due to the lift-off described above to the wafer W due to gravity and thermophoretic force is reduced. This also means that large particles P are reattached to the wafer W due to gravity, and minute particles P are reattached to the wafer W by thermophoresis. The free particles P are carried by the airflow flowing on the surface of the wafer W while being prevented from reattaching to the wafer W, and are discharged from the exhaust port 51. Thus, the surface of the wafer W is cleaned. In this embodiment, after the first lift-off, the silicon oxide film 8 is formed again on the surface of the wafer W and lift-off is performed. Specifically, ozone gas is supplied from the ozone gas supply system 78 to the surface of the wafer W through the gas supply unit 7 after the first lift-off. As silicon is oxidized by ozone, a silicon oxide film 8 is formed on the surface of the wafer W ((c) in FIG. 5). Then, hydrogen fluoride gas is supplied from the hydrogen fluoride gas supply system 76 to the surface of the wafer W through the gas supply unit 7 and lifted off ((d) in FIG. 5).

ここまでの工程をまとめると、ウエハWはエッチングモジュール17にてレジストマスクを除去するために酸素を含むガスによりアッシングすることで酸化され、次いで洗浄モジュール2にてエッチングされ、更に酸化、エッチングされる。即ち、この実施形態では、洗浄モジュール2において、酸化、エッチングの連続工程を行っているが、この連続工程は1回のみであってもよいし、複数回繰り返して行ってもよい。その回数は、エッチングモジュール17の装置性能などに基づくパーティクルPの量や、エッチングにより形成されるパターンの線幅などに応じて、適切な値を例えば事前の試験により設定すればよい。   In summary, the wafer W is oxidized by ashing with a gas containing oxygen to remove the resist mask by the etching module 17, then etched by the cleaning module 2, and further oxidized and etched. . That is, in this embodiment, the cleaning module 2 performs a continuous process of oxidation and etching. However, this continuous process may be performed only once or may be performed a plurality of times. The number of times may be set to an appropriate value, for example, by a preliminary test according to the amount of particles P based on the performance of the etching module 17 and the line width of the pattern formed by etching.

アッシングにより酸化されたウエハWの表面を洗浄モジュール2にてエッチングし、更に酸化、エッチングを行う利点は次の通りである。図5に示すように、遊離したパーティクルPにはブラウン運動によるランダムな移動が起こる。微細なトレンチなどの凹部85内では遊離したパーティクルPが、重力や熱泳動力により若干は排出される方向に移動しても、このブラウン運動により、再び再付着してしまう可能性がある。そこで、酸化、エッチングを繰り返すことで、パーティクルPの再付着位置をウエハWの表層側に移動させていくことで、最終的には高い除去率でパーティクルPを除去することができる。   The advantages of etching the surface of the wafer W oxidized by ashing by the cleaning module 2 and further oxidizing and etching are as follows. As shown in FIG. 5, the free movement of the particles P caused by Brownian motion occurs. Even if the free particle P moves within the recess 85 such as a fine trench in a direction in which the particle P is slightly discharged due to gravity or thermophoretic force, there is a possibility that it will reattach due to this Brownian motion. Therefore, by repeating oxidation and etching, the particle P can be finally removed at a high removal rate by moving the reattachment position of the particle P to the surface layer side of the wafer W.

上述の実施形態によれば、アッシングにより表面が酸化されたシリコン酸化膜8をフッ化水素ガスによりエッチングしているので、エッチングモジュール17にてレジストマスクを用いて行ったエッチング時に付着したパーティクルPがシリコン酸化膜8ごと除去される。そして、フッ化水素によるエッチング時にはウエハWの向きを表面が下を向くように設定し、更にウエハWを加熱してパーティクルPに対して重力に加えて熱泳動力を作用させているので、ウエハWから遊離したパーティクルPの再付着が抑えられ、良好なパーティクルPの除去処理(洗浄処理)を行うことができる。そして、ドライ環境下でウエハWの表面を洗浄してパーティクルPを除去するようにしているので、ウォーターマークの生成やパターン倒れ等といった、ウェット洗浄にて取り上げられている課題が解決される。また、アッシング時のシリコン酸化膜8をエッチングした後、更に例えばオゾンガスによりウエハWの表面を酸化し、次いでフッ化水素ガスによるエッチングを行う連続工程を1回あるいは2回以上実施することで、既述のようにより一層確実にパーティクルPを除去することができる。   According to the above-described embodiment, since the silicon oxide film 8 whose surface has been oxidized by ashing is etched with hydrogen fluoride gas, the particles P adhering during the etching performed using the resist mask in the etching module 17 are removed. The entire silicon oxide film 8 is removed. Then, when etching with hydrogen fluoride, the orientation of the wafer W is set so that the surface faces downward, and the wafer W is further heated to apply a thermophoretic force to the particles P in addition to gravity. The reattachment of the particles P released from W is suppressed, and a good particle P removal process (cleaning process) can be performed. Since the surface of the wafer W is cleaned in a dry environment to remove the particles P, the problems taken up by wet cleaning such as generation of a watermark and pattern collapse are solved. Further, after etching the silicon oxide film 8 at the time of ashing, a continuous process of oxidizing the surface of the wafer W with, for example, ozone gas and then etching with hydrogen fluoride gas is performed once or twice, so that As described above, the particles P can be removed more reliably.

本発明における第2の実施形態について説明する。この実施形態では、重力と共に静電気の斥力を利用しており、装置構成については上述の実施形態とほぼ同様であるが保持反転機構6の構造が異なる。保持反転機構6のクランプ部材62には、図7に示すように、保持反転機構6に保持されたウエハWと接触するように電極44が設けられている。この電極44は、給電線45により、処理容器3の外に備えられたスイッチSWを介して同じく処理容器3の外に備えられた直流電源100の負極に接続されている。また、給電線45は、電極44側からクランプ部材62及びガイド部材64に沿って配線され、回転軸63及び回転機構67を介して処理容器3の外に引き出されている。   A second embodiment of the present invention will be described. In this embodiment, electrostatic repulsion is used together with gravity, and the device configuration is substantially the same as that of the above-described embodiment, but the structure of the holding and reversing mechanism 6 is different. As shown in FIG. 7, the clamp member 62 of the holding / reversing mechanism 6 is provided with an electrode 44 so as to contact the wafer W held by the holding / reversing mechanism 6. The electrode 44 is connected to the negative electrode of the DC power supply 100 also provided outside the processing container 3 through a switch SW provided outside the processing container 3 by a power supply line 45. The power supply line 45 is wired along the clamp member 62 and the guide member 64 from the electrode 44 side, and is drawn out of the processing container 3 through the rotation shaft 63 and the rotation mechanism 67.

この場合、ウエハWを保持反転機構6により保持すると、ウエハWの周端が電極44に接触するため、この状態でスイッチSWを閉じることにより、ウエハWはこの電極44を介してマイナスに帯電する。これにより、ウエハW上に付着しているパーティクルPもマイナスに帯電するため、ウエハWとパーティクルPとの間に斥力が生じる。この斥力が、重力と共に、遊離したパーティクルPの再付着防止のための作用として働く。この実施形態においても、加熱機構4によりウエハWを加熱することで、パーティクルPに対して重力、静電斥力に加えて熱泳動力が作用するようにしてもよい。この例において、給電線45、直流電源100及び電極44は、帯電機構を構成している。   In this case, when the wafer W is held by the holding / reversing mechanism 6, the peripheral end of the wafer W comes into contact with the electrode 44. Therefore, by closing the switch SW in this state, the wafer W is negatively charged via the electrode 44. . As a result, the particles P adhering to the wafer W are also negatively charged, and a repulsive force is generated between the wafer W and the particles P. This repulsive force works together with gravity as an action for preventing reattachment of the released particles P. Also in this embodiment, the wafer W may be heated by the heating mechanism 4 so that the thermophoretic force acts on the particles P in addition to gravity and electrostatic repulsion. In this example, the power supply line 45, the DC power supply 100, and the electrode 44 constitute a charging mechanism.

本発明における第3の実施形態について、図8及び図9を用いて説明する。この実施形態では、処理容器3の上部に、その下面側にウエハWを水平に保持するための静電チャックである保持部9が設けられている。この保持部9には、負極側が接地された直流電源100の正極側に接続された吸着用電極41が埋設されている。保持部9の表面は誘電体層43により被覆されており、この誘電体層43を介してウエハWを吸着保持する構造になっている。また、この誘電体層43の一部には、吸着されたウエハWと接触するように電極44が設けられている。この電極44は、給電線45を介して接地されており、電極44及び給電線45は前記吸着用電極41と絶縁されている。また、この実施形態では、処理容器3の側壁にZガイド61が設けられており、保持反転機構6は、図示しない昇降体を介してこのZガイド61に昇降自在に設けられている。   A third embodiment of the present invention will be described with reference to FIGS. In this embodiment, a holding portion 9 that is an electrostatic chuck for horizontally holding the wafer W is provided on the lower surface side of the processing container 3. In the holding portion 9, an adsorption electrode 41 connected to the positive electrode side of the DC power source 100 whose negative electrode side is grounded is embedded. The surface of the holding unit 9 is covered with a dielectric layer 43, and the wafer W is held by suction through the dielectric layer 43. An electrode 44 is provided on a part of the dielectric layer 43 so as to come into contact with the attracted wafer W. The electrode 44 is grounded via a power supply line 45, and the electrode 44 and the power supply line 45 are insulated from the adsorption electrode 41. In this embodiment, the Z guide 61 is provided on the side wall of the processing container 3, and the holding and reversing mechanism 6 is provided on the Z guide 61 so as to be movable up and down via an elevator body (not shown).

搬入口31を介して処理容器3内に搬入されたウエハWは、保持反転機構6により受け取られた後、表面が下を向くように反転させられる。そして、保持反転機構6をZガイド61に沿って上昇させ、この状態ではウエハWの上面である裏面を保持部9に接触させる。次いで、スイッチSWを閉じて保持部9にウエハWを吸着させる。次に、クランプ部材62を開き、保持反転機構6をZガイド61に沿って下降させ、洗浄モジュール2内の下部に待機させた後、上述の実施形態同様、洗浄処理を行う。   The wafer W carried into the processing container 3 through the carry-in port 31 is received by the holding / reversing mechanism 6 and then reversed so that the surface faces downward. Then, the holding and reversing mechanism 6 is raised along the Z guide 61, and in this state, the back surface that is the upper surface of the wafer W is brought into contact with the holding portion 9. Next, the switch SW is closed and the wafer W is attracted to the holding unit 9. Next, the clamp member 62 is opened, the holding and reversing mechanism 6 is lowered along the Z guide 61, and waits at the lower part in the cleaning module 2, and then the cleaning process is performed as in the above embodiment.

この場合、スイッチSWを閉じてウエハWを保持部9に吸着した時点で、ウエハWはマイナスに帯電することになり、上述の第2の実施形態同様、ウエハW上に付着しているパーティクルPもマイナスに帯電するため、ウエハWとパーティクルPとの間に斥力が生じる。この斥力が、重力と共に、遊離したパーティクルPの再付着防止のための作用として働く。この実施形態においても、保持部9に加熱機構として例えばヒータを設け、パーティクルPに対して重力、静電斥力に加えて熱泳動力が作用するようにしてもよい。この例において、吸着用電極41、直流電源100及び電極44は、帯電機構を構成している。   In this case, when the switch SW is closed and the wafer W is attracted to the holding unit 9, the wafer W is negatively charged, and the particles P adhering on the wafer W are the same as in the second embodiment. Is negatively charged, a repulsive force is generated between the wafer W and the particles P. This repulsive force works together with gravity as an action for preventing reattachment of the released particles P. Also in this embodiment, for example, a heater may be provided in the holding unit 9 as a heating mechanism so that thermophoretic force acts on the particles P in addition to gravity and electrostatic repulsion. In this example, the adsorption electrode 41, the DC power source 100, and the electrode 44 constitute a charging mechanism.

本発明において洗浄処理されるウエハWの他の例について以下に列挙する。
(1) 図10は、単結晶シリコン層81、シリコン酸化膜82、ポリシリコン層83をこの順に積層した積層構造体をシリコン窒化膜(SiN膜と略す)84をハードマスクとし、エッチングモジュール17にてエッチングして、積層構造体に凹部85を形成したウエハWの表面構造を示している。ポリシリコン層83及び単結晶シリコン層81をエッチングするガスとしては、例えば臭化水素と酸素の混合ガスを処理ガスとして用いている。また、シリコン酸化膜82をエッチングするガスとしては、例えばCF4、C2F4などのCF系ガスを用いている。洗浄処理前の時点で、凹部85の側壁には、エッチング時の副生成物としてシリコン酸化物の残渣物86及びフッ化炭素化合物87が図10のように積層して付着している。洗浄モジュール2では、既述のように表面(被処理面)が下向きとなるようにウエハWが保持反転機構6に保持され、フッ化水素ガスをウエハWの表面に供給することにより、前記副生成物であるシリコン酸化物86が除去され、このときに凹部85の側壁に付着しているパーティクルPやシリコン酸化物86の上に積層されているフッ化炭素化合物87も一緒に除去される。
Other examples of the wafer W to be cleaned in the present invention are listed below.
(1) FIG. 10 shows a structure in which a single crystal silicon layer 81, a silicon oxide film 82, and a polysilicon layer 83 are laminated in this order, using a silicon nitride film (abbreviated as SiN film) 84 as a hard mask. The surface structure of the wafer W in which the recess 85 is formed in the laminated structure by etching is shown. As a gas for etching the polysilicon layer 83 and the single crystal silicon layer 81, for example, a mixed gas of hydrogen bromide and oxygen is used as a processing gas. Further, as a gas for etching the silicon oxide film 82, for example, a CF-based gas such as CF4 or C2F4 is used. At the time before the cleaning process, the silicon oxide residue 86 and the fluorocarbon compound 87 are stacked and attached to the side walls of the recess 85 as by-products during etching as shown in FIG. In the cleaning module 2, as described above, the wafer W is held by the holding / reversing mechanism 6 so that the surface (surface to be processed) faces downward, and hydrogen fluoride gas is supplied to the surface of the wafer W, so The product silicon oxide 86 is removed, and at this time, the particles P adhering to the sidewalls of the recess 85 and the fluorocarbon compound 87 laminated on the silicon oxide 86 are also removed.

この場合においても、フッ化水素ガスによるエッチングを行ってシリコン酸化物の残渣物86を除去した後、オゾンガスによりポリシリコン層83及び単結晶シリコン層81を酸化し、続いてフッ化水素ガスによりエッチングを行ってもよく、更にこの酸化、エッチングの連続工程を2回以上繰り返してもよい。   Also in this case, after removing silicon oxide residue 86 by etching with hydrogen fluoride gas, polysilicon layer 83 and single crystal silicon layer 81 are oxidized with ozone gas, and then etched with hydrogen fluoride gas. Further, this continuous process of oxidation and etching may be repeated twice or more.

(2) 図11は、エッチングモジュール17にて、SiN膜84をハードマスクとしてシリコン酸化膜82をエッチングした後のウエハWの表面構造を示している。シリコン酸化膜82をエッチングするガスとしては既述のように例えばCF系ガスを用いており、洗浄処理前の時点で凹部85におけるシリコン酸化膜82の側壁には、エッチング時の副生成物としてフッ化炭素化合物である残渣物87が、図11のように付着している。洗浄モジュール2では、オゾンガスを供給することにより、前記副生成物であるフッ化炭素化合物87を除去し、このときに凹部85の側壁に付着しているパーティクルPも一緒に除去される。   (2) FIG. 11 shows the surface structure of the wafer W after the etching module 17 has etched the silicon oxide film 82 using the SiN film 84 as a hard mask. As described above, for example, a CF-based gas is used as the gas for etching the silicon oxide film 82. On the side wall of the silicon oxide film 82 in the recess 85 at the time before the cleaning process, a gas is generated as a by-product during etching. A residue 87, which is a carbonized carbon compound, adheres as shown in FIG. In the cleaning module 2, by supplying ozone gas, the fluorocarbon compound 87 as the by-product is removed, and at this time, the particles P adhering to the side wall of the recess 85 are also removed.

そして、残渣物87が除去されて露出したシリコン酸化膜82を、フッ化水素ガスによりエッチングしてもよい。このように更なるエッチングを行うことにより、残渣物87を除去するときに凹部85内に再付着したパーティクルPを除去できる。なお、フッ化水素ガスによりシリコン酸化膜82をエッチングする場合には、凹部85の設計寸法の誤差範囲に収まる程度に薄くエッチングすることが必要である。   Then, the silicon oxide film 82 exposed by removing the residue 87 may be etched with hydrogen fluoride gas. By performing further etching in this way, it is possible to remove the particles P reattached in the recess 85 when the residue 87 is removed. Note that, when the silicon oxide film 82 is etched with hydrogen fluoride gas, it is necessary to etch the silicon oxide film 82 so as to be within an error range of the design dimension of the recess 85.

(3) 上記(1)における基板Wの例として、シリコン酸化膜82の代わりに例えばAl2O3、HfO及びZnO等の金属酸化膜のいずれかであってもよい。
(1)〜(3)について述べた各ウエハWに対して洗浄処理を行う場合においても、先の実施形態と同様に熱泳動や静電斥力を利用してもよいことは勿論である。
(3) As an example of the substrate W in the above (1), any of metal oxide films such as Al 2 O 3, HfO, and ZnO may be used instead of the silicon oxide film 82.
Even in the case where the cleaning process is performed on each wafer W described in (1) to (3), it is needless to say that thermophoresis or electrostatic repulsion may be used as in the previous embodiment.

洗浄処理の対象となるウエハWの表面をエッチングする膜は、シリコンに限られるものではない。また、ウエハWの表面をエッチングしてパーティクルPを除去するにあたり、エッチングの前に酸化処理を行うことが必須ではなく、ウエハWの表面の膜とこの膜をエッチングできるガスとの組み合わせが成り立つならば(例えば、先の他の実施形態の(2))、洗浄モジュール2に搬入されたウエハWに対してそのままエッチングを行うようにしてもよい。また、パーティクルPを除去するためのエッチングは、エッチングガスをプラズマ化するようにしてもよく、この場合には例えば処理空間に電力を供給する例えば誘導コイルを処理容器3の中あるいは外に設けるようにすればよい。   A film for etching the surface of the wafer W to be cleaned is not limited to silicon. Further, when removing the particles P by etching the surface of the wafer W, it is not essential to perform an oxidation treatment before the etching. If a combination of a film on the surface of the wafer W and a gas capable of etching this film is established. For example (for example, (2) in the other embodiment), the wafer W carried into the cleaning module 2 may be etched as it is. Etching for removing the particles P may be performed by converting the etching gas into plasma. In this case, for example, an induction coil for supplying power to the processing space is provided inside or outside the processing container 3. You can do it.

また、既述の例では、洗浄モジュール2内でウエハWの表面を酸化し、次いでエッチングを行っているが、ウエハWの表面の酸化処理については処理容器3の外、つまり図1の例でいえば、エッチングモジュール17にて行い、その後洗浄モジュール2にてエッチングを行う場合であっても、本発明の範囲に含まれる。更にまた、洗浄モジュール2は、マルチチャンバシステムの中に組み込まれることに限定されるものではなく、スタンドアローン(単体の装置)として構成してもよい。   In the example described above, the surface of the wafer W is oxidized in the cleaning module 2 and then etched. However, the oxidation treatment of the surface of the wafer W is performed outside the processing vessel 3, that is, in the example of FIG. In other words, even if the etching is performed by the etching module 17 and then the etching is performed by the cleaning module 2, it is within the scope of the present invention. Furthermore, the cleaning module 2 is not limited to being incorporated into a multi-chamber system, and may be configured as a stand-alone device.

G ゲートバルブ
W 半導体ウエハ
P パーティクル
1 基板処理装置
10 制御部
11 大気搬送室
12 搬入出ステージ
13 アライメントモジュール
14 大気搬送室の搬送機構
15 ロードロック室
16 真空搬送室
17 エッチングモジュール
18 真空搬送室の搬送機構
2 洗浄モジュール
3 処理容器
31 搬入口
4 加熱機構
44 電極
45 給電線
5 真空ポンプ
51 排気口
52 排気管
6 保持反転機構
62 クランプ部材
63 回転軸
64 ガイド部材
67 回転機構
7 ガス供給部
71 ガス吹き付け孔
72 ガス供給管
76 フッ化水素ガス供給系
78 オゾンガス供給系
8 半導体ウエハに形成された酸化膜
G Gate valve W Semiconductor wafer P Particle 1 Substrate processing apparatus 10 Control unit 11 Atmospheric transfer chamber 12 Loading / unloading stage 13 Alignment module 14 Transfer mechanism of atmospheric transfer chamber 15 Load lock chamber 16 Vacuum transfer chamber 17 Etching module 18 Transfer of vacuum transfer chamber Mechanism 2 Cleaning module 3 Processing container 31 Carrying-in port 4 Heating mechanism 44 Electrode 45 Feed line 5 Vacuum pump 51 Exhaust port 52 Exhaust pipe 6 Holding reversing mechanism 62 Clamp member 63 Rotating shaft 64 Guide member 67 Rotating mechanism 7 Gas supply part 71 Gas blowing Hole 72 Gas supply pipe 76 Hydrogen fluoride gas supply system 78 Ozone gas supply system 8 Oxide film formed on semiconductor wafer

Claims (9)

基板を、表面が下を向くように保持部に保持する工程と、
基板の表面に酸化用のガスを供給して基板の表面を酸化する工程と、
前記保持部に保持された基板の下向きの表面にエッチングガスを供給して、前記工程により酸化された酸化膜をエッチングすることにより、基板の表面に付着している異物を酸化膜ごと除去する除去工程と、を含み、
この除去工程は、重力の作用に加えて、熱泳動力及び静電斥力の少なくとも一方を異物に作用させるために、基板を加熱する工程及び基板を帯電させる工程の少なくとも一方を併用して行われることを特徴とする基板洗浄方法。
Holding the substrate in the holding portion so that the surface faces downward;
Supplying an oxidizing gas to the surface of the substrate to oxidize the surface of the substrate;
Removing the foreign matter attached to the surface of the substrate together with the oxide film by supplying an etching gas to the downward surface of the substrate held by the holding unit and etching the oxide film oxidized by the process Including a process,
This removal step is performed by using at least one of the step of heating the substrate and the step of charging the substrate in order to cause at least one of thermophoretic force and electrostatic repulsive force to act on the foreign matter in addition to the action of gravity. And a substrate cleaning method.
基板の表面を酸化する工程は、基板を、表面が下を向くように前記保持部に保持した状態で行われることを特徴とする請求項1記載の基板洗浄方法。   The substrate cleaning method according to claim 1, wherein the step of oxidizing the surface of the substrate is performed in a state where the substrate is held by the holding portion so that the surface faces downward. エッチングマスクを用いて、真空雰囲気にてエッチングガスによりエッチングした後の基板の表面に付着している異物を除去する方法において、
前記エッチング後に真空を破らずに、前記基板を表面が下を向くように保持部に保持する工程と、
前記保持部に保持された基板の下向きの表面にエッチングガスを供給して、当該基板の表層部をエッチングすることにより、基板の表面に付着している異物を表層部ごと除去する除去工程と、を含み、
この除去工程は、重力の作用に加えて、熱泳動力及び静電斥力の少なくとも一方を異物に作用させるために、基板を加熱する工程及び基板を帯電させる工程の少なくとも一方を併用して行われることを特徴とする基板洗浄方法。
In the method of removing foreign matter adhering to the surface of the substrate after etching with an etching gas in a vacuum atmosphere using an etching mask,
Holding the substrate in a holding portion so that the surface faces downward without breaking the vacuum after the etching;
An etching process is performed by supplying an etching gas to the downward surface of the substrate held by the holding unit, and etching the surface layer portion of the substrate to remove foreign matter adhering to the surface of the substrate together with the surface layer portion; Including
This removal step is performed by using at least one of the step of heating the substrate and the step of charging the substrate in order to cause at least one of thermophoretic force and electrostatic repulsive force to act on the foreign matter in addition to the action of gravity. And a substrate cleaning method.
前記保持部に保持された基板は、エッチングマスクを用いてエッチングした後、さらに酸素を含むアッシングガスによりアッシングされたものであることを特徴とする請求項3記載の基板洗浄方法。   The substrate cleaning method according to claim 3, wherein the substrate held by the holding portion is etched using an etching mask and then ashed with an ashing gas containing oxygen. 基板の表面にエッチングガスを供給して、当該基板の表層部をエッチングする工程の前に、基板の表面に酸化用のガスを供給して基板の表層部に酸化膜を形成する工程を行うことを特徴とする請求項3または4記載の基板洗浄方法。   Before the step of supplying an etching gas to the surface of the substrate and etching the surface layer portion of the substrate, performing a step of supplying an oxidizing gas to the surface of the substrate and forming an oxide film on the surface layer portion of the substrate 5. The substrate cleaning method according to claim 3, wherein the substrate is cleaned. 酸化膜をエッチングすることにより、基板の表面に付着している異物を酸化膜ごと除去する工程の後、
基板の表面に酸化用のガスを再度供給して基板の表面を酸化する工程と、次いで下向きの基板の表面にエッチングガスを供給して、前記工程により酸化された酸化膜をエッチングすることにより、基板の表面に付着している異物を酸化膜ごと除去する工程と、を行うことを特徴とする請求項1、2または5記載の基板洗浄方法。
After the step of removing the foreign matter adhering to the surface of the substrate together with the oxide film by etching the oxide film,
Supplying the oxidizing gas again to the surface of the substrate to oxidize the surface of the substrate, and then supplying an etching gas to the surface of the substrate facing downward to etch the oxide film oxidized by the above-described steps, 6. The method for cleaning a substrate according to claim 1, wherein the step of removing the foreign matter adhering to the surface of the substrate together with the oxide film is performed.
基板を、表面が下を向くように保持する保持部を内部に有する処理容器と、
前記保持部に保持された基板の表面を酸化するために酸化用のガスを供給する酸化用のガス供給部と、
前記酸化用のガスにより酸化された基板の表面を下向きのままエッチングして、基板の表面に付着している異物を酸化膜ごと除去するためにエッチングガスを基板の表面に供給するエッチングガス供給部と、
基板上の異物に熱泳動力を作用させるために基板を加熱する加熱機構及び基板上の異物に静電斥力を作用させるために基板を帯電させる帯電機構のうちの少なくとも一方と、を備えたことを特徴とする基板処理装置。
A processing container having a holding part for holding the substrate so that the surface faces downward;
An oxidizing gas supply unit that supplies an oxidizing gas to oxidize the surface of the substrate held by the holding unit;
An etching gas supply unit that etches the surface of the substrate oxidized by the oxidizing gas while facing downward, and supplies an etching gas to the surface of the substrate in order to remove foreign matter adhering to the surface of the substrate together with the oxide film When,
And at least one of a heating mechanism for heating the substrate to apply a thermophoretic force to the foreign matter on the substrate and a charging mechanism for charging the substrate to cause an electrostatic repulsive force to the foreign matter on the substrate. A substrate processing apparatus.
エッチング用の処理容器を有し、エッチングマスクを用いてエッチングガスにより基板の表面をエッチングするエッチングモジュールと、
前記エッチング用の処理容器に気密に接続され、基板を搬送する基板搬送機構を有する真空搬送室と、
この真空搬送室に気密に接続され、基板を、表面が下を向くように保持するための保持部を内部に有する洗浄用の処理容器と、
前記保持部に保持された基板の表面に付着している異物を表層部ごと除去するためにエッチングガスを基板の表面に供給するエッチングガス供給部と、
基板上の異物に熱泳動力を作用させるために基板を加熱する加熱機構及び基板上の異物に静電斥力を作用させるために基板を帯電させる帯電機構のうちの少なくとも一方と、を備えたことを特徴とする基板処理装置。
An etching module having a processing container for etching, and etching the surface of the substrate with an etching gas using an etching mask;
A vacuum transfer chamber that is airtightly connected to the processing container for etching and has a substrate transfer mechanism for transferring a substrate;
A processing container for cleaning, which is hermetically connected to the vacuum transfer chamber and has a holding unit for holding the substrate so that the surface faces downward,
An etching gas supply unit for supplying an etching gas to the surface of the substrate in order to remove the entire surface layer of the foreign matter adhering to the surface of the substrate held by the holding unit;
And at least one of a heating mechanism for heating the substrate to apply a thermophoretic force to the foreign matter on the substrate and a charging mechanism for charging the substrate to cause an electrostatic repulsive force to the foreign matter on the substrate. A substrate processing apparatus.
前記保持部は、前記真空搬送室内の前記基板搬送機構から受け取った基板を反転させる機構を備えていることを特徴とする請求項8記載の基板処理装置。   The substrate processing apparatus according to claim 8, wherein the holding unit includes a mechanism for inverting the substrate received from the substrate transfer mechanism in the vacuum transfer chamber.
JP2010271827A 2010-12-06 2010-12-06 Substrate cleaning method and substrate processing apparatus Pending JP2012124227A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010271827A JP2012124227A (en) 2010-12-06 2010-12-06 Substrate cleaning method and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010271827A JP2012124227A (en) 2010-12-06 2010-12-06 Substrate cleaning method and substrate processing apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015030555A Division JP2015099937A (en) 2015-02-19 2015-02-19 Substrate cleaning method and substrate processing apparatus

Publications (1)

Publication Number Publication Date
JP2012124227A true JP2012124227A (en) 2012-06-28

Family

ID=46505399

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010271827A Pending JP2012124227A (en) 2010-12-06 2010-12-06 Substrate cleaning method and substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP2012124227A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019129246A (en) * 2018-01-25 2019-08-01 株式会社Screenホールディングス Method for processing substrate and substrate processor
KR20230024689A (en) * 2021-08-12 2023-02-21 한국원자력연구원 Apparatus for removing dust particle within plasma chamber, plasma treatment apparatus including the same, and method thereof

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02106927A (en) * 1988-10-17 1990-04-19 Fujitsu Ltd Manufacture of semiconductor device
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP2003151959A (en) * 2001-11-09 2003-05-23 Sony Corp Resist-removing apparatus and method
JP2005254186A (en) * 2004-03-15 2005-09-22 Sony Corp Foreign matter removal apparatus
JP2007235065A (en) * 2006-03-03 2007-09-13 Dainippon Screen Mfg Co Ltd Substrate-treating device, and substrate treatment method
JP2008159892A (en) * 2006-12-25 2008-07-10 Univ Nagoya Pattern formation method and manufacturing method of semiconductor device
JP2010103444A (en) * 2008-10-27 2010-05-06 Tokyo Electron Ltd Substrate cleaning method and apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02106927A (en) * 1988-10-17 1990-04-19 Fujitsu Ltd Manufacture of semiconductor device
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP2003151959A (en) * 2001-11-09 2003-05-23 Sony Corp Resist-removing apparatus and method
JP2005254186A (en) * 2004-03-15 2005-09-22 Sony Corp Foreign matter removal apparatus
JP2007235065A (en) * 2006-03-03 2007-09-13 Dainippon Screen Mfg Co Ltd Substrate-treating device, and substrate treatment method
JP2008159892A (en) * 2006-12-25 2008-07-10 Univ Nagoya Pattern formation method and manufacturing method of semiconductor device
JP2010103444A (en) * 2008-10-27 2010-05-06 Tokyo Electron Ltd Substrate cleaning method and apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019129246A (en) * 2018-01-25 2019-08-01 株式会社Screenホールディングス Method for processing substrate and substrate processor
JP7111472B2 (en) 2018-01-25 2022-08-02 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
KR20230024689A (en) * 2021-08-12 2023-02-21 한국원자력연구원 Apparatus for removing dust particle within plasma chamber, plasma treatment apparatus including the same, and method thereof
KR102599026B1 (en) * 2021-08-12 2023-11-06 한국원자력연구원 Apparatus for removing dust particle within plasma chamber, plasma treatment apparatus including the same, and method thereof

Similar Documents

Publication Publication Date Title
TWI645468B (en) Cleaning method and substrate processing device
JP2003273078A (en) Cleaning method of plasma processing apparatus, cleaning method and plasma processing apparatus
US11784054B2 (en) Etching method and substrate processing system
JP5281811B2 (en) Annular parts for plasma processing, plasma processing apparatus, and outer annular member
JP2011099156A (en) Method for cleaning conveying arm, method for cleaning substrate treatment apparatus, and substrate treatment apparatus
KR100440380B1 (en) Dry Cleaning Apparatus
KR102546756B1 (en) Apparatus and method for treating substrate
JP4016598B2 (en) Manufacturing method of semiconductor device
KR101008341B1 (en) Apparatus for treating backside of substrate
EP1237177A2 (en) Apparatus and method for etching semiconductor wafers
TWI374475B (en)
JP2012124227A (en) Substrate cleaning method and substrate processing apparatus
JPH07142438A (en) Cleaning equipment, production system and line for semiconductor
CN109727857B (en) Dry etching method
JP2015099937A (en) Substrate cleaning method and substrate processing apparatus
JP2009099957A (en) Display substrate manufacturing method and vacuum processing apparatus
CN1734724A (en) Plasma processing method and post-processing method
JP4299638B2 (en) Substrate processing apparatus and substrate processing method
KR20240007263A (en) Chamber processes to reduce backside particles
KR102037902B1 (en) Apparatus and method for treating substrate
KR101934984B1 (en) Apparatus and method for treating substrate
TW202040670A (en) Substrate processing method, semiconductor producing method, and substrate processing apparatus
JP5179896B2 (en) Substrate processing method
KR102083853B1 (en) Apparatus and method for treating substrate
KR101927916B1 (en) Apparatus and method for treating substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140514

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140527

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140826

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141024

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141118