CN1734724A - Plasma processing method and post-processing method - Google Patents

Plasma processing method and post-processing method Download PDF

Info

Publication number
CN1734724A
CN1734724A CNA2005100770642A CN200510077064A CN1734724A CN 1734724 A CN1734724 A CN 1734724A CN A2005100770642 A CNA2005100770642 A CN A2005100770642A CN 200510077064 A CN200510077064 A CN 200510077064A CN 1734724 A CN1734724 A CN 1734724A
Authority
CN
China
Prior art keywords
plasma
plasma treatment
chamber
gas
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100770642A
Other languages
Chinese (zh)
Other versions
CN100359647C (en
Inventor
清水昭贵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1734724A publication Critical patent/CN1734724A/en
Application granted granted Critical
Publication of CN100359647C publication Critical patent/CN100359647C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A plasma processing method and a post-processing method can certainly prevent corrosion not only in a processing chamber but also in a transfer system. The plasma processing method for performing a plasma process on an object to be processed in a chamber includes a first plasma process for processing the object to be processed by a first plasma that is generated by plasmarizing a gas containing at least a halogen element; a second plasma process for processing the chamber and the object to be processed by supplying an oxygen-containing gas in the chamber to generate a second plasma after the first plasma process; and a third plasma process for processing the object to be processed after the second plasma process by using a third plasma that is generated by plasmarizing a gas containing at least nitrogen and hydrogen.

Description

Method of plasma processing and post-processing approach
Technical field
The present invention relates to relevant method of plasma processing and post-processing approach, specifically, for example be used for semiconductor wafer etc. is carried out the method for plasma processing and the post-processing approach of etch processes.
Background technology
Substrates such as semiconductor wafer are carried out in the operation of dry ecthing at corrosive gas, be necessary at propose Corresponding Countermeasures by the deterioration of the particle of peeling off generation of the reaction product that adheres in the treatment chamber, chamber that corrosive gas causes etc. with hydrogen bromide or chlorine etc.Therefore proposed after dry ecthing, to use O 2The scheme that plasma cleans (for example, patent documentation 1).Utilize this O 2Plasma clean all is effectively to the displacement or the anticorrosion in the chamber of the halogen gas environment in chamber, in addition, also expects to have the effect of removing the corrosive gas that adsorbs on the substrate by injection.
But, on the substrate after the etch processes, found the accumulation of reaction product, for example to the silicon substrate etching time, SiBr is arranged 4, SiCl 4Accumulation Deng reaction product.By above-mentioned O 2The reprocessing of plasma is difficult to remove fully these deposits.
[patent documentation 1] spy opens clear 63-5532 communique (claims)
As mentioned above, pass through O 2The cleaning of gaseous plasma is difficult to remove fully the deposit on the substrate.Residual above-mentioned deposit on the substrate has the characteristic that produces corrosive gass such as halogen gas under open air ambient.Therefore, exist when follow-up operation moves, in handling system, can produce corrosive gas, become the problem of the reason of corrosion handling system by the deposit on the substrate.In general, use corrosive gas to carry out the chamber inner face of processing such as etching, constitute by aluminium or alumina film material, therefore temporarily constituted the anticorrosion countermeasure, but originally not imagining handling system contacts with corrosive gas, therefore, in case because corrosion produces deterioration, the durability that the system of damaging significantly is all.But, almost do not study for the anticorrosion of handling system so far.
Summary of the invention
The present invention finishes in view of the above problems, and its objective is provides the corrosion that not only can prevent in the treatment chamber, also can prevent the method for plasma processing and the post-processing approach of the corrosion of handling system.
In order to address the above problem, according to first viewpoint of the present invention, provide a kind of handled object in the chamber is carried out the method for plasma processing of plasma treatment, it is characterized in that, comprising:
The plasma of the gas of halogen will be contained at least, first plasma treatment of handled object being handled with first plasma that generates;
After first plasma treatment, in above-mentioned chamber, supply with the gas contain aerobic, generate second plasma, second plasma treatment that above-mentioned chamber and handled object are handled;
After second plasma treatment, will contain the plasma of the gas of nitrogen and hydrogen at least, handle with the C grade gas ions that the C grade gas ions that generates is handled handled object.
This method of plasma processing is handled by carrying out second plasma treatment and C grade gas ions, not only can prevent the corrosion in the treatment chamber, also can prevent the corrosion of the handling system that halogen causes.
In the above-mentioned method of plasma processing, above-mentioned first plasma treatment is handled to the C grade gas ions and all can be carried out in same chamber.At this moment, handle, realize the cleaning of chamber and the modification of handled object surface sediment thing by universal (all-in-one) in single chamber.
In addition, also can be that above-mentioned first plasma treatment and second plasma treatment are carried out in same chamber, the C grade gas ions is handled and is carried out in other chambers.At this moment, by handled object being moved in other chambers, roughly can block the influence of the halogen gas environment in the chamber that has carried out first plasma treatment.Thus, can prevent the generation of corrosive gas in the handling system.
In addition, in the above-mentioned method of plasma processing, preferred chlorine of above-mentioned halogen or bromine; The above-mentioned gas that contains nitrogen and hydrogen at least, the mist of preferred ammonia or nitrogen and hydrogen.At this moment, during the C grade gas ions was handled, the silicon halide that adheres on the handled object is converted into halogenation ammonia, and was stabilized.Thus, can prevent to produce in the handling system halogen.
In the above-mentioned method of plasma processing, preferably after above-mentioned C grade gas ions is handled, contain the clean processing of washing clean handled object.At this moment, can be easy to the clean halogenation ammonia of removing.
In addition, in the optimal way of method of plasma processing, first plasma treatment is the plasma etch process to silicon substrate.At this moment, use corrosive gas can realize high efficiency etch processes, can prevent the corrosion of chamber and handling system simultaneously.
In addition, according to second viewpoint of the present invention, providing a kind of post-processing approach, is to the handled object in the chamber, and after through the treatment process of using corrosive gas, the method for carrying out reprocessing is characterized in that, comprising:
In above-mentioned chamber, supply with the gas that contains oxygen, generate O 2Plasma, the O that above-mentioned chamber and handled object are handled 2Plasma treatment;
The gaseous plasmaization of nitrogen and hydrogen will be contained at least, with the NH that generates 3Plasma is to process O 2The NH that handled object after the plasma treatment is handled 3Plasma treatment.
In the above-mentioned post-processing approach, use the treatment process of above-mentioned corrosive gas, above-mentioned O 2Plasma treatment, above-mentioned NH 3Plasma treatment all can be carried out in same chamber.At this moment, by the universal processing of in single chamber, carrying out, realize the cleaning of chamber and the modification of handled object surface sediment thing.
In addition, above-mentioned O 2Plasma treatment and NH 3Plasma treatment also can be carried out in different chambers.At this moment, by handled object being moved in other the chamber, can block the influence that corrosive gas brings.Thus, improve NH 3The modification efficiency of handled object surface sediment thing in the plasma treatment can prevent positively that also corrosive gas from shifting to handling system.
In above-mentioned post-processing approach, corrosive gas is the gas that contains halogen at least, when the above-mentioned gas that contains nitrogen and hydrogen at least is the ammonia or the mist of nitrogen and hydrogen, above-mentioned NH 3In the plasma treatment, the silicon halide that adheres on the handled object is converted into halogenation ammonia, and is stabilized, therefore, can prevent to produce halogen in handling system.
In this post-processing approach, preferably include the above-mentioned NH of process 3Handled object after the plasma treatment washes clean clean processing.At this moment, can be easy to the clean halogenation ammonia of removing.
In the optimal way of above-mentioned post-processing approach, using the treatment process of corrosive gas is the etch processes that silicon substrate is carried out.At this moment, when can use corrosive gas to carry out high efficiency etch processes, can reach anticorrosion to chamber and handling system.
Method of plasma processing of the present invention and post-processing approach can prevent in the treatment chamber and the corrosion that is caused by halogen in the handling system.
Description of drawings
Fig. 1 illustrates the ideograph of the state of the wafer surface after first plasma treatment.
The ideograph of wafer surface state when Fig. 2 illustrates second plasma treatment.
Fig. 3 illustrates the ideograph of the state of the wafer surface after the C grade gas ions is handled.
Fig. 4 represents the suitable brief configuration figure that implements the plasma processing apparatus of the inventive method.
Fig. 5 represents the structural map section of processing unit.
Fig. 6 represents the suitable brief configuration figure that implements other plasma processing apparatus of the inventive method.
Symbol description
1 plasma processing apparatus; 2 processing units; 3 processing units; 82,83 etch processes unit; 84,85NH 3Plasma processing unit; 100 plasma processing apparatus; 201 adsorbates; 202 deposits; 203 modifiers; The W wafer.
Embodiment
As handled object, for example can enumerate substrates such as semiconductor wafer among the present invention.
" gas that contains halogen at least " that uses in the method for plasma processing of the present invention is, contains the gas of halogenss such as chlorine, bromine as inscape, can enumerate bromize hydrogen gas, hydrogen chloride gas, chlorine etc. particularly.Therefore, as first plasma treatment, for example can enumerate the plasma etch process of using halogen gas.
In addition, for example can use O as " gas that contains aerobic " 2Gas, O 2The mist of inert gas such as gas and rare gas.Therefore, as second plasma treatment, for example can enumerate and pass through O 2The O of gaseous plasma 2Plasma treatment.In this second plasma treatment, remove by the halogen gas composition (Cl of the first plasma treatment physical absorption on handled object 2, HBr etc.), use O 2The SiCl that adheres on the chamber wall is removed in the displacement of gaseous environment in the chamber of residual halogen gas in the gas displacement treatment chamber 4, SiBr 4Operation Deng deposit etc.
In the method for plasma processing of the present invention,, for example can use NH as " gas that contains nitrogen and hydrogen at least " 3Gas, N 2And H 2Mist etc.Therefore, handle, for example can enumerate and pass through NH as the C grade gas ions 3The NH that gaseous plasma carries out 3Plasma treatment etc.
During the C grade gas ions is handled, the SiCl that on the handled object of semiconductor wafer etc., piles up owing to first plasma treatment 4, SiBr 4Deng silicon halide (SiX 4, here, X represents halogen, below identical) and the Cl of physical absorption on handled object 2, HBr etc. is converted into NH 4Cl, NH 4Halogenation ammonia (the NH of Br etc. 4X, here, X is identical with above-mentioned expression, below identical).Even halogenation ammonia can not volatilize under open air condition yet, therefore can suppress to produce halogen gas in the handling system, prevent to carry the corrosion in path.
Handling the halogenation ammonia that generates by the C grade gas ions is water-soluble substances, therefore, can remove at an easy rate by washing clean operation.The condition of cleaning can be with common to wash clean operation identical.
Among the present invention, first plasma treatment is handled and can be carried out in same chamber to the C grade gas ions, at this moment, by second plasma treatment halogen gas environment in the chamber that is generated by first plasma treatment is replaced, removed deposit in the chamber, removes the halogenic molecule that is adsorbed on the handled object, handling by the C grade gas ions can modification deposit (being converted into halogenation ammonia).
In addition, also can be that first plasma treatment and second plasma treatment are carried out in same chamber, handled object is moved on to carry out the processing of C grade gas ions in other chambers.At this moment,, the halogen gas environment in the initial chamber that is generated by first plasma treatment is replaced, remove the deposit in the chamber, remove the halogen gas molecule that is adsorbed on the handled object by second plasma treatment.In addition, the C grade gas ions only handles in other chambers that the reaction product to the handled object surface sediment carries out modification.At this moment, handled object preferably carries out under vacuum condition moving between chamber.
There is no particular limitation for the condition of plasma treatment, for example, can be that first plasma treatment was carried out 50 seconds, carries out about 5 seconds respectively as second plasma treatment and the processing of C grade gas ions of reprocessing.
In addition, if necessary, except that handling, the first above plasma treatment~C grade gas ions can also add other processing.For example, when first plasma treatment is the etching work procedure of silicon wafer, preferably add the processing of the natural oxide film of removing silicon wafer surface as pre-treatment.
Post-processing approach of the present invention is the post-processing approach of implementing after the treatment process of using corrosive gas.This post-processing approach, handled object and chamber to after handling through corrosive gas carry out following operation: will contain the gaseous plasmaization of aerobic, with the O that generates 2Plasma carries out O 2Plasma treatment (clean); The gaseous plasmaization of nitrogen and hydrogen will be contained at least, with the NH that generates 3The NH that plasma is handled handled object 3Plasma treatment (modification processing).Herein, as the processing of using corrosive gas, for example can list identical with first plasma treatment in the above-mentioned method of plasma processing, use the plasma etch process of the gas that contains halogen etc.In addition, O 2Plasma treatment can be carried out NH in the same manner with second plasma treatment in the above-mentioned method of plasma processing 3Plasma treatment can be handled with the C grade gas ions and carry out in the same manner.
Below, with reference to Fig. 1~Fig. 3 effect of the present invention is described.Fig. 1~Fig. 3 is the ideograph of the principle of explanation method of plasma processing of the present invention.What Fig. 1 represented is, on as the semiconductor wafer of handled object (below, be called for short " wafer ") W, uses near the figure of the section state the substrate surface after corrosive gas is implemented first plasma treatment.By first plasma treatment, Cl is arranged in the substrate surface physical absorption 2Or the attachment 201 of halogen such as HBr, also be adsorbed with in addition by SiX 4The deposit 202 of formations such as (X represent halogens such as chlorine, bromine).
If carry out second plasma treatment after first plasma treatment, by O 2Plasma is removed adsorbate 201 by injection.Its result, as shown in Figure 2, most of adsorbate 201 is removed, but can not remove fully, and deposit 202 almost maintains the original state and remains on the wafer W.In addition, in the chamber inner wall after first plasma treatment is used, when removing adsorbate 201 with the same mechanism of Fig. 2, the gaseous environment in the displacement chamber prevents corrosion.
Then, wafer W being carried out the C grade gas ions handles.By NH 3The effect of plasma, as shown in Figure 3, with the SiX of deposit 202 4Become halogenation ammonia (NH 4X), be converted into modifier 203.In addition, remaining halogen adsorbate 201 is also fixing by modifier 203.Even be exposed in the handling system that modifier 203 waits and also can not produce halogen gas under the open air condition, therefore can prevent the corrosion of handling system in wafer cassette.In addition, handle the NH that generates by the C grade gas ions 4X is a water-soluble substances, can remove at an easy rate by washing only.
Below, the concrete formation schematic diagram with reference to plasma processing apparatus illustrates embodiments of the present invention.Fig. 4 is the horizontal sectional drawing that expression is fit to the summary of the plasma processing apparatus that the inventive method implements.This plasma processing unit under specified vacuum, carries out etch processes and reprocessing to the wafer W as handled object.
This plasma processing unit 1 possesses two processing units 2,3, and each processing unit 2,3 constitutes can implement to carry out separately consistently independently the etch processes of wafer W and the universal operation of reprocessing.Each processing unit 2,3 is connected with sample discrepancy (load lock) chamber 6,7 by gate valve (gate valve) G1 respectively.In these samples side opposite of 6,7 that go out to enter the room with processing unit 2,3, be provided with wafer and move into and take out of chamber 8, wafer move into take out of chamber 8 be provided with three connectivity ports 9,10,11 that installation can be held the wafer cassette of wafer W (FOUP (front-opening unified pods)) F with sample 6, the 7 opposite sides that go out to enter the room.
Two processing units 2,3 go out to enter the room by open gate valve G1 separately and sample and 6,7 are communicated with, by closing gate valve G1 and sample 6,7 disconnections that go out to enter the room separately.In addition, sample go out to enter the room 6,7 move into wafer and take out of the part that chamber 8 is connected, also be provided with gate valve G2, sample goes out to enter the room and 6,7 moves into by open gate valve G2 and wafer and to take out of chamber 8 and be communicated with, and moves into by closed shutter valve G2 and wafer and takes out of chamber 8 disconnections.
Sample goes out to enter the room in 6,7, and processing unit 2,3 and wafer are moved into and taken out of between the chamber 8, are respectively arranged with the Waffer transport device 4,5 of taking out of moving into as the wafer W of handled object.
Wafer is moved into the top of taking out of chamber 8 and is provided with HEPA (HEPAfilter) (not shown), peace and quiet air by this HEPA supplies to wafer and moves into and take out of in the chamber 8 under the state that flows downward, make under the gaseous environment of atmospheric peace and quiet air, carry out moving into of wafer W and take out of.Move on three connectivity ports 9,10,11 that the wafer cassette F that takes out of chamber 8 installs usefulness at wafer and to be respectively arranged with baffle plate (shutter) (not shown), the wafer cassette F or the empty wafer cassette F that hold wafer W are directly installed on these contact openings 9,10,11, after the installation, baffle plate comes off when can prevent that extraneous gas from entering, and takes out of chamber 8 and is communicated with moving into.In addition, move into a side of taking out of chamber 8, be provided with calibration cavity (alignment chamber) 14, carry out the calibration of wafer W here at wafer.Wafer is moved into another side of taking out of chamber 8, is provided with to clean chamber 15, here carry out after the plasma treatment wafer W wash clean.
Wafer is moved into and is taken out of in the chamber 8, is provided with wafer cassette F is carried out that moving into of wafer W taken out of and sample gone out to enter the room 6,7 carrying out the Waffer transport device 16 that moving into of wafer W taken out of.This Waffer transport device 16 has the structure of multi-joint arm, can move on track 18 along the orientation of wafer cassette F, and loaded with wafers W carries out its carrying on its front end pick-up (pick) 17.The control of the entire system of the action of Waffer transport device 16 etc. is undertaken by control part 19.
In such plasma processing apparatus 1, at first, keep under the gaseous environment of atmospheric peace and quiet air, move into the Waffer transport device 16 of taking out of in the chamber 8 by wafer, from any wafer cassette F, take out one piece of wafer W and move in the calibration cavity 14 position of alignment wafer W.Then, wafer W is moved into sample to be gone out to enter the room in 6,7 any, this sample is gone out to enter the room after 6,7 vacuum exhausts, by Waffer transport device 4 or 5 this sample indoor wafer W of coming in and going out is packed in processing unit 2 or the processing unit 3, carry out etching, and continue in same processing unit, to carry out reprocessing.Thereafter, by Waffer transport device 4,5 any with wafer move into sample go out to enter the room 6,7 any in, wherein pressure is got back to after the atmospheric pressure, move into the Waffer transport device 16 of taking out of in the chamber 8 by wafer and take out the indoor wafer W of sample discrepancy, be inserted into clean chamber 15 and wash clean processing.Clean in the chamber 15, carry out washing only of wafer W, remove modifier NH by detergent removers such as water 4X.Wafer W after cleaning by Waffer transport device 16, is contained in any of wafer cassette F once more.Carry out aforesaid operations at the wafer W of 1 group (lot) and finish 1 group processing.
Then, describe processing unit 2 in detail with reference to Fig. 5.Fig. 5 is the concise and to the point sectional drawing of processing unit 2.In this processing unit 2, as mentioned above, constitute and in same chamber, to carry out as the dry etch process of " first plasma treatment ", thereafter " reprocessing ", as the O of " second plasma treatment " 2The NH of plasma treatment and conduct " processing of C grade gas ions " 3Plasma treatment.
In addition, processing unit 2 constitutes, and battery lead plate is opposing parallel up and down, and one side connects plasma and forms the capacitive coupling type parallel flat plasma-etching apparatus of using power supply.
This processing unit 2 has, for example by the surface carry out that aluminium that ceramic thermal spray handles forms, be configured as the chamber 22 as container handling cylindraceous, these chamber 22 protected ground connection.In above-mentioned chamber 22, load wafer W that for example constitute, that be formed with the regulation film on it by silicon, the state that supports with supported parts 24 is provided with the pedestal (susceptor) 23 as lower electrode.This support component 24 is supported by the brace table 26 of not shown lowering or hoisting gear by the insulation board 25 of pottery etc., and by this elevating mechanism, pedestal 23 can lifting.The air part of the bottom center of brace table 26 is covered by bellows (bellows) 27, partly separates with air in the chamber 22.
The inside of above-mentioned support component 24 is provided with cool room 28.In this cool room 28, for example the cold-producing medium of PFPE (Galden fluids) etc. imports and circulation by cold-producing medium ingress pipe 28a, by described pedestal 23 it cold and hotly is delivered to above-mentioned wafer W, and the treated side with wafer W is controlled at desired temperatures thus.In addition, even chamber 22 keeps vacuum, can effectively cool off wafer W for making in the cool room 28 coolant circulating, the back side in the handled object wafer W, be provided with and supply with for example gas passage 29 of He gas etc. of heat transfer medium, thus heat transfer medium can be effectively with the cold and hot wafer W that is delivered to of pedestal 23, the temperature of control wafer W accurately.
The center upper portion portion of pedestal 23 is configured as the circular plate type of convex, and it is provided with and makes the electrostatic chuck 31 of electrode 32 between insulating material, applies direct voltage by the DC power supply 33 that is connected on the electrode 32, for example by Coulomb force Electrostatic Absorption wafer W.The upper end surrounding edge portion of said base 23 to surround the mode of the wafer W of loading on the electrostatic chuck 31, disposes the ring-type focusing ring 35 that can improve etch uniformity.
The top of said base 23, with these pedestal 23 opposing parallel be provided with the spray head (shower head) 41 that works as upper electrode.This spray head 41 is clamped insulating material 42, is supported on the top of chamber 22, on the subtend face 44 of itself and pedestal 23, is provided with a plurality of squit holes 43.In addition, between the surface of wafer W and the spray head 41, the interval of 30~90mm degree is for example arranged, this distance is can regulate by above-mentioned elevating mechanism.
The central authorities of above-mentioned spray head 41 are provided with gas introduction port 46, are connected with gas supply pipe 47 on this gas introduction port 46, and this gas supply pipe 47 connects the gas supply system of supplying with etching gas and purge gas by valve 48.Cl is arranged in the gas supply system 2 Gas supply source 50, NH 3 Gas supply source 51, O 2 Gas supply source 52 is respectively arranged with mass flow controller 53 and valve 54 on the pipe arrangement of these gas supply sources.
Cl as etching gas 2Gas, as the NH of post-treatment gas 3Gas and O 2Gas is from the gas supply source separately of gas supply system, by the inner space of supplying tubing 47, gas introduction port 46 arrival spray heads 41, by 43 ejections of gas squit hole.
Be connected with blast pipe 55 near the sidewall bottom of above-mentioned chamber 22, be connected with exhaust apparatus 56 on this blast pipe 55.Exhaust apparatus 56 possesses turbomolecular pump equal vacuum pump, constitutes the depressed gas environment that vacuum exhaust in the chamber 22 can be arrived regulation thus, for example the following authorized pressure of 1Pa.In addition, on the sidewall of chamber 22, be provided with moving into of wafer W take out of mouthfuls 57 and switch this move into and take out of mouthfuls 57 gate valve G1, under the state that this gate valve G1 opens, wafer W by move into take out of mouthfuls 57 and the carrying between 6 (with reference to Fig. 4) that goes out to enter the room of the sample of adjacency.
Be connected with high frequency electric source 60 on the spray head 41 that works as upper electrode, its supply lines is provided with adapter 61.This high frequency electric source 60 is supplied with for example High frequency power of 60MHz frequency to upper electrode spray head 41, forms the high-frequency electric field that plasma forms usefulness between upper electrode spray head 41 and lower electrode pedestal 23.In addition, be connected with low pass filter (LPF) 62 on the spray head 41.
Pedestal 23 as lower electrode is connected with high frequency electric source 70, is connected with adapter 71 on its supply lines.This high frequency electric source 70 is supplied with for example High frequency power of 13.56MHz frequency to bottom electrode base 23, and the ion in the plasma is imported to wafer W, realizes the high etching of anisotropy.In addition, be connected with high pass filter (HPF) 36 on this pedestal 23.
When the device of use Fig. 5 carries out etching, at first, starting gate valve G1, with wafer W move into be loaded on the pedestal 23 in the chamber 22 after, closed shutter valve G1 rises pedestal 23, wafer W surface on the adjustment pedestal 23 and the distance between the spray head 41 are about 30~90mm, by the vacuum pump of exhaust apparatus 56 by exhausts in 55 pairs of chambers of blast pipe 22, in the decompression chamber 22 after, apply direct voltage by the electrode 32 of DC power supply 33 in electrostatic chuck 31.
Then, from Cl 2 Gas supply source 50 will be as the Cl of etching gas 2Gas imports in the chamber 22.In addition, apply for example High frequency power of 60MHz to spray head 41, thus, between upper electrode spray head 41 and lower electrode pedestal 23, generate high-frequency electric field, Cl from high frequency electric source 60 2Gaseous plasmaization.By generating plasma, wafer W by Electrostatic Absorption on electrostatic chuck 31.
Utilize the plasma of the etching gas that obtains thus, wafer W is carried out etching.At this moment, apply the high-frequency electrode of assigned frequency to bottom electrode base 23, the ion in the plasma is guided to a side of pedestal 23 by high frequency electric source 70.
In the processing unit 2, by O 2Gas carries out as the clean of reprocessing or by NH 3When gas carries out the modification processing, as the Cl of etching gas 2The place of gas of gas is used O respectively 2Gas, NH 3Gas carries out same plasma treatment.
Fig. 6 is the brief configuration figure of the plasma processing apparatus of the many chamber profile of expression.As shown in Figure 6, this plasma processing unit 100 possesses wafer W is carried out etch processes and carried out O 2The etch processes unit 82,83 of plasma treatment carries out the NH that ammonia plasma treatment is handled 3 Plasma processing unit 84,85.In addition, plasma processing apparatus 100 has the carrying wafers chamber 81 of hexangle type, on its four limits connectivity port 81a, 81b, 81c, the 81d that connects the predetermined processing unit is respectively arranged.Be connected with etch processes unit 82 on the 81a of connectivity port, be connected with etch processes unit 83 on the 81b of connectivity port, be connected with NH on the 81c of connectivity port 3 Plasma processing unit 84 is connected with NH on the 81d of connectivity port 3 Plasma processing unit 85.
In addition, on other both sides of carrying wafers chamber 81, be respectively equipped with sample and go out to enter the room 86,87.These samples go out to enter the room 86,87 and relative side carrying wafers chamber 81, being provided with wafer moves into and takes out of chamber 88, wafer move into take out of chamber 88 with sample 86, the 87 opposite sides that go out to enter the room, be provided with three connectivity ports 89,90,91 that installation can be held the wafer cassette of wafer W (FOUP) F.
Etch processes unit 82,83, NH 3 Plasma processing unit 84,85 and sample go out to enter the room 86,87, connect by gate valve G3, G4, are communicated with carrying wafers chamber 81 by opening these gate valve G3, G4 separately, disconnect by gate valve G3, G4 and the carrying wafers chamber of closing separately 81.In addition, sample go out to enter the room 86,87 move into wafer and take out of the position that chamber 88 is connected, also be provided with gate valve G5, go out to enter the room by starting gate valve G5 sample and 86,87 move into wafer and to take out of chamber 88 and be communicated with, move into by closed shutter valve G5 and wafer and take out of chamber 88 and disconnect.
In the carrying wafers chamber 81, corresponding etch processes unit 82,83, NH 3 Plasma processing unit 84,85 and sample go out to enter the room 86,87, are provided with to move into the Waffer transport device 92 of taking out of the handled object wafer W.This Waffer transport device 92 is arranged on about central portion of carrying wafers chamber 81, front end at the rotation-pars contractilis 93 that can rotate and stretch is provided with two blade 94a, 94b that keep wafer W, and these two blade 94a, 94b are to be installed on rotation-pars contractilis 93 towards rightabout mode.In addition, in this carrying wafers chamber 81, keep the specified vacuum degree.
Wafer is moved into the top of taking out of chamber 88, be provided with HEPA (HEPAfilter) (not shown), peace and quiet air by this HEPA supplies to wafer in the mode that flows downward and moves into and take out of in the chamber 88, under the gaseous environment of atmospheric peace and quiet air, carry out moving into of wafer W and take out of.Wafer is moved into the wafer cassette F that takes out of chamber 88 and is installed with on three connectivity ports 89,90,91, be respectively equipped with baffle plate (not shown), the wafer cassette F or the empty wafer cassette F that hold wafer W are directly installed on these connectivity ports 89,90,91, after the installation, baffle plate comes off and can prevent the invasion of extraneous gas, moves into wafer simultaneously and takes out of chamber 88 and be communicated with.In addition, wafer is moved into a side side of taking out of chamber 88 and is provided with calibration cavity 94, carries out the calibration of wafer W here.Wafer is moved into the opposite side side of taking out of chamber 88, is provided with to clean chamber 95, carries out the cleaning of wafer W after the plasma treatment here.
Wafer is moved into to take out of to be provided with in the chamber 88 wafer cassette F is carried out that moving into of wafer W taken out of and sample gone out to enter the room 86,87 carrying out the Handling device 96 that moving into of wafer W taken out of.This Handling device 96 has the structure of multi-joint arm, can move on track (rail) 98 along the orientation of wafer cassette F, and loaded with wafers W carries on its front end pick-up 97.The control of the entire system of the action of Waffer transport device 92,96 etc. is undertaken by control part 99.
In this plasma processing unit 100, at first, under the gaseous environment that keeps atmospheric peace and quiet air, move into the Waffer transport device 96 of taking out of in the chamber 88 by crystal, from any wafer cassette F, take out one piece of wafer W and move in the calibration cavity 94, carry out the calibration of wafer position.Then, with wafer W move into sample go out to enter the room 86,87 any in, this sample is gone out to enter the room after the vacuum exhaust, by the Waffer transport devices 92 in the carrying wafers chamber 81 this sample indoor wafer W of coming in and going out are taken out.
Then, the wafer W of taking out packed into carry out etch processes in etch processes unit 82 or 83, then carry out O 2Plasma treatment.Thereafter, take out wafer W by Waffer transport device 92 from etch processes unit 82 or 83, NH packs into 3 Plasma processing unit 84 or 85 carries out NH 3Plasma treatment.That is, in this plasma processing unit 100, in etch processes unit 82 or 83, carry out etch processes and O 2Plasma treatment, then, at the original position that does not destroy vacuum state, at NH 3Carry out NH in the plasma processing unit 84 or 85 3Plasma treatment.Thereafter, by Waffer transport device 92 with wafer W move into sample go out to enter the room 86,87 any in, get back within it after the atmospheric pressure, move into the Waffer transport devices 96 of taking out of in the chamber 88 by wafer and take out the samples indoor wafer W of coming in and going out, move into and clean in the chamber 95.In clean chamber 95, detergent removers such as water wash clean wafer W, remove modifier NH 4X.After cleaning, take out wafer W, be contained in any of wafer cassette F by Waffer transport device 96.Aforesaid operations carries out at 1 group of wafer W, and 1 group processing finishes.
Etch processes unit 82,83 and NH in the plasma processing apparatus 100 3The structure of plasma processing unit 84,85 except that gas feed system difference, can adopt the essentially identical structure with Fig. 5.That is the Cl that possesses as etching gas of etch processes unit 82,83, 2The feed system of gas and as the O of purge gas 2The feed system of gas, NH 3Possesses NH in the plasma processing unit 84,85 as modified gas 3The feed system of gas.In addition, etch processes, the O in the plasma processing apparatus 100 2Plasma treatment, NH 3Plasma treatment all can be implemented by the processing in the processing unit 2 as shown in Figure 5 respectively.
Below, enumerate embodiment and illustrate in greater detail the present invention, but the present invention is not limited to this.
Embodiment 1 and comparative example 1~3
Use corrosive gas HBr and Cl as etching gas 2When carrying out the etching work procedure of silicon wafer, use O 2Plasma and NH 3The reprocessing that plasma carries out is implemented by test kind change condition, the amount of measuring on the wafer and carrying halogen in the path (in the wafer cassette).In addition, etching work procedure and reprocessing use the device of the structure identical with Fig. 5 to implement.
The test kind is as shown in table 1, has estimated the situation (comparative example 1) of not carrying out reprocessing respectively, has only carried out O 2The situation of plasma treatment (comparative example 2) is only carried out NH 3The situation of plasma treatment (comparative example 3) is carried out O 2Carry out NH after the plasma treatment 3The situation of plasma treatment (embodiment 1).As the condition of plasma treatment, etch processes was carried out 50 seconds, the O of reprocessing 2Plasma treatment and NH 3Plasma treatment was carried out respectively 5 seconds.
The result is as shown in table 1.
Table 1
Comparative example 1 Comparative example 2 Comparative example 3 Embodiment 1
On the wafer Cl (μ g/ wafer) 14.9 17.8 4266.7 504.7
Br (μ g/ wafer) 13.3 2.0 746.8 2.6
In the wafer cassette Cl (ppm/ wafer cassette) 1.5 2.2 0.0 0.2
As shown in table 1, do not carry out the comparative example 1 of reprocessing and only carry out O 2In the comparative example 2 of plasma treatment, the content height of the chlorine in the wafer cassette.This is considered to, under the state of atmosphere opening from the wafer the remaining deposit chlorine that volatilized, therefore the worry of corrosion handling system is arranged.
Only carrying out NH 3In the comparative example 3 of plasma treatment, do not detect chlorine in the wafer cassette, and the content height of chlorine on the wafer and bromine is considered to thus pile up with the state that is modified as sal-ammoniac, ammonia bromide.In addition, though do not show in the table 1 that it is residual also to be identified a large amount of deposits in chamber.
On the other hand, carrying out O 2Carry out NH after the plasma treatment 3Among the embodiment 1 of plasma treatment, in wafer cassette, only detect the chlorine (0.2ppm/ wafer cassette) of trace, express the corrosion that method of the present invention can prevent handling system effectively.In addition, compare with comparative example 3, the amount of halogen also obviously reduces on the wafer, can remove fully with washing only.In addition, almost do not have deposit to leave in the chamber yet, can prevent the corrosion of chamber effectively.
Embodiment 2 and comparative example 4
Use corrosive gas HBr and Cl as etching gas 2When carrying out the etching work procedure of silicon wafer,, implement O as reprocessing 2Plasma treatment and NH 3Plasma treatment then, implements to wash clean (embodiment 2) to the silicon wafer after handling.
This washes only, uses 5% aqueous hydrogen fluoride solution (HF+H as soup 2O) (Dilute Hydrofluoric Acid DHF), implements to clean 60 seconds dilute hydrogen fluoride acid.In addition, for comparing, only carry out O as reprocessing 2The situation of plasma treatment is also cleaned (comparative example 4) with dilute hydrogen fluoride acid (DHF) under same condition.
The device of the structure that etching work procedure and reprocessing use and Fig. 5 are same.Plasma treatment time is that etch processes is 50 seconds, the O of reprocessing 2Plasma treatment and NH 3Plasma treatment was respectively 5 seconds.In addition, only carry out O as reprocessing 2The time of the comparative example 4 of plasma treatment is 5 seconds+5 seconds 10 seconds altogether.
Mensuration washes the content of halogen on the silicon wafer of clean front and back.The amount of the halogen on the silicon wafer is by after dissolving halogen in the water that silicon wafer is immersed 100mL, and the method for measuring this lysate with ion chromatography separation obtains.The result is as shown in table 2.
Table 2
Before cleaning After cleaning
Comparative example 4 is O only 2Plasma Embodiment 2 O 2Plasma+NH 3Plasma Comparative example 4 is O only 2Plasma Embodiment 2 O 2Plasma+NH 3Plasma
Cl (μ g/ wafer) 18.3 182.2 0.10 0.07
Br (μ g/ wafer) 2.9 18.3 0.06 0.02
As shown in table 2, implement O as reprocessing 2Plasma treatment and NH 3Among the embodiment 2 of plasma treatment, washing residually on the silicon wafer before clean has a large amount of chlorine and a bromine.These halogens are thought to contain in the modifier.But, reduce significantly by the content that washes clean chlorine and bromine, be reduced to and only implement O 2The level that the comparative example 4 of plasma treatment is identical.Can determine from the result, because of NH 3The modifier that contains halogen that plasma treatment generates on wafer can easily be removed by washing only.
More than, embodiments of the present invention have been described, but the present invention is not limited in above-mentioned execution mode, various variations can be arranged.For example, in the above-mentioned execution mode, as the processing of first plasma treatment and use corrosive gas, the plasma etch process of having given an example, but be not limited to this, so long as the operation of the corrosive gas of use halogen etc. is suitable equally.
In addition, in the above-mentioned execution mode, given an example to use and applied the plasma-etching apparatus that High frequency power is carried out etched parallel plate-type at electrode up and down, but be not limited to this, also can be only to upper electrode or only apply the device of the type of High frequency power, also can be to use the magnetron RIE plasma-etching apparatus of alnico magnets to lower electrode.In addition, be not limited to the plasma device of capacitive coupling type, also can usability answer other various types of plasma-etching apparatus of coupled mode etc.
Utilizability on the industry
The inventive method can be used in the operation of using corrosive gas in the processing procedures such as manufacturing process of semiconductor devices.

Claims (14)

1. a method of plasma processing is the method for plasma processing that the handled object in the chamber is carried out plasma treatment, it is characterized in that, comprising:
The gaseous plasmaization of halogen will be contained at least, first plasma treatment of handled object being handled with first plasma that generates;
After first plasma treatment, in described chamber, supply with the gas contain aerobic, generate second plasma, second plasma treatment that described chamber and handled object are handled;
To contain the gas plasmaization of nitrogen and hydrogen at least, the C grade gas ions of handling through the handled object after second plasma treatment is handled with the C grade gas ions that generates.
2. method of plasma processing as claimed in claim 1 is characterized in that:
Described first plasma treatment is handled to the C grade gas ions and is all carried out in same chamber.
3. method of plasma processing as claimed in claim 1 is characterized in that:
Described first plasma treatment and second plasma treatment are carried out in same chamber, and the C grade gas ions is handled and carried out in other chambers.
4. as each described method of plasma processing in the claim 1~3, it is characterized in that:
Described halogen is a chlorine or bromine, and the described gas that contains nitrogen and hydrogen at least is ammonia, or the mist of nitrogen and hydrogen.
5. method of plasma processing as claimed in claim 4 is characterized in that:
Described C grade gas ions is converted into halogenation ammonia with the silicon halide that adheres on the handled object in handling.
6. method of plasma processing as claimed in claim 5 is characterized in that, comprising:
Handled object after handling through described C grade gas ions is washed clean clean processing.
7. as each described method of plasma processing in the claim 1~6, it is characterized in that:
First plasma treatment is the plasma etch process to silicon substrate.
8. post-processing approach, to the handled object in the chamber, the post-processing approach of implementing after the treatment process of using corrosive gas is characterized in that, comprising:
In described chamber, supply with the gas that contains aerobic, generate O 2Plasma is in the described chamber and the O that handles of handled object 2Plasma treatment;
The gaseous plasmaization of nitrogen and hydrogen will be contained at least, with the NH that generates 3Plasma is to process O 2The NH that handled object after the plasma treatment is handled 3Plasma treatment.
9. post-processing approach as claimed in claim 8 is characterized in that:
Use the treatment process of described corrosive gas, described O 2Plasma treatment and described NH 3Plasma treatment is all carried out in same chamber.
10. post-processing approach as claimed in claim 8 is characterized in that:
Described O 2Plasma treatment and NH 3Plasma treatment is carried out in different chambers.
11., it is characterized in that as each described post-processing approach in the claim 8~10:
Described corrosive gas is the gas that contains halogen at least, and the described gas that contains nitrogen and hydrogen at least is ammonia, perhaps the mist of nitrogen and hydrogen.
12. post-processing approach as claimed in claim 11 is characterized in that:
At described NH 3In the plasma treatment, the silicon halide that adheres on the handled object is converted into halogenation ammonia.
13. post-processing approach as claimed in claim 12 is characterized in that, comprising:
To described NH 3Handled object after the plasma treatment washes clean clean processing.
14., it is characterized in that as each described post-processing approach in the claim 8~13:
The treatment process of using described corrosive gas is the etch processes to silicon substrate.
CNB2005100770642A 2004-06-23 2005-06-15 Plasma processing method and post-processing method Expired - Fee Related CN100359647C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004184489A JP4727170B2 (en) 2004-06-23 2004-06-23 Plasma processing method and post-processing method
JP2004184489 2004-06-23

Publications (2)

Publication Number Publication Date
CN1734724A true CN1734724A (en) 2006-02-15
CN100359647C CN100359647C (en) 2008-01-02

Family

ID=35779843

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100770642A Expired - Fee Related CN100359647C (en) 2004-06-23 2005-06-15 Plasma processing method and post-processing method

Country Status (4)

Country Link
JP (1) JP4727170B2 (en)
KR (1) KR100743275B1 (en)
CN (1) CN100359647C (en)
TW (1) TW200601453A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9126229B2 (en) 2011-05-11 2015-09-08 Tokyo Electron Limited Deposit removal method
US9177816B2 (en) 2011-09-29 2015-11-03 Tokyo Electron Limited Deposit removal method
CN105097700A (en) * 2014-04-24 2015-11-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device preparation method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6749090B2 (en) * 2015-11-12 2020-09-02 東京エレクトロン株式会社 Processing method in processing apparatus using halogen-based gas
CN108352317A (en) * 2016-02-05 2018-07-31 应用材料公司 Lamination etch system with multiple types chamber

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS635532A (en) * 1986-06-25 1988-01-11 Matsushita Electric Ind Co Ltd Plasma cleaning process
JP3191407B2 (en) * 1991-08-29 2001-07-23 ソニー株式会社 Wiring formation method
JPH06151389A (en) * 1992-11-10 1994-05-31 Sony Corp Post-treatment method of dry etching
JPH0799224A (en) * 1993-09-28 1995-04-11 Hitachi Ltd Multiple-chamber type semiconductor manufacturing apparatus
US5451263A (en) * 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
WO1999026277A1 (en) * 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002151474A (en) * 2000-11-08 2002-05-24 Hitachi Ltd Apparatus for manufacturing semiconductor device
JP3925088B2 (en) * 2001-01-16 2007-06-06 株式会社日立製作所 Dry cleaning method
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9126229B2 (en) 2011-05-11 2015-09-08 Tokyo Electron Limited Deposit removal method
US9177816B2 (en) 2011-09-29 2015-11-03 Tokyo Electron Limited Deposit removal method
CN105097700A (en) * 2014-04-24 2015-11-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device preparation method

Also Published As

Publication number Publication date
CN100359647C (en) 2008-01-02
KR20060046505A (en) 2006-05-17
KR100743275B1 (en) 2007-07-26
TW200601453A (en) 2006-01-01
JP2006012940A (en) 2006-01-12
JP4727170B2 (en) 2011-07-20

Similar Documents

Publication Publication Date Title
TWI555058B (en) Abatement and strip process chamber in a dual loadlock configuration
JP4744175B2 (en) Substrate processing equipment
US11373860B2 (en) Method of restoring collapsed pattern, substrate processing method, and substrate processing device
CN1976003A (en) Semiconductor device manufacturing method and substrate processing system
TWM563652U (en) Chamber components for use in plasma processing apparatuses and apparatuses comprising the same
TW201523694A (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
CN1943003A (en) Method and apparatus for in-situ film stack processing
US20130014785A1 (en) Substrate processing method and substrate processing apparatus
CN1792474A (en) Ceramic sprayed member-cleaning method, program for implementing the method, storage medium storing the program, and ceramic sprayed member
JP2015531546A (en) Fume removing apparatus and substrate processing apparatus
JP2007227764A (en) Substrate surface-treating device, substrate surface treatment method, and substrate-treating device
CN1734724A (en) Plasma processing method and post-processing method
KR20100046800A (en) Single type substrate treating apparatus and method of exhausting in the apparatus
CN1828841A (en) Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
KR20180075388A (en) Substrate processing method, substrate processing apparatus, substrate processing system, control device for substrate processing system, semiconductor substrate manufacturing method, and semiconductor substrate
CN104282519B (en) The clean method of plasma processing apparatus
CN107799389B (en) Substrate processing method
KR20150122008A (en) Apparatus for cleaning substrate
KR20190134372A (en) Apparatus and method for treating substrate
US20060011580A1 (en) Plasma processing method and post-processing method
JP6914143B2 (en) Substrate processing method, substrate processing equipment, substrate processing system, substrate processing system control device, and semiconductor substrate manufacturing method
CN1819113A (en) Vacuum processing apparatus and method of using the same
KR101966814B1 (en) Unit for supplying treating liquid and Apparatus for treating substrate
WO2024190118A1 (en) Substrate treatment method and substrate treatment device
JP2012124227A (en) Substrate cleaning method and substrate processing apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080102

Termination date: 20170615