JP2011530763A5 - - Google Patents
Download PDFInfo
- Publication number
- JP2011530763A5 JP2011530763A5 JP2011522952A JP2011522952A JP2011530763A5 JP 2011530763 A5 JP2011530763 A5 JP 2011530763A5 JP 2011522952 A JP2011522952 A JP 2011522952A JP 2011522952 A JP2011522952 A JP 2011522952A JP 2011530763 A5 JP2011530763 A5 JP 2011530763A5
- Authority
- JP
- Japan
- Prior art keywords
- pvt
- library
- circuit
- timing
- supplementary
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 230000000576 supplementary Effects 0.000 claims description 30
- 238000000034 method Methods 0.000 claims description 16
- 238000005457 optimization Methods 0.000 claims description 8
- 230000002194 synthesizing Effects 0.000 claims description 6
- 230000003044 adaptive Effects 0.000 claims description 5
- 238000010192 crystallographic characterization Methods 0.000 claims description 5
- 239000000470 constituent Substances 0.000 claims description 4
- 230000003068 static Effects 0.000 claims description 4
- 238000010586 diagram Methods 0.000 description 6
- 230000004301 light adaptation Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000000875 corresponding Effects 0.000 description 2
- 230000003287 optical Effects 0.000 description 2
- 230000000153 supplemental Effects 0.000 description 2
- 238000003786 synthesis reaction Methods 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 230000003111 delayed Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000006011 modification reaction Methods 0.000 description 1
- 238000007619 statistical method Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
Description
仮出願の相互参照
本出願は、本発明と共に同じ譲受人に譲渡され、参照により本明細書に組み込まれる、2008年5月7日にParkerらによって出願された「A Novel Paradigm for Optimizing Performance, Power, Area and/or Yield in Integrated Circuits」という名称の米国仮出願第61/126,881に関する。
本出願は、本発明と共に同じ譲受人に譲渡され、参照により本明細書に組み込まれる、2008年5月7日にParkerらによって出願された「A Novel Paradigm for Optimizing Performance, Power, Area and/or Yield in Integrated Circuits」という名称の米国仮出願第61/126,881に関する。
本発明は、一般に集積回路(IC)設計に関し、より詳細には、適応型電圧およびスケーリング最適化(AVSO)を使用するICを設計するためのシステムおよび方法に関する。
回路設計者は、コンピュータ援用設計(CAD)ツールのカテゴリである電子設計自動化(EDA)ツールを使用して、回路の動作をシミュレートし、セル(すなわち、トランジスタなどの装置を含む論理素子)をどこに配置すべきか、およびセルを結合する相互接続をどこに経路設定すべきかを決定することを含めて、電子回路を設計し、レイアウトする。EDAツールによって設計者は、コンピュータを使用して、高価で時間がかかる製造のプロセスの必要無しに回路を構築し、その性能をシミュレートすることができる。EDAツールは、現在のIC、特に超大規模集積回路(VSLIC)を設計するために不可欠である。このために、EDAツールは、広く使用されている。
こうしたEDAツールの1つは、タイミングサインオフを実行する。タイミングサインオフは、IC設計プロセスの最後のステップのうちの1つであり、新しく設計された回路において、回路が意図通りに動作するような信号伝搬速度(すなわち遅延)となることを確実にする。回路を非常にゆっくり伝わる信号は、セットアップ違反を引き起こし、回路を非常に速く伝わる信号は、ホールド違反を引き起こす。セットアップ違反またはホールド違反は、回路のロジックをだめにし、回路が行うように設計されていたジョブを行うことを妨害する。
タイミングサインオフは、「コーナー」と呼ばれる予想されるばらつきに関する複数の組の仮定のもとに、回路の高精度なモデルにより実行される。プロセス−電圧−温度(PVT)コーナーは、IC間の装置の動作、供給電圧、および動作温度のばらつきに関する仮定に基づく。抵抗−静電容量(R、CまたはRC)コーナーは、IC間の相互接続の抵抗および静電容量のうちの一方または両方におけるばらつきに関する仮定に基づく。従来のタイミングサインオフは、「遅い」PVTコーナー(プロセスのばらつきが相対的に遅い切り替え装置をもたらすと想定され、装置切り替え速度が最も遅くなるような供給電圧および動作温度である)および「最悪の」RCコーナー(プロセスのばらつきが相対的に高い抵抗および静電容量を有する相互接続をもたらすと想定される)におけるセットアップ違反およびホールド違反を識別する。また、従来のタイミングサインオフは、「速い」PVTコーナー(プロセスのばらつきが相対的に速い切り替え装置をもたらすと想定され、装置切り替え速度が最も速くなるような供給電圧および動作温度である)および「最高の」RCコーナー(プロセスのばらつきが相対的に低い抵抗および静電容量を有する相互接続をもたらすと想定される)におけるホールド違反も識別する。また、従来のサインオフタイミングは、統計的な方法を使用して、所与のICのエリアにわたって生じるプロセスのばらつきであるチップ内ばらつき(OCV)も考慮に入れる。
エネルギーを含めてリソースを温存することは、今日の世界における随一の目的となっている。ICの製造業者は、その製品のエネルギー効率を向上させる必要性に敏感である。適応型電圧およびスケーリング最適化(AVSO)は、その全体的な戦略の重要な構成要素である。AVSOの根底にある目的は、ICが、性能を犠牲にすることなく可能な限り低い電圧で動かされるべきであるということである。AVSOを使用するIC(「AVSO IC」)は、信号伝搬速度をリアルタイムで決定し、ICが意図通りに動作するように信号伝搬速度が維持されることを確実にするように供給電圧を調整する。AVSOは、エネルギーを温存する能力を実証しており、したがって将来のICにも常に広範に使用されることが期待される。
従来技術の上記の欠点に対処するために、本発明の一態様は、回路を設計するための設計プロセス最適化システムおよび方法を提供する。一実施形態において、システムは、(1)回路が構築されるセルの装置のPVTの特徴付けのPVTライブラリを含むように構成されるPVTライブラリデータベースと、(2)PVTライブラリデータベースに結合され、補足的な目的を示す選択を受信し、PVTライブラリデータベースからPVTライブラリのうちの1つを選択することによって、選択に応答するように構成されるPVTライブラリセレクタとを含み、タイミングサインオフツールがPVTライブラリのうちの1つから多くとも2つのコーナーを後で使用して、回路に関してタイミングサインオフを実行する。
別の実施形態において、システムは、(1)PVTの特徴付けのPVTライブラリ、およびAVSO ICが構築されるセルの装置のR、CおよびRCの特徴付けのうちの選択されたものを含むように構成されるPVTライブラリデータベースと、(2)PVTライブラリデータベースに結合され、補足的な目的を示す選択を受信し、(2a)補足的な目的が低減された消費電力である場合、速−低−公称(FLN)PVTライブラリ、(2b)補足的な目的が低減された消費電力と低減されたターンアラウンド時間との間の折衷である場合、標準−標準−公称(TNN)PVTライブラリ、および(2c)補足的な目的が低減されたターンアラウンド時間である場合、遅−高−公称(SHN)PVTライブラリ、を選択することによって、選択に応答するように構成されるPVTライブラリセレクタとを含み、タイミングサインオフツールがFLN、TNN、およびSHNライブラリのうちの1つから多くとも2つのコーナーを後で使用して、AVSO ICに関してタイミングサインオフを実行する。
本発明の別の態様は、回路を設計する方法を提供する。一実施形態において、方法は、(1)補足的な目的を選択することと、(2)補足的な目的の選択に応じてPVTライブラリデータベースからPVTライブラリを選択することと、(3)回路の論理構造を合成することと、(4)適切なセルライブラリを使用してレイアウトにおいて回路の構成セルを配置することと、(5)構成セルの中に相互接続を経路設定することと、(6)PVTライブラリから多くとも2つのPVTコーナーを使用してタイミングサインオフを実行することとを含む。
前記では、当業者が以下の本発明の詳細な説明をよりよく理解できるように、本発明のいくつかの態様および実施形態を概説した。以下、本発明の特許請求の範囲の主題を形成する追加の態様および実施形態について説明する。本発明の同じ目的を実行するために他の構造を設計し、または変更するための基本として開示した態様および実施形態を容易に使用できることを当業者は理解されたい。また、こうした等価の構造が本発明の範囲から逸脱しないことも当業者は理解されたい。
本発明のより完全な理解のために、次に、以下の説明を添付の図面と共に参照する。
従来のIC設計のプロセスは、信号伝搬速度における調整を行うためにその供給電圧をリアルタイムで適応させるAVSO ICの能力を利用しないことがわかっている。AVSO ICにより適している設計プロセスは、消費電力、急速な開発(またターンアラウンドとしても知られている)時間、または2つの間の折衷のどれが設計の目的であるかを回路設計者が前もって決定できるようにすべきである。また、従来のサインオフタイミングが実行されるPVTおよびRCの遅いおよび速いコーナーは、AVSO ICには適していないこともわかっている。AVSO ICのタイミングサインオフは、PVTおよびRCコーナー、並びに従来のタイミングサインオフプロセスが決して検討しなかったOCVマージンの値を有利に使用すべきである。
本明細書に記述されているのは、AVSO ICを設計するためのシステムおよび方法の様々な実施形態である。任意のICを設計する際の主な目的は、公称動作周波数F0で意図通りに機能する設計を生成することである。本明細書に記載する様々な実施形態によって、回路設計者は、低減された(例えば最小の)消費電力P、低減された(例えば最小の)ターンアラウンド時間(TAT)、またはPとTATとの間の折衷など、補足的な目的を選択することができる。IC設計者がどの補足的な目的を選択するかにかかわらず、柔軟なIC設計プロセスによって、タイミングサインオフが単一のPVTコーナーにある単一のIC設計を得ることができる。
システムおよび方法のいくつかの実施形態によって、1つのみのPVTコーナーで回路合成、設計、および最適化を実行することができ、これによってTATおよび設計作業が低減する。システムおよび方法のいくつかの実施形態によって、1つのみ、または多くとも2つのPVTコーナーでタイミングサインオフを実行することができ、これによってTATおよび設計作業がさらに低減する。システムおよび方法のいくつかの実施形態は、すべてのICについて、すべてのコーナーで、必要な設計性能(公称動作周波数F0と呼ばれる)、および消費電力が補足的な目的として選択された場合、最小の消費電力を保証する。最後に、システムおよび方法のいくつかの実施形態によって、タイミングサインオフの前に、適切なPVTコーナーを定義し、特徴付けることができる。
次に、AVSO ICの一般的なアーキテクチャについて説明する。図1は、本発明の原理に従って構築され、または実行されるシステムまたは方法の一実施形態を設計のために使用できるN個の供給電圧ドメインを有するAVSO IC100の一例の高レベルブロック図である。各機能ドメインは、機能回路110−1、110−2、・・・、110−N、およびVminからVmaxまでの範囲内の公称電圧V0に調整することができる供給電圧Vを各ドメインに提供する対応する電圧調整器(VR)120−1、120−2、・・・120−Nを含む。本明細書の説明上、V0は、−10%から+15%の範囲内で調整可能であると仮定され、すなわち[Vmin,Vmax]=[V0−10%,V0+15%]である。さらに、この25%の電圧適応範囲は、すべての予想される信号伝搬速度のばらつきを補償するのに十分であると仮定する。後者は、妥当な仮定である。というのは、供給電圧の変化は、約2倍の遅延の変化をもたらす、すなわち25%の電圧変更は、約50%の遅延変化をもたらすからである。
電圧管理ユニット(VMU)130は、各ドメインにおけるパス(通常、クリティカルパス)に関連付けられた1つまたは複数のパスモニタ(PM)140−1、140−2、・・・140−Nから受信した信号に基づいて、供給電圧VをVminからVmaxまでの範囲内に設定する。VMU130は、通常、各ドメインにおける供給電圧Vを、信号伝搬速度がセットアップエラーを回避するのに十分であることを保証するのに必要な最小レベルに設定する。このように、AVSO IC100は、低減された(例えば最小の)消費電力レベルで動作する。
図2は、本発明の原理に従って実行されるAVSO ICを設計する方法の一実施形態のフロー図である。この方法は、開始ステップ210で開始し、そこにおいてAVSO ICを設計することが望まれる。決定ステップ220において、回路設計者は、低減された(おそらく最低限に抑えられた)消費電力P、低減された(おそらく最低限に抑えられた)ターンアラウンド時間TAT、または低減されたPと低減されたTATとの間の折衷のいずれかの補足的な目的を選択する。回路設計者が補足的な目的として低減されたPを選択した場合、ステップ230で、複数のライブラリのデータベースから、回路のセルが構築される装置のPVTの特徴付けのFLVライブラリが選択される。回路設計者が補足的な目的として低減されたPと低減されたTATとの間の折衷を選択した場合、ステップ240で、複数のライブラリのデータベースから、回路のセルが構築される装置のPVTの特徴付けのTTNライブラリが選択される。回路設計者が補足的な目的として低減されたTATを選択した場合、ステップ250で、複数のライブラリのデータベースから、回路のセルが構築される装置のPVTの特徴付けのSHNライブラリが選択される。
次いで、ステップ260で、回路設計者は、その論理構造に関して設計を合成するプロセスに入り、その後、適切なセルライブラリを使用して構成セルが選択され、セルの中に相互接続が経路設定される。次に、ステップ270で、通常1つ、または多くとも2つのPVTコーナーで、タイミングサインオフが実行される。ステップ230、240、または250において選択されたPVTライブラリ、および図5を参照して説明するAVSOの静的または動的なマージン280を使用してタイミングサインオフが実行される。この方法は、タイミングが「収束」し、AVSO ICが設計され、サインオフされると、終了ステップ290で終了する。
一般にAVSO ICを設計する方法の一実施形態を説明したが、この方法のいくつかの実施形態をより具体的に理解するために、次にAVSO ICが供給電圧を管理する方法について説明する。図3Aおよび図3Bは、AVSO ICにおける供給電圧をICで使用される装置の速度にどのように適応させるかを示すグラフである。
図3Aは、Sが遅い、Tが標準、Fが速いである、AVSO ICの装置速度に応じて必要な供給電圧Vを描いている。曲線310は、装置速度および供給電圧Vの交差点を表し、そこではICの実際の動作周波数Fが適宜公称動作周波数F0に等しい。実際の動作周波数Fが公称動作周波数F0未満である場合、装置速度からすると、電圧は不十分であり、セットアップ違反がもたらされる。実際の動作周波数Fが公称動作周波数F0を超える場合、装置速度からすると、電圧は過度であり、ホールド違反がもたらされる。曲線が装置速度SにおいてVmax未満であり、装置速度FにおいてVminを超え、これは、AVSOが、セットアップ違反またはホールド違反を被ることなく、この範囲の装置速度に対応することができることを示す。
図3Bもまた、AVSO ICの装置速度に応じて必要な供給電圧Vを描いている。しかし、図3Bは、AVSO ICは、複数の曲線のうちの任意の1つを達成することができることを示しており、そこにおいて、AVSO ICが設計され、そのタイミングに関して分析されているとき、消費電力にどれだけのウェイトが置かれるかに応じて、実際の動作周波数Fは、公称動作周波数F0に等しい可能性がある。上側の曲線320は、消費電力におけるウェイトが比較的小さく、およびタイミングを収束するための作業が付随的に小さい結果として得られ、中央の曲線330は、消費電力におけるウェイトがより大きく、タイミングを終了するための作業がより小さい結果として得られ、下側の曲線340は、消費電力におけるウェイトがかなり大きく、タイミングを終了するための作業がそれに応じてかなり多い結果として得られる。曲線320、330、340は、同じ範囲の装置速度(装置速度SからF)を包含するが、上側の曲線320は、装置速度SでVmaxと交差し、これは、装置速度が最小であるとき、その最大可能値で供給電圧が保持されなければならないことを示す。一方、下側の曲線340は、装置速度FでVminと交差し、これは、装置速度が最大であるとき、その最小可能値で供給電圧が保持されなければならないことを示す。その他、参照されない曲線が上側の曲線320と下側の曲線340との間、中央の曲線330のあたりにあり、消費電力におけるウェイトの様々な中間レベルに起因する。図3Bから、消費電力Pにウェイトが置かれる程度の選択肢がAVSO ICの設計に関して存在することがわかる。Pを低減することはしばしば望ましいが、タイミングサインオフを完了するために、通常、より多くの時間が必要であり、その結果、TATが増加することを認識しておかなければならない。
図3Cは、ICを設計するために使用される従来のPVTコーナーおよびタイミングチェックを示すグラフである。図3Cもまた、AVSO ICの装置速度に応じて必要な供給電圧Vを描く。図3Cは、AVSO ICが分析され得る複数のコーナー350a、350b、350cを示す。これらのコーナー350a、350b、350cは、従来のPVTライブラリにおいて知られており、特徴付けられ、含まれる。他のコーナー360a、360b、360c、360dも同様に、従来のPVTライブラリにおいて知られており、特徴付けられ、含まれる。しかし、コーナー360a、360bは、常にセットアップ違反をもたらし、コーナー360c、360dは、常にホールド違反をもたらし、それらは、AVSO ICのタイミングサインオフを実行するのに有用ではない。というのは、コーナー360a、360b、360c、360dが表す条件下で動作しないからである。
図4A〜4Cは、本発明の原理に従ってICの設計に使用されるPVTコーナーおよびタイミングチェックを示すグラフである。図4Aは、図3Bおよび図3Cの下側の曲線340を示す。下側の曲線340は、装置速度Sで未知の中間供給電圧V’と交差し、装置速度Fで既知の供給電圧Vminと交差する。未知の中間供給電圧V’は、各ICに固有であり、したがって、PVTライブラリを基づかせる不適切な1組の仮定である。2つの重なる点410(純粋に例示の目的で、互いにわずかにオフセットするように示されている)は、下側の曲線340がタイミングについて分析され得るFLN PVTコーナーを表す。ICがFLN PVTコーナーでタイミングを収束する場合、ICは、下側の曲線340に沿ったすべての他の点でタイミングを収束することが保証される。というのは、すべての他の点は、AVSOが対応することができる供給電圧範囲VminからVmaxまでの間にあるからである。
図4Aから、FLN PVTコーナー410でタイミングを収束することによって、下側の曲線340に関してタイミングサインオフ(最小消費電力、最大収束作業曲線)を達成することができることがわかる。図4Aは、RまたはCのコーナーを扱わないが、FLN PVTコーナーと同じ温度で較正されたRC(T)モデルから生成されたCコーナーは、適したタイミングサインオフを提供する。一実施形態において、タイミングは、FLV/Cbestコーナーでそれぞれの高温および低温の報告によりセットアップ違反およびホールド違反のために収束される。Cbestは、RCモデルが最低の相互接続静電容量を生成し、その結果最速の信号伝搬速度をもたらすコーナーである。以下で説明するように、タイミングサインオフでマージンを使用すべきである。
図4Bは、図3Bおよび図3Cの中央の曲線330を示す。中央の曲線330は、装置速度Sで未知の中間供給電圧V’と交差し、装置速度Fで未知の供給電圧V’’と交差し、中央の曲線330は、装置速度Tで既知の供給電圧V0と交差する。中間供給電圧V’のように、中間供給電圧V’’は、各ICに固有であり、したがって、PVTライブラリを基づかせる不適切な1組の仮定である。2つの重なる点420は、中央の曲線330がタイミングについて分析され得るTTN PVTコーナーを表す。ICがTTN PVTコーナーでタイミングを収束する場合、ICは、中央の曲線330に沿ったすべての他の点でタイミングを収束することが保証される。というのは、すべての他の点は、AVSOが対応することができる供給電圧範囲VminからVmaxまでの間にあるからである。
図4Bから、TNN PVTコーナー420でタイミングを収束することによって、中央の曲線330(消費電力Pと収束作業またはターンアラウンド時間TATとの間の折衷を表す曲線)に関してタイミングサインオフを達成することができることがわかる。この場合もまた、TNN PVTコーナーと同じ温度で較正されたRC(T)モデルから生成されたCコーナーは、適したタイミングサインオフを提供する。一実施形態において、タイミングは、TNN/Cnomコーナーで最大および最小の報告によりセットアップ違反およびホールド違反のために収束される。Cnomは、RCモデルが公称の相互接続静電容量を生成し、その結果平均信号伝搬速度をもたらすコーナーである。マージンを使用すべきである。
図4Cは、図3Bおよび図3Cの上側の曲線320を示す。上側の曲線320は、装置速度Sで既知の供給電圧Vmaxと交差し、装置速度Fで未知の中間供給電圧V’’と交差する。2つの重なる点430は、上側の曲線320がタイミングについて分析され得るSHN PVTコーナーを表す。ICがSHN PVTコーナーでタイミングを収束する場合、ICは、上側の曲線320に沿ったすべての他の点でタイミングを収束することが保証される。というのは、すべての他の点は、AVSOが対応することができる供給電圧範囲VminからVmaxまでの間にあるからである。
図4Cから、SHN PVTコーナー430でタイミングを収束することによって、上側の曲線320に関してタイミングサインオフ(最大消費電力、最小収束作業曲線)を達成することができることがわかる。この場合もまた、SHN PVTコーナーと同じ温度で較正されたRC(T)モデルから生成されたCコーナーは、適したタイミングサインオフを提供する。一実施形態において、タイミングは、FLN/Cworstコーナーでそれぞれの高温および低温の報告によりセットアップ違反およびホールド違反のために収束される。Cworstは、RCモデルが最高の相互接続静電容量を生成し、その結果最遅の信号伝搬速度をもたらすコーナーである。マージンを使用すべきである。
タイミングサインオフを達成しなければならないコーナーの数を低減することによって、結果として得られたICの公称動作周波数F0または信頼性を損なうことなく、TATが場合によってはかなり低減される。以下の表1は、実際の動作周波数F、消費電力P、ターンアラウンド時間TAT、および供給電圧Vに関して上述したタイミングサインオフ方法を比較する。
上述したように、AVSOは、実際の動作周波数を公称動作周波数で維持する、すなわちF=F0となるように、供給電圧Vを動的に適応させる。また、AVSOは、ほとんどのOCVのばらつきを補償することができる。したがって、タイミングサインオフは、AVSOで補償されたばらつきのマージンを必要としない。しかし、AVSOは、すべてのばらつきを補償することはできない。その適応は、正確ではない、または瞬時ではない可能性がある。例えば、AVSOは、信号伝搬速度計算エラーの影響を受けやすく、供給電圧の適応が遅延する場合があり、したがって動的電圧降下(DVD)がもたらされる可能性がある。したがって、タイミングサインオフは、AVSOがもたらし得る、または常に補正するとは限らないばらつきのマージンを含むものとする。SHN、TNN、またはFLN PVTコーナーを変更してこれらのばらつきを統計的に追加することは適切ではない。というのは、これらは実際的なコーナーであり、確率の低い従来のコーナーではないからである。したがって、本明細書に記載したシステムおよび方法のいくつかの実施形態は、タイミング違反を回避するために、新しいAVSOマージン、MAVSOをタイミングサインオフに導入する。
図5は、AVSO ICの適切な操作のために望まれる追加のおよび合計のマージンを示すグラフである。図3Bおよび図3Cの中央の曲線330は、例示の目的で使用される。ライン510は、AVSOがもたらし得る、または常に補正するとは限らないばらつきを表す。ライン510は、上側および下側の境界520、530の間にある。上側および下側の境界540、550は、タイミングサインオフが考慮に入れるべきすべてのばらつきに対応するために必要な合計マージンMを表す。
MAVSOを決定するために、ICに提供されたクロック信号は、バランスが保たれ、対称(ゼロスキュー)であると想定される。クロックの不確実性CUは、データパスにおける小さい遅延を補償するために、50psに等しくなるように設定される。開始クロック、データパス、およびキャプチャクロックのセットアップおよびホールドマージンは、すべてのパスにおいて、それぞれ4%になると推定される。静的タイミング解析(STA)のディレーティング係数に相当する合計マージンMは、標準の約5%に設定されるAVSOマージン、MAVSO、標準の約10%に設定されるパス遅延誤差マージン、MDELAY、標準の約5%に設定されるDVDマージン、MDVDなど、いくつかのマージンの(低減された十分な統計を使用した)統計的な合計である。以下の式がもたらされる。
図6は、本発明の原理に従って構築されるAVSO ICを設計するためのシステム、すなわち設計プロセス最適化システム600の一実施形態のブロック図である。システム600は、PVTライブラリセレクタ610を含む。PVTライブラリセレクタ610は、補足的な目的を示す選択を回路設計者から受信するように構成される。示された実施形態において、補足的な目的は、低減された(おそらく最低限に抑えられた)消費電力P、低減された(おそらく最低限に抑えられた)ターンアラウンド時間TAT、または低減されたPと低減されたTATとの間の1つまたは複数の折衷とすることができる。
PVTライブラリデータベース620は、PVTライブラリセレクタ610に結合される。PVTライブラリデータベース620は、回路のセルが構築される装置のPVTの特徴付けの様々なPVTライブラリを格納するように構成される。一実施形態において、ライブラリは、R、C、またはRCの特徴付けも含む。回路設計者の選択に応じて、PVTライブラリセレクタ610は、回路設計者が補足的な目的として低減されたPを選択する場合、FLNライブラリを選択し、回路設計者が補足的な目的として低減されたPと低減されたTATとの間の折衷を選択する場合、TTNライブラリを選択し、回路設計者が補足的な目的として低減されたTATを選択する場合、SHNライブラリを選択する。
次いで回路設計者は、従来のまたは後に開発される設計合成ツール630を使用して、その論理構造に関して設計を合成し、その後、回路設計者は、従来のまたは後に開発される設計配置および経路設定ツール640を使用して、適切なセルライブラリ650からセルを選択し、セルを適切に配置し、必要に応じてセル中に相互接続を経路設定する。次いで、回路設計者は、従来のまたは後に開発されるタイミングサインオフツール660を呼び出し、これは、PVTライブラリセレクタ610が選択したPVTライブラリからの1つだけ、または多くとも2つのPVTコーナーを使用して、AVSOの静的または動的なマージン280を参照して、回路に関してタイミングサインオフを実行する。次いでタイミングは収束され、AVSO IC設計670がもたらされる。
本発明のいくつかの実施形態は、ツールを組み込み、または本明細書に記載した方法のステップを実行する様々なコンピュータで実施される操作を実行するためのプログラムコードを有するコンピュータ可読媒体を含むコンピュータ記憶製品にさらに関連する。媒体およびプログラムコードは、本発明の目的のために特別に設計され、構築されたものとすることができ、またはよく知られており、コンピュータソフトウェアの当業者が使用可能なものとすることができる。コンピュータ可読媒体の例には、それだけには限定されないが、ハードディスク、フロッピーディスク、磁気テープなどの磁気媒体、CD−ROMディスクなどの光媒体、光フロッピーディスクなどの光磁気媒体、およびROMやRAM装置など、プログラムコードを格納し、実行するように特別に構成されたハードウェア装置などがある。プログラムコードの例には、コンパイラによって生成されたものなどの機械コード、およびインタプリタを使用してコンピュータによって実行され得るより高いレベルのコードを含むファイルなどがある。
本発明の範囲から逸脱することなく、記載の実施形態に他のおよび別の追加、削除、置き換え、および変更を加えることができることを、本発明が関係する当業者であれば理解されよう。
Claims (10)
- 回路を設計するための設計プロセスシステムであって、
該回路が構築されるセルの装置のPVTの特徴付けのPVTライブラリを含むように構成されるプロセス−電圧−温度(PVT)ライブラリデータベースと、
該PVTライブラリデータベースに結合され、補足的な目的を示す選択を受信し、該PVTライブラリデータベースから該PVTライブラリのうちの1つを選択することによって、該選択に応答するように構成されるPVTライブラリセレクタとを含み、タイミングサインオフツールが該PVTライブラリのうちの該1つから多くとも2つのコーナーを後で使用して、該回路に関してタイミングサインオフを実行する、前記2つのPVTコーナーが実際的なコーナーである設計プロセスシステム。
- 回路を設計するための方法であって、
補足的な目的に対する選択を受信するステップと、
該補足的な目的の該選択に応じてPVTライブラリデータベースからプロセス−電圧−温度(PVT)ライブラリを選択するステップと、
該回路の論理構造を合成するステップと、
適切なセルライブラリを使用してレイアウトにおいて該回路の構成セルを配置するステップと、
該構成セルの中に相互接続を経路設定するステップと、
該PVTライブラリから多くとも2つのPVTコーナーを使用してタイミングサインオフを実行するステップとを含み、
前記2つのコーナーのそれぞれが実際的なコーナーであり、
前記受信するステップおよび前記選択するステップはPVTライブラリセレクタによって実行され、前記合成するステップ、前記配置するステップ、前記経路設定するステップ、および前記実行するステップは少なくとも1つの電子設計自動化ツールによって実行される、回路を設計するための方法。
- 請求項2に記載の方法において、
該PVTライブラリを該選択するステップが、
該補足的な目的が低減された消費電力である場合、速−低−公称PVTライブラリを選択するステップと、
該補足的な目的が低減された消費電力と低減されたターンアラウンド時間との間の折衷である場合、標準−標準−公称PVTライブラリを選択するステップと、
該補足的な目的が該低減されたターンアラウンド時間である場合、遅−高−公称PVTライブラリを選択するステップとを含む方法。
- 請求項3に記載の方法において、該低減された消費電力が最小の消費電力である方法。
- 請求項2に記載の方法において、該PVTライブラリが該装置のR、C、及びRCの特徴付けのうちの選択されたものを含む方法。
- 請求項2に記載の方法において、
該補足的な目的が低減された消費電力である場合、速−低−公称/Cbestコーナーでそれぞれの高温及び低温の報告を使用して該回路におけるセットアップ違反及びホールド違反のためにタイミングを収束するステップと、
該補足的な目的が該低減された消費電力と低減されたターンアラウンド時間との間の折衷である場合、標準−標準−公称/Cnomコーナーでそれぞれの最大及び最小の報告を使用して該回路におけるセットアップ違反及びホールド違反のためにタイミングを収束するステップと、
該補足的な目的が該低減されたターンアラウンド時間である場合、遅−高−公称/Cworstコーナーでそれぞれの高温及び低温の報告を使用して該回路におけるセットアップ違反及びホールド違反のためにタイミングを収束するステップとをさらに含み、前記それぞれの収束するステップがタイミングサインオフツールによって実行される方法。
- 請求項2に記載の方法において、静的又は動的な適応型電圧及びスケーリング最適化マージンを使用して、該タイミングサインオフを実行するステップをさらに含む方法。
- 請求項2に記載の方法において、該回路が、適応型電圧及びスケーリング最適化を使用する集積回路である方法。
- 適応型電圧及びスケーリング最適化(AVSO IC)を使用する集積回路を設計するための設計プロセスシステムであって、
プロセス−電圧−温度(PVT)の特徴付けのPVTライブラリ、及び該回路が構築されるセルの装置のR,C及びRCの特徴付けのうちの選択されたものを含むように構成されるPVTライブラリデータベースと、
該PVTライブラリデータベースに結合され、補足的な目的を示す選択を受信し、
該補足的な目的が低減された消費電力である場合、速−低−公称(FLN)PVTライブラリと、
該補足的な目的が該低減された消費電力と低減されたターンアラウンド時間との間の折衷である場合、標準−標準−公称(TNN)PVTライブラリと、
該補足的な目的が該低減されたターンアラウンド時間である場合、遅−高−公称(SHN)PVTライブラリと
を選択することによって、該選択に応答するように構成されるPVTライブラリセレクタとを含み、タイミングサインオフツールが該FLN、TNN、及びSHNライブラリのうちの1つから多くとも2つのコーナーを後で使用して、該回路に関してタイミングサインオフを実行する設計プロセスシステム。
- 請求項1に記載の設計プロセスシステムにおいて、前記回路を設計するための主な目的が公称動作周波数で動作することであり、前記補足的な目的が前記主な目的とは異なる設計プロセスシステム
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/US2008/073155 WO2010019147A1 (en) | 2008-08-14 | 2008-08-14 | System and method for designing integrated circuits that employ adaptive voltage scaling optimization |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2011530763A JP2011530763A (ja) | 2011-12-22 |
JP2011530763A5 true JP2011530763A5 (ja) | 2013-04-18 |
JP5373906B2 JP5373906B2 (ja) | 2013-12-18 |
Family
ID=40365364
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011522952A Expired - Fee Related JP5373906B2 (ja) | 2008-08-14 | 2008-08-14 | 適応型電圧およびスケーリング最適化(adaptivevoltageandscalingoptimization)を使用する集積回路を設計するためのシステムおよび方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8539424B2 (ja) |
EP (1) | EP2344967A1 (ja) |
JP (1) | JP5373906B2 (ja) |
KR (1) | KR101471237B1 (ja) |
CN (1) | CN102160054B (ja) |
WO (1) | WO2010019147A1 (ja) |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8499230B2 (en) * | 2008-05-07 | 2013-07-30 | Lsi Corporation | Critical path monitor for an integrated circuit and method of operation thereof |
US8539424B2 (en) | 2008-08-14 | 2013-09-17 | Lsi Corporation | System and method for designing integrated circuits that employ adaptive voltage scaling optimization |
US8181144B2 (en) * | 2008-10-14 | 2012-05-15 | Lsi Corporation | Circuit timing analysis incorporating the effects of temperature inversion |
US8122422B2 (en) * | 2009-07-27 | 2012-02-21 | Lsi Corporation | Establishing benchmarks for analyzing benefits associated with voltage scaling, analyzing the benefits and an apparatus therefor |
US8239805B2 (en) | 2009-07-27 | 2012-08-07 | Lsi Corporation | Method for designing integrated circuits employing a partitioned hierarchical design flow and an apparatus employing the method |
US8407640B2 (en) * | 2010-08-25 | 2013-03-26 | Synopsys, Inc. | Sensitivity-based complex statistical modeling for random on-chip variation |
US10734115B1 (en) | 2012-08-09 | 2020-08-04 | Cerner Innovation, Inc | Clinical decision support for sepsis |
US11398310B1 (en) | 2010-10-01 | 2022-07-26 | Cerner Innovation, Inc. | Clinical decision support for sepsis |
US10431336B1 (en) | 2010-10-01 | 2019-10-01 | Cerner Innovation, Inc. | Computerized systems and methods for facilitating clinical decision making |
US11348667B2 (en) | 2010-10-08 | 2022-05-31 | Cerner Innovation, Inc. | Multi-site clinical decision support |
US10628553B1 (en) | 2010-12-30 | 2020-04-21 | Cerner Innovation, Inc. | Health information transformation system |
US8516424B2 (en) | 2011-09-27 | 2013-08-20 | Lsi Corporation | Timing signoff system and method that takes static and dynamic voltage drop into account |
US8856156B1 (en) | 2011-10-07 | 2014-10-07 | Cerner Innovation, Inc. | Ontology mapper |
US10249385B1 (en) | 2012-05-01 | 2019-04-02 | Cerner Innovation, Inc. | System and method for record linkage |
CN103389788B (zh) * | 2012-05-07 | 2016-03-02 | 华为技术有限公司 | 智能终端芯片 |
US8464199B1 (en) * | 2012-05-16 | 2013-06-11 | International Business Machines Corporation | Circuit design using design variable function slope sensitivity |
US8930864B2 (en) * | 2012-10-03 | 2015-01-06 | International Business Machines Corporation | Method of sharing and re-using timing models in a chip across multiple voltage domains |
KR20140060137A (ko) | 2012-11-09 | 2014-05-19 | 삼성전자주식회사 | 반도체 집적 회로 및 그것의 동작 방법, 반도체 집적 회로의 타이밍 검증 방법 및 테스트 방법 |
US11894117B1 (en) | 2013-02-07 | 2024-02-06 | Cerner Innovation, Inc. | Discovering context-specific complexity and utilization sequences |
US10769241B1 (en) | 2013-02-07 | 2020-09-08 | Cerner Innovation, Inc. | Discovering context-specific complexity and utilization sequences |
US10946311B1 (en) | 2013-02-07 | 2021-03-16 | Cerner Innovation, Inc. | Discovering context-specific serial health trajectories |
US10483003B1 (en) | 2013-08-12 | 2019-11-19 | Cerner Innovation, Inc. | Dynamically determining risk of clinical condition |
US12020814B1 (en) | 2013-08-12 | 2024-06-25 | Cerner Innovation, Inc. | User interface for clinical decision support |
US10446273B1 (en) | 2013-08-12 | 2019-10-15 | Cerner Innovation, Inc. | Decision support with clinical nomenclatures |
US9366718B2 (en) * | 2013-09-12 | 2016-06-14 | Cisco Technology Inc. | Detection of disassembly of multi-die chip assemblies |
JP2017027413A (ja) | 2015-07-23 | 2017-02-02 | 富士通株式会社 | プログラマブルロジックデバイス設計装置及びその方法 |
CN106503278B (zh) * | 2015-09-06 | 2019-08-23 | 创意电子股份有限公司 | 数字电路设计的时序分析方法及其系统 |
US10222850B2 (en) | 2016-10-06 | 2019-03-05 | International Business Machines Corporation | Voltage and frequency balancing at nominal point |
US10691853B2 (en) | 2018-10-24 | 2020-06-23 | International Business Machines Corporation | Superposition of canonical timing value representations in statistical static timing analysis |
US11730420B2 (en) | 2019-12-17 | 2023-08-22 | Cerner Innovation, Inc. | Maternal-fetal sepsis indicator |
US20240143880A1 (en) * | 2022-11-01 | 2024-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit design method and system |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6606729B2 (en) * | 2001-09-27 | 2003-08-12 | International Business Machines Corporation | Corner simulation methodology |
US6665847B1 (en) * | 2001-10-05 | 2003-12-16 | Cypress Semiconductor Corporation | Accurate and realistic corner characterization of standard cells |
US7136796B2 (en) | 2002-02-28 | 2006-11-14 | Timbre Technologies, Inc. | Generation and use of integrated circuit profile-based simulation information |
JP2005141434A (ja) | 2003-11-05 | 2005-06-02 | Nec Electronics Corp | 集積回路のタイミング検証システム、タイミング検証プログラム |
JP2005165419A (ja) * | 2003-11-28 | 2005-06-23 | Ip Flex Kk | 集積回路の設計方法 |
US7460612B2 (en) * | 2004-08-12 | 2008-12-02 | Texas Instruments Incorporated | Method and apparatus for a fully digital quadrature modulator |
US20080028345A1 (en) | 2005-02-25 | 2008-01-31 | Credence Systems Corporation | Apparatus and method for integrated circuit design for circuit edit |
JP5260837B2 (ja) * | 2006-03-24 | 2013-08-14 | 富士通セミコンダクター株式会社 | 半導体集積回路の設計方法及び半導体集積回路の設計装置 |
JP4629607B2 (ja) * | 2006-03-31 | 2011-02-09 | 富士通セミコンダクター株式会社 | 半導体集積回路のタイミング検証方法及びタイミング検証装置 |
US7793239B2 (en) | 2006-04-24 | 2010-09-07 | International Business Machines Corporation | Method and system of modeling leakage |
JP5167740B2 (ja) * | 2007-09-20 | 2013-03-21 | 富士通セミコンダクター株式会社 | 設計支援プログラム、設計支援装置、および設計支援方法 |
US8499230B2 (en) * | 2008-05-07 | 2013-07-30 | Lsi Corporation | Critical path monitor for an integrated circuit and method of operation thereof |
US8539424B2 (en) | 2008-08-14 | 2013-09-17 | Lsi Corporation | System and method for designing integrated circuits that employ adaptive voltage scaling optimization |
-
2008
- 2008-08-14 US US13/058,176 patent/US8539424B2/en not_active Expired - Fee Related
- 2008-08-14 WO PCT/US2008/073155 patent/WO2010019147A1/en active Application Filing
- 2008-08-14 JP JP2011522952A patent/JP5373906B2/ja not_active Expired - Fee Related
- 2008-08-14 CN CN200880131177.8A patent/CN102160054B/zh active Active
- 2008-08-14 KR KR1020117003375A patent/KR101471237B1/ko active IP Right Grant
- 2008-08-14 EP EP08797884A patent/EP2344967A1/en not_active Withdrawn
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5373906B2 (ja) | 適応型電圧およびスケーリング最適化(adaptivevoltageandscalingoptimization)を使用する集積回路を設計するためのシステムおよび方法 | |
JP2011530763A5 (ja) | ||
US6523156B2 (en) | Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries | |
US9135375B1 (en) | Methods for construction and optimization of a clock tree plan for reduced power consumption | |
US7581201B2 (en) | System and method for sign-off timing closure of a VLSI chip | |
US20100153897A1 (en) | System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce leakage power in an electronic circuit and electronic design automation tool incorporating the same | |
WO2008106369A2 (en) | Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-conrner static timing analysis | |
CN109086468B (zh) | 用于设计集成电路芯片的方法、系统及电脑程序产品 | |
US8713506B2 (en) | System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce dynamic power in an electronic circuit and an apparatus incorporating the same | |
Chakraborty et al. | Dynamic thermal clock skew compensation using tunable delay buffers | |
US11003821B1 (en) | Deterministic loop breaking in multi-mode multi-corner static timing analysis of integrated circuits | |
JP2015173270A (ja) | 省面積及び省電力のスタンダードセル方法 | |
US20100050144A1 (en) | System and method for employing signoff-quality timing analysis information to reduce leakage power in an electronic circuit and electronic design automation tool incorporating the same | |
US11321513B1 (en) | DVD analysis that accounts for delays | |
US20140040845A1 (en) | System and method for employing side transition times from signoff-quality timing analysis information to reduce leakage power in an electronic circuit and an electronic design automation tool incorporating the same | |
US8302063B2 (en) | Method and system to optimize semiconductor products for power, performance, noise, and cost through use of variable power supply voltage compression | |
Chinnery et al. | Power gating design automation | |
Kurimoto et al. | Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling | |
TWI406147B (zh) | 用於設計使用適應性電壓及比例最佳化之積體電路之系統及方法 | |
JP3553010B2 (ja) | 半導体集積回路設計方法 | |
US8086984B2 (en) | Method of designing semiconductor integrated circuit having function to adjust delay pass and apparatus for supporting design thereof | |
US20230205949A1 (en) | Full chip power estimation using machine learning | |
US20090172627A1 (en) | Design Structure for a Clock System for a Plurality of Functional Blocks | |
Xiao et al. | Power optimization design for probabilistic logic circuits | |
Seo | Methodologies for reliable clock networks for high-speed and low-power digital systems |