CN102160054B - 用于设计使用自适应电压和调节优化的集成电路的系统和方法 - Google Patents

用于设计使用自适应电压和调节优化的集成电路的系统和方法 Download PDF

Info

Publication number
CN102160054B
CN102160054B CN200880131177.8A CN200880131177A CN102160054B CN 102160054 B CN102160054 B CN 102160054B CN 200880131177 A CN200880131177 A CN 200880131177A CN 102160054 B CN102160054 B CN 102160054B
Authority
CN
China
Prior art keywords
pvt
storehouse
circuit
sequential
minimizing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200880131177.8A
Other languages
English (en)
Other versions
CN102160054A (zh
Inventor
A·泰特巴姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies General IP Singapore Pte Ltd
Original Assignee
Infineon Technologies North America Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies North America Corp filed Critical Infineon Technologies North America Corp
Publication of CN102160054A publication Critical patent/CN102160054A/zh
Application granted granted Critical
Publication of CN102160054B publication Critical patent/CN102160054B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一种用于设计电路的设计流程优化系统和方法,该电路可以是使用自适应电压和调节优化(AVSO)的集成电路(IC)。在一个实施例中,该系统包括:(1)工艺-电压-温度(PVT)库数据库,配置为包含将构造电路的单元的器件的PVT特性的PVT库,以及(2)PVT库选择器,耦合到PVT库数据库并且配置为接收指示补充目的的选择并且通过从PVT库数据库中选择一个PVT库来响应该选择,时序签收工具随后使用来自该一个PVT库的至多两个角来执行关于电路的时序签收。

Description

用于设计使用自适应电压和调节优化的集成电路的系统和方法
临时申请的交叉引用 
本申请涉及由Parker等人在2008年5月7日提交的题为“ANovel Paradigm for Optimizing Performance,Power,Area and/or Yield in Integrated Circuits”的美国临时申请Serial No.61/126,881,其与本发明共同受让并且通过引用合并于此。 
技术领域
本发明通常涉及集成电路(IC)设计,更具体地,涉及用于设计使用自适应电压和调节优化(AVSO)的IC的系统和方法。 
背景技术
电路设计人员使用电子设计自动化(EDA)工具,即一类计算机辅助设计(CAD)工具来设计电子电路并且绘制其布图,包括对电路操作进行仿真,确定单元(即包括例如晶体管的器件的逻辑元件)应被放置在什么位置以及将单元耦合在一起的互连应被布线在什么位置。EDA工具允许设计人员使用计算机构造电路并且对其性能进行仿真,并且不需要高成本和长时间的制造工艺。EDA工具对于设计现代的IC,特别是超大规模集成电路(VSLIC)而言是不可或缺的。出于该原因,EDA工具被广泛使用。 
一种这样的EDA工具执行时序签收(timing signoff)。时序签收是IC设计流程中的最后的步骤之一并且确保新设计的电路中的信号传播速度(即延迟)使得电路将如预期的那样操作。传播通过电路过慢的信号引起了建立时间违反;传播通过电路过快的信号引起了保持时间违反。建立或保持时间违反破坏了电路的逻辑并且阻碍其执行设计要做的工作。 
时序签收是在关于被称为“角(corner)”的预期变化的多个假设集合下通过高度准确的电路模型执行的。工艺-电压-温度(PVT)角基于关于IC彼此之间的器件操作、供电电压和操作温度的变化的假设。电阻-电容(R、C或RC)角基于关于IC彼此之间的互连电阻和电容之一或两者的变化的假设。常规的时序签收识别“慢”的PVT角(其中假设工艺变化产生相对慢的开关器件,并且供电电压和操作温度使得器件开关速度是其最慢的速度)和“最差”的RC角(其中假设工艺变化产生具有相对高的电阻和电容的互连)中的建立和保持时间违反。常规的时序签收还识别“快”的PVT角(其中假设工艺变化产生相对快的开关器件,并且供电电压和操作温度使得器件开关速度是其最快的速度)和“最好”的RC角(其中假设工艺变化产生具有相对低的电阻和电容的互连)中的保持时间违反。常规的签收时序还使用统计方法考虑芯片上变化(OCV),其是在给定IC区域上发生的工艺变化。 
节约包括能量的资源已变为现今世界的突出目的。IC的制造商对提高其产品的能量效率的需要是敏感的。自适应电压和调节优化(AVSO)是该整体策略的重要组成部分。AVSO的根本目的在于,应在不牺牲性能的情况下在最低的可能电压下向IC供电。使用AVSO的IC(AVSO IC)实时地确定信号传播速度并且调整供电电压,以确保信号传播速度保持为使得IC将如预期的那样操作。AVSO已证实其节约能量的能力,并且因此预期今后在未来的IC中将被广泛使用。 
发明内容
为了解决以上讨论的现有技术的缺点,本发明的一个方面提供了用于设计电路的设计流程优化系统和方法。在一个实施例中,该系统包括:(1)PVT库数据库,配置为包含将构造电路的单元的器件的PVT特性的PVT库,以及(2)PVT库选择器,耦合到PVT库数据库并且配置为接收指示补充目的的选择并且通过从PVT库数据库中选择一个PVT库来响应该选择,时序签收工具随后使用来自该一个PVT库的至多两个角来执行关于电路的时序签收。 
在另一实施例中,该系统包括:(1)PVT库数据库,配置为包含将构造AVSO IC的单元的器件的PVT特性以及R、C和RC特性中的选定的一个特性的PVT库;以及(2)PVT库选择器,耦合到PVT库数据库并且配置为接收指示补充目的的选择并且通过选择:(2a)快-低-额定(FLN)PVT库,如果补充目的是减少的功耗,(2b)典型-典型-额定(TTN)PVT库,如果补充目的是减少的功耗和减少的设计周期时间之间的折衷,以及(2c)慢-高-额定(SHN)PVT库,如果补充目的是减少的设计周期时间,来响应该选择,时序签收工具随后使用来自FLN、TTN和SHN库之一的至多两个角来执行关于AVSO IC的时序签收。 
本发明的另一方面提供了电路设计方法。在一个实施例中,该方法包括:(1)选择补充目的,(2)响应于补充目的的选择从PVT库数据库选择PVT库,(3)综合电路的逻辑结构,(4)使用适当的单元库将电路的构成单元放置在布图中,(5)对构成元件之间的互连进行布线,以及(6)使用来自PVT库的至多两个PVT角执行时序签收。 
前面概述了本发明的某些方面和实施例,从而相关领域的技术人员可以更好地理解下面的本发明的详细描述。下面将描述形成本发明的权利要求的主题的另外的方面和实施例。相关领域的技术人员应认识到,他们可以容易地使用所公开的方面和实施例作为用于设计或修改用于实现本发明的相同目的的其他结构的基础。相关领域的技术人员还应认识到,这些等同的构造并未偏离本发明的范围。 
附图说明
为了更完整地理解本发明,现结合附图参照下面的描述,在附图中: 
图1是可以使用根据本发明的原理构造或实现的系统或方法的实施例来设计的具有多个供电电压域的AVSO IC的一个示例的高级框图; 
图2是根据本发明的原理实现的设计AVSO IC的方法的一个实施例的流程图; 
图3A和3B是图示AVSO IC中的供电电压如何适应IC中使用的器件的速度的曲线图; 
图3C是图示常规的用于设计IC的PVT角和时序检查的曲线图; 
图4A-C是图示根据本发明的原理的用于设计IC的PVT角和时序检查的曲线图; 
图5是图示期望用于AVSO IC的适当操作的附加裕度和总裕度的曲线图;以及 
图6是根据本发明的原理构造的用于设计AVSO IC的系统的一个实施例的框图。 
具体实施方式
已经发现,常规的IC设计流程未能利用AVSO IC的实时调节其供电电压以实现信号传播速度调节的能力。更适合AVSO IC的设计流程应允许电路设计人员预先决定功耗、迅速开发(还被称为回转)时间还是此两者之间的折衷是设计目的。还已发现,执行常规的签收时序的慢的和快的PVT和RC角的结果对于AVSO IC是不适当的。AVSO IC的时序签收应有利地利用常规时序签收处理从未考虑的PVT和RC角和关于OCV裕度的值。 
这里描述了用于设计AVSO IC的系统和方法的各种实施例。设计任何IC时的主要目的在于产生在额定操作频率F0下按照预期的那样发挥作用的设计。这里描述的各种实施例允许电路设计人员选择补充目的,诸如减少的(例如,最小)功耗P、减少的(例如,最小的)设计周期时间(TAT)或者P和TAT之间的折衷。不论IC设计人员选择的补充目的是什么,灵活的IC设计流程可以产生具有单个PVT角中的时序签收的单个IC设计。 
某些系统和方法的实施例允许仅在一个PVT角中执行电路综合、设计和优化,减少了TAT和设计努力。某些系统和方法的实施例允许仅在一个或者至多两个PVT角中执行时序签收,进一步减少了TAT和设计努力。某些系统和方法的实施例确保了所有角处的以及关于所有 IC的所需的设计性能(被称为额定操作频率F0),并且如果功耗被选择为补充目的,则确保最小功耗。最后,某些系统和方法的实施例允许在时序签收之前定义适当的PVT角并且描述其特性。 
现将描述AVSO IC的一般架构。图1是可以使用根据本发明的原理构造或实现的系统或方法的实施例来设计的具有N个供电电压域的AVSO IC 100的一个示例的高级框图。每个功能域包括功能电路110-1、110-2、...、110-N和向每个域提供供电电压V的相应的电压调节器(VR)120-1、120-2、...、120-N,供电电压V可以围绕额定电压V0在Vmin至Vmax的范围内调节。出于这里讨论的目的,V0被假设为在-10%至+15%的范围内可调,即:[Vmin,Vmax]=[V0-10%,V0+15%]。此外,将假设该25%的电压调节范围足以补偿所有预期的信号传播速度变化。后者是是合理的假设,这是因为供电电压的改变产生延迟的多达约两倍的改变,即:25%的电压改变导致约50%的延迟改变。 
基于从与每个域中的路径(典型的,关键路径)关联的一个或多个路径监控器(PM)140-1、140-2、...、140-N接收到的信号,电压管理单元(VMU)130在范围Vmin至Vmax内设定供电电压V。VMU 130典型地将每个域中的供电电压V设定在确保信号传播速度足以避免建立错误所需的最低电平。以该方式,AVSO IC 100在减少的(例如,最小)功耗水平下操作。 
图2是根据本发明的原理实现的设计AVSO IC的方法的一个实施例的流程图。该方法开始于开始步骤210,其中期望设计AVSO IC。在决定步骤220中,电路设计人员选择补充目的:减少的(可能最小的)功耗P、减少的(可能最小的)设计周期时间TAT或者减少的P和减少的TAT之间的折衷。如果电路设计人员选择减少的P作为补充目的,则在步骤230中从多个库的数据库中选择器件的PVT特性的FLN库,将根据该PVT特性的FLN库构造电路的各单元。如果电路设计人员选择减少的P和减少的TAT之间的折衷作为补充目的,则在步骤240中从多个库的数据库中选择器件的PVT特性的TTN库,将根据该PVT特性的TTN库构造电路的各单元。如果电路设计人员选择减少的 TAT作为补充目的,则在步骤250中从多个库的数据库中选择器件的PVT特性的SHN库,将根据PVT特性的SHN库构造电路的各单元。 
随后,在步骤260中,电路设计人员在其逻辑结构方面进入综合设计的流程,其后使用适当的单元库选择各构成单元,并且在各单元之间对互连进行布线。接下来,在步骤270中,典型地在一个或者至多两个PVT角处执行时序签收。使用在步骤230、240或250中选择的PVT库以及如将结合图5描述的AVSO静态或动态裕度280来执行时序签收。当时序被“接近”时,该方法在结束步骤290中结束,并且AVSO IC已被设计和签收。 
在一般描述了设计AVSO IC的方法的一个实施例之后,现将描述AVSO IC管理供电电压的方式以便于更具体地理解该方法的某些实施例。图3A和3B是图示AVSO IC中的供电电压如何适应IC中使用的器件的速度的曲线图。 
图3A绘制了作为关于AVSO IC的器件速度的函数的所需供电电压V的曲线,S是慢、T是典型而F是快。曲线310表示器件速度和供电电压V的交点,如果适当,在这些交点处IC的实际操作频率F等于额定操作频率F0。如果实际操作频率F小于额定操作频率F0,则电压对于给定的器件速度是不足的,并且导致建立时间违反。如果实际操作频率F大于额定操作频率F0,则电压对于给定的器件速度是过多的,并且导致保持时间违反。曲线在器件速度S处小于Vmax并且在器件速度F处大于Vmin,表示AVSO可以在不受建立或保持时间违反的困扰的情况下适合该器件速度范围。 
图3B再次绘制了作为关于AVSO IC的器件速度的函数的所需供电电压V的曲线。然而,图3B证实了AVSO IC可以依赖于设计AVSO IC并且相对于其时序对其进行分析时对功耗的注重程度,实现实际操作频率F可以等于额定操作频率F0的多个曲线中的任何一个。上曲线320来自对功耗的相对小的注重以及随之的对接近时序的小的努力;中间曲线330来自对功耗的较多的注重以及对接近时序的较少的努力;下曲线340来自对功耗的显著的注重以及因此对接近时序的显著的努力。 曲线320、330、340涵盖了器件速度的同一范围(器件速度S至F),但是上曲线320在器件速度S处与Vmax相交,表示器件速度最低时,供电电压必须保持在其最大可能值。另一方面,下曲线340在器件速度F处与Vmin相交,表示当器件速度最高时,供电电压必须保持在其最小可能值。另外,未注明的曲线位于上和下曲线320、340之间并且在中间曲线330周围,其来自各种中间水平的对功耗的注重。根据图3B,明显的是,存在关于AVSO IC的设计的对功耗P的注重程度的选择。尽管减小P常常是所期望的,但是必须认识到,通常需要较多的时间来完成时序签收,并且结果TAT增加。 
图3C是图示常规的用于设计IC的PVT角和时序检查的曲线图。图3C再次绘制了作为关于AVSO IC的器件速度的函数的所需供电电压V的曲线图。图3C示出了可以对AVSO IC进行分析的多个角350a、350b、350c。这些角350a、350b、350c是已知的,其特性被描述并且包括在常规的PVT库中。其他角360a、360b、360c、360d同样是已知的,其特性被描述并且包括在常规的PVT库中。然而,尽管角360a、360b将总是导致建立时间违反,并且角360c、360d将总是导致保持时间违反,但是它们对于执行AVSO IC的时序签收是没有用的,这是因为AVSO IC从不在角360a、360b、360c、360d代表的条件下操作。 
图4A-C是图示根据本发明的原理的用于设计IC的PVT角和时序检查的曲线图。图4A示出了图3B和3C的下曲线340。下曲线340在器件速度S处与未知的中间供电电压V′相交并且在器件速度F处与已知的供电电压Vmin相交。未知的中间供电电压V′对于每个IC是特定的并且因此对于PVT库以其为基础的不适当假设集合是特定的。两个重叠的点410(纯粹出于说明的目的而被示出为彼此略微偏移)表示可以对下曲线340进行时序分析的FLN PVT角。如果IC在FLN PVT角处接近时序,则确保IC在沿下曲线340的所有其他的点处接近时序,这是因为所有其他的点位于AVSO能够适合的供电电压范围Vmin至Vmax内。 
根据图4A,明显的是,通过在FLN PVT角410处接近时序可以实现相对于下曲线340的时序签收(最小功耗、最大接近努力曲线)。尽管图4A并非针对R或C角,但是根据在与FLN PVT角相同的温度下校准的RC(T)模型生成的C角将提供适当的时序签收。在一个实施例中,通过FLN/Cbest角处的各个高温和低温报告来接近关于建立和保持时间违反的时序。Cbest是RC模型产生最低互连电容的角,导致了最快的信号传播速度。在时序签收中应使用如下文描述的裕度。 
图4B示出了图3B和3C的中间曲线330。尽管中间曲线330在器件速度S处与未知的中间供电电压V′相交并且在器件速度F处与未知的供电电压V″相交,但是中间曲线330在器件速度T处与已知的供电电压V0相交。如同中间供电电压V′,中间供电电压V″对于每个IC是特定的并且因此对于PVT库以其为基础的不适当假设集合是特定的。两个重叠的点420表示可以对中间曲线330进行时序分析的TTN PVT角。如果IC在TTN PVT角处接近时序,则确保IC在沿中间曲线330的所有其他的点处都接近时序,这是因为所有其他的点位于AVSO能够适合的供电电压范围Vmin至Vmax内。 
根据图4B,明显的是,通过在TTN PVT角420处接近时序可以实现相对于中间曲线330的时序签收(表示功耗P和接近努力或者设计周期时间TAT之间的折衷的曲线)。再次地,根据在与TTN PVT角相同的温度下校准的RC(T)模型生成的C角将提供适当的时序签收。在一个实施例中,通过TTN/Cnom角处的最大和最小报告来接近关于建立和保持时间违反的时序。Cnom是RC模型产生额定互连电容的角,导致了平均的信号传播速度。应当使用裕度。 
图4C示出了图3B和3C的上曲线320。上曲线320在器件速度S处与已知的供电电压Vmax相交并且在器件速度F处与未知的中间供电电压V″相交。两个重叠的点430表示可以对上曲线320进行时序分析的SHN PVT角。如果IC在SHN PVT角处接近时序,则确保IC在沿上曲线320的所有其他的点处接近时序,这是因为所有其他的点位于AVSO能够适合的供电电压范围Vmin至Vmax内。 
根据图4C,明显的是,通过在SHN PVT角430处接近时序可以 实现相对于上曲线320的时序签收(最大功耗、最小接近努力曲线)。再次地,根据在与SHN PVT角相同的温度下校准的RC(T)模型生成的C角将提供适当的时序签收。在一个实施例中,通过SHN/Cworst角处的各个高温和低温报告来接近关于建立和保持时间违反的时序。Cworst是RC模型产生最高互连电容的角,导致了最慢的信号传播速度。应当使用裕度。 
减少必须实现时序签收的角的数目在不危及得到的IC的额定操作频率F0或可靠性的情况下,减少了TAT,且可能显著地减少TAT。下表1在实际操作频率F、功耗P、设计周期时间TAT和供电电压V的方面比较了上文描述的时序签收方法。 
表1-时序签收方法的比较 
如上文所述,AVSO动态地调节供电电压V以将实际操作频率维持在额定操作频率F=F0。AVSO能够补偿最大的OCV变化。因此,时序签收不需要用于经AVSO补偿的变化的裕度。然而,AVSO不能补偿所有变化;其调节可能不是精确的或即时的。例如,AVSO可能遭受信号传播速度计算错误,并且供电电压调节可能延迟,导致动态电压下降(DVD)。因此,时序签收应包括关于AVSO可能引起的或者不总是正确的变化的裕度。修改SHN、TTN或FLN PVT角以在统计上添加这些变化是不适当的,这是因为它们是现实的角,不是低概率的传统的角。因此,这里描述的系统和方法的一些实施例将新的AVSO裕度MAVSO引入时序签收以避免时序违反。 
图5是图示期望用于AVSO IC的适当操作的附加裕度和总裕度的曲线图。图3B和3C的中间曲线330将用于说明的目的。线510表示AVSO可能引起的或者不总是正确的变化。线510落在上边界520和下边界530之间。上边界540和下边界550表示需要满足时序签收应考虑的所有变化的总裕度M。 
为了确定MAVSO,将假设提供给IC的时钟信号是平衡的和对称的(零偏斜)。时钟不确定性CU被设定为等于50ps以补偿数据路径中的小的延迟。所有路径中的启动时钟、数据路径和捕获时钟建立和保持裕度均被假设为4%。等同于静态时序分析(STA)降额因子的总裕度M是如下若干个裕度的统计和(使用减少的充分统计量):设定在约5%的典型值的AVSO裕度MAVSO、设定在约10%的典型值的路径延迟误差裕度MDELAY和设定在约5%的典型值的DVD裕度MDVD。得到下式: 
M = M Delay 2 + M AVSO 2 + M DVD 2 ≈ 12 % .
图6是根据本发明的原理构造的用于设计AVSO IC的系统,即设计流程优化系统600的一个实施例的框图。系统600包括PVT库选择器610。PVT库选择器610被配置为从电路设计人员接收指示补充目的的选择。在图示实施例中,补充目的可以是减少的(可能最小化的)功耗P、减少的(可能最小化的)设计周期时间TAT或者减少的P和减少的TAT之间的一个或多个折衷。 
PVT库数据库620耦合到PVT库选择器610。PVT库数据库620被配置为存储器件的PVT特性的各种PVT库,将根据该PVT库构造电路的各单元。在一个实施例中,库也包括R、C或RC特性。响应于电路设计人员的选择,如果电路设计人员选择减少的P作为补充目的,则PVT库选择器610选择FLN库,如果电路设计人员选择减少的P和减少的TAT之间的折衷作为补充目的,则PVT库选择器610选择TTN 库,并且如果电路设计人员选择减少的TAT作为补充目的,则PVT库选择器610选择SHN库。 
电路设计人员随后使用常规的或以后开发的设计综合工具630在其逻辑结构方面对设计进行综合,随后电路设计人员使用常规的或以后开发的设计布局和布线工具640从适当的单元库650中选择单元,适当地放置单元并且按照需要对单元之间的互连进行布线。随后,电路设计人员调用常规的或以后开发的时序签收工具660,其使用来自PVT库选择器610选择的PVT库的仅一个或者至多两个PVT角并且参照AVSO静态或动态裕度280,执行关于电路的时序签收。随后接近时序,并且得到AVSO IC设计670。 
本发明的某些实施例进一步涉及具有计算机可读介质的计算机存储产品,这些计算机存储产品上具有用于执行具体化这里阐述的工具或者执行这里阐述的方法步骤的各种计算机实现的操作的程序代码。介质和程序代码可以是出于本发明的目的而特别设计和构造的,或者它们可以具有公知的类别并且对于计算机软件领域的技术人员是可获得的。计算机可读介质的示例包括,但不限于:诸如硬盘、软盘和磁带的磁介质;诸如CD-ROM盘的光介质;诸如软式光盘的磁光介质;以及被特别配置为存储和执行程序代码的硬件设备,诸如ROM和RAM器件。程序代码的示例包括诸如由编译器产生的机器代码,以及包含可由计算机使用解释程序执行的高级代码的文件。 
本发明涉及领域的技术人员将认识到,在不偏离本发明的范围的情况下,可以对所描述的实施例进行其他的和另外的添加、删除、替换和修改。 

Claims (9)

1.一种用于以额定操作频率操作为目的设计电路的设计流程优化系统,包括: 
工艺-电压-温度PVT库数据库,配置为包含将构造所述电路的单元的器件的PVT特性的PVT库;以及 
PVT库选择器,耦合到所述PVT库数据库并且配置为接收指示对于所述电路的所述设计的补充目的的选择,所述补充目的不同于所述以额定操作频率操作的目的,并且通过从所述PVT库数据库中选择其中一个所述PVT库来响应所述选择,时序签收工具随后使用来自所述其中一个所述PVT库的至多两个角来执行关于所述电路的时序签收,其中所述两个角每一个都是现实的角。 
2.一种以使电路以额定操作频率操作为目的设计电路的方法,包括: 
接收对于与所述以额定操作频率操作的目的不同的补充目的的选择; 
响应于所述补充目的的所述选择从工艺-电压-温度PVT库数据库选择PVT库; 
综合所述电路的逻辑结构; 
使用适当的单元库将所述电路的构成单元放置在布图中; 
对所述构成单元之间的互连进行布线;以及 
使用来自所述PVT库的至多两个PVT角执行时序签收,其中所述两个PVT角每一个都是现实的角。 
3.根据权利要求2所述的方法,其中所述选择所述PVT库包括: 
如果所述补充目的是减少的功耗,则选择快-低-额定PVT库, 
如果所述补充目的是减少的功耗和减少的设计周期时间之间的折衷,则选择典型-典型-额定PVT库,以及 
如果所述补充目的是所述减少的设计周期时间,则选择慢-高-额定PVT库。 
4.根据权利要求3所述的方法,其中所述减少的功耗是最小功耗。 
5.根据权利要求2所述的方法,其中所述PVT库包括将构造所述电路的单元的器件的R、C和RC特性中的选定的一个特性。 
6.根据权利要求2所述的方法,进一步包括: 
如果所述补充目的是减少的功耗,则使用快-低-额定/Cbest角处的各个高温和低温报告来接近关于所述电路中的建立和保持时间违反的时序; 
如果所述补充目的是所述减少的功耗和减少的设计周期时间之间的折衷,则使用典型-典型-额定/Cnom角处的各个最大和最小报告来接近关于所述电路中的建立和保持时间违反的时序;以及 
如果所述补充目的是所述减少的设计周期时间,则使用慢-高-额定/Cworst角处的各个高温和低温报告来接近所述电路中的建立和保持时间违反的时序。 
7.根据权利要求2所述的方法,进一步包括使用静态或动态自适应电压和调节优化裕度来执行所述时序签收。 
8.根据权利要求2所述的方法,其中所述电路是使用自适应电压和调节优化的集成电路。 
9.一种用于设计使用自适应电压和调节优化的集成电路AVSO IC的设计流程优化系统,所述设计具有使所述集成电路以额定操作频率操作的目的,包括: 
工艺-电压-温度PVT库数据库,配置为包含将构造所述电路的单元 的器件的PVT特性以及R、C和RC特性中的选定的一个特性的PVT库;以及 
PVT库选择器,耦合到所述PVT库数据库并且配置为接收指示对于所述集成电路的所述设计的补充目的的选择,所述补充目的不同于所述以额定操作频率操作的目的,并且通过: 
如果所述补充目的是减少的功耗,则选择快-低-额定FLN PVT库, 
如果所述补充目的是所述减少的功耗和减少的设计周期时间之间的折衷,则选择典型-典型-额定TTN PVT库,以及 
如果补充目的是所述减少的设计周期时间,则选择慢-高-额定SHN PVT库, 
从而响应所述选择, 
时序签收工具随后使用来自所述FLN、TTN和SHN库之一的至多两个角来执行关于所述电路的时序签收,其中所述两个PVT角每一个都是现实的角。 
CN200880131177.8A 2008-08-14 2008-08-14 用于设计使用自适应电压和调节优化的集成电路的系统和方法 Active CN102160054B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2008/073155 WO2010019147A1 (en) 2008-08-14 2008-08-14 System and method for designing integrated circuits that employ adaptive voltage scaling optimization

Publications (2)

Publication Number Publication Date
CN102160054A CN102160054A (zh) 2011-08-17
CN102160054B true CN102160054B (zh) 2014-05-07

Family

ID=40365364

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880131177.8A Active CN102160054B (zh) 2008-08-14 2008-08-14 用于设计使用自适应电压和调节优化的集成电路的系统和方法

Country Status (6)

Country Link
US (1) US8539424B2 (zh)
EP (1) EP2344967A1 (zh)
JP (1) JP5373906B2 (zh)
KR (1) KR101471237B1 (zh)
CN (1) CN102160054B (zh)
WO (1) WO2010019147A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8499230B2 (en) 2008-05-07 2013-07-30 Lsi Corporation Critical path monitor for an integrated circuit and method of operation thereof
WO2010019147A1 (en) 2008-08-14 2010-02-18 Lsi Corporation System and method for designing integrated circuits that employ adaptive voltage scaling optimization
US8181144B2 (en) * 2008-10-14 2012-05-15 Lsi Corporation Circuit timing analysis incorporating the effects of temperature inversion
US8122422B2 (en) * 2009-07-27 2012-02-21 Lsi Corporation Establishing benchmarks for analyzing benefits associated with voltage scaling, analyzing the benefits and an apparatus therefor
US8239805B2 (en) * 2009-07-27 2012-08-07 Lsi Corporation Method for designing integrated circuits employing a partitioned hierarchical design flow and an apparatus employing the method
US8407640B2 (en) * 2010-08-25 2013-03-26 Synopsys, Inc. Sensitivity-based complex statistical modeling for random on-chip variation
US10734115B1 (en) 2012-08-09 2020-08-04 Cerner Innovation, Inc Clinical decision support for sepsis
US11398310B1 (en) 2010-10-01 2022-07-26 Cerner Innovation, Inc. Clinical decision support for sepsis
US10431336B1 (en) 2010-10-01 2019-10-01 Cerner Innovation, Inc. Computerized systems and methods for facilitating clinical decision making
US11348667B2 (en) 2010-10-08 2022-05-31 Cerner Innovation, Inc. Multi-site clinical decision support
US10628553B1 (en) 2010-12-30 2020-04-21 Cerner Innovation, Inc. Health information transformation system
US8516424B2 (en) 2011-09-27 2013-08-20 Lsi Corporation Timing signoff system and method that takes static and dynamic voltage drop into account
US8856156B1 (en) 2011-10-07 2014-10-07 Cerner Innovation, Inc. Ontology mapper
US10249385B1 (en) 2012-05-01 2019-04-02 Cerner Innovation, Inc. System and method for record linkage
CN103389788B (zh) * 2012-05-07 2016-03-02 华为技术有限公司 智能终端芯片
US8464199B1 (en) * 2012-05-16 2013-06-11 International Business Machines Corporation Circuit design using design variable function slope sensitivity
US8930864B2 (en) * 2012-10-03 2015-01-06 International Business Machines Corporation Method of sharing and re-using timing models in a chip across multiple voltage domains
KR20140060137A (ko) 2012-11-09 2014-05-19 삼성전자주식회사 반도체 집적 회로 및 그것의 동작 방법, 반도체 집적 회로의 타이밍 검증 방법 및 테스트 방법
US10769241B1 (en) 2013-02-07 2020-09-08 Cerner Innovation, Inc. Discovering context-specific complexity and utilization sequences
US10946311B1 (en) 2013-02-07 2021-03-16 Cerner Innovation, Inc. Discovering context-specific serial health trajectories
US11894117B1 (en) 2013-02-07 2024-02-06 Cerner Innovation, Inc. Discovering context-specific complexity and utilization sequences
US10854334B1 (en) 2013-08-12 2020-12-01 Cerner Innovation, Inc. Enhanced natural language processing
US10483003B1 (en) 2013-08-12 2019-11-19 Cerner Innovation, Inc. Dynamically determining risk of clinical condition
US12020814B1 (en) 2013-08-12 2024-06-25 Cerner Innovation, Inc. User interface for clinical decision support
US9366718B2 (en) * 2013-09-12 2016-06-14 Cisco Technology Inc. Detection of disassembly of multi-die chip assemblies
JP2017027413A (ja) 2015-07-23 2017-02-02 富士通株式会社 プログラマブルロジックデバイス設計装置及びその方法
CN106503278B (zh) * 2015-09-06 2019-08-23 创意电子股份有限公司 数字电路设计的时序分析方法及其系统
US10222850B2 (en) 2016-10-06 2019-03-05 International Business Machines Corporation Voltage and frequency balancing at nominal point
US10691853B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Superposition of canonical timing value representations in statistical static timing analysis
US11730420B2 (en) 2019-12-17 2023-08-22 Cerner Innovation, Inc. Maternal-fetal sepsis indicator
US20240143880A1 (en) * 2022-11-01 2024-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design method and system

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6606729B2 (en) * 2001-09-27 2003-08-12 International Business Machines Corporation Corner simulation methodology
US6665847B1 (en) * 2001-10-05 2003-12-16 Cypress Semiconductor Corporation Accurate and realistic corner characterization of standard cells
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP2005141434A (ja) * 2003-11-05 2005-06-02 Nec Electronics Corp 集積回路のタイミング検証システム、タイミング検証プログラム
JP2005165419A (ja) * 2003-11-28 2005-06-23 Ip Flex Kk 集積回路の設計方法
US7460612B2 (en) * 2004-08-12 2008-12-02 Texas Instruments Incorporated Method and apparatus for a fully digital quadrature modulator
US20080028345A1 (en) 2005-02-25 2008-01-31 Credence Systems Corporation Apparatus and method for integrated circuit design for circuit edit
JP5260837B2 (ja) 2006-03-24 2013-08-14 富士通セミコンダクター株式会社 半導体集積回路の設計方法及び半導体集積回路の設計装置
JP4629607B2 (ja) * 2006-03-31 2011-02-09 富士通セミコンダクター株式会社 半導体集積回路のタイミング検証方法及びタイミング検証装置
US7793239B2 (en) * 2006-04-24 2010-09-07 International Business Machines Corporation Method and system of modeling leakage
JP5167740B2 (ja) * 2007-09-20 2013-03-21 富士通セミコンダクター株式会社 設計支援プログラム、設計支援装置、および設計支援方法
US8499230B2 (en) * 2008-05-07 2013-07-30 Lsi Corporation Critical path monitor for an integrated circuit and method of operation thereof
WO2010019147A1 (en) 2008-08-14 2010-02-18 Lsi Corporation System and method for designing integrated circuits that employ adaptive voltage scaling optimization

Also Published As

Publication number Publication date
EP2344967A1 (en) 2011-07-20
KR101471237B1 (ko) 2014-12-09
WO2010019147A1 (en) 2010-02-18
KR20110055549A (ko) 2011-05-25
US20110138347A1 (en) 2011-06-09
JP5373906B2 (ja) 2013-12-18
JP2011530763A (ja) 2011-12-22
US8539424B2 (en) 2013-09-17
CN102160054A (zh) 2011-08-17

Similar Documents

Publication Publication Date Title
CN102160054B (zh) 用于设计使用自适应电压和调节优化的集成电路的系统和方法
US11480993B2 (en) Methods for optimizing circuit performance via configurable clock skews
US7467367B1 (en) Method and system for clock tree synthesis of an integrated circuit
JP2011530763A5 (zh)
US6832361B2 (en) System and method for analyzing power distribution using static timing analysis
US20100153897A1 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce leakage power in an electronic circuit and electronic design automation tool incorporating the same
CN1627302A (zh) 数字电路的统计计时分析系统和方法
US8316339B2 (en) Zone-based leakage power optimization
US7831946B2 (en) Clock distribution network wiring structure
Rohfleisch et al. Reducing power dissipation after technology mapping by structural transformations
EP1701279A1 (en) Manufacturing a clock distribution network in an integrated circuit
CN111581899A (zh) 良率参数文件和门级网表的生成方法以及芯片的开发流程
US7546566B2 (en) Method and system for verification of multi-voltage circuit design
US6687889B1 (en) Method and apparatus for hierarchical clock tree analysis
US10963610B1 (en) Analyzing clock jitter using delay calculation engine
US8745558B1 (en) Deployment of transmission gate logic cells in application specific integrated circuits
CN113536726A (zh) 用于最大瞬时峰值功率的矢量生成
JPH1092942A (ja) 半導体集積回路の最適化装置とその最適化方法
JP4855283B2 (ja) 半導体集積回路の設計装置
JP2001267429A (ja) レイアウト設計装置およびレイアウト設計方法
Raja et al. A reduced constraint set linear program for low-power design of digital circuits
CN113688587B (zh) 一种电路布图的生成方法、装置、计算机设备及存储介质
US20140351778A1 (en) Lsi design apparatus and method of designing lsi
US20090172627A1 (en) Design Structure for a Clock System for a Plurality of Functional Blocks
Karna et al. Impact on the Performance of North Bridge I/O Peripheral Component Interconnect Express Block in Physical Design Flow Considering Two Different Synthesis Corners at below 10nm Technology Node

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20160725

Address after: Singapore Singapore

Patentee after: Avago Technologies General IP (Singapore) Pte. Ltd.

Address before: California, USA

Patentee before: LSI Corp.