JP2009539270A - System and method for semiconductor processing - Google Patents

System and method for semiconductor processing Download PDF

Info

Publication number
JP2009539270A
JP2009539270A JP2009513455A JP2009513455A JP2009539270A JP 2009539270 A JP2009539270 A JP 2009539270A JP 2009513455 A JP2009513455 A JP 2009513455A JP 2009513455 A JP2009513455 A JP 2009513455A JP 2009539270 A JP2009539270 A JP 2009539270A
Authority
JP
Japan
Prior art keywords
precursor
plasma
steps
deposition
introducing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009513455A
Other languages
Japanese (ja)
Other versions
JP2009539270A5 (en
Inventor
テュー ニュイエン
タイ デュン ニュイエン
クレイグ アラン バーコー
Original Assignee
ティーガル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/443,621 external-priority patent/US7867905B2/en
Priority claimed from US11/443,620 external-priority patent/US7442615B2/en
Application filed by ティーガル コーポレイション filed Critical ティーガル コーポレイション
Publication of JP2009539270A publication Critical patent/JP2009539270A/en
Publication of JP2009539270A5 publication Critical patent/JP2009539270A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/32339Discharge generated by other radiation using electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

加工チャンバ、繰り返しトリガされるように適合させたフラッシュ・ランプ、フラッシュ・ランプの制御入力に接続されてフラッシュ・ランプをトリガするコントローラを用いて半導体加工を実施するためのシステム及び方法が開示される。本システムは、ウェハ加工において、フラッシュ・ランプと並行して固体プラズマ・ソースを配備することができる。  Disclosed is a system and method for performing semiconductor processing using a processing chamber, a flash lamp adapted to be triggered repeatedly, and a controller connected to the control input of the flash lamp to trigger the flash lamp. . The system can deploy a solid state plasma source in parallel with the flash lamp in wafer processing.

Description

本発明は半導体加工に関する。
本出願は2006年5月31日出願の米国特許出願第11/443,620号の優先権を主張し、そして本出願は2006年5月31日出願の米国特許出願第11/443,621号の優先権を主張するものである。
The present invention relates to semiconductor processing.
This application claims priority to US patent application Ser. No. 11 / 443,620 filed May 31, 2006, and this application is US Patent Application Ser. No. 11 / 443,621 filed May 31, 2006. Claiming priority.

現代の半導体デバイス構造体の製造は、伝統的に、エッチング、堆積(depositing)又はスパッタリングのような種々の操作においてプラズマ加工に依存している。プラズマ・エッチングは、化学的活性原子又は高エネルギー・イオンを用いて基板から材料を除去する工程を含む。プラズマ強化化学蒸着法(PECVD)はプラズマを用いて気体を解離させ活性化することで、堆積中の基板の温度を低下させることができる。プラズマ・スパッタリングもまた材料を基板上に堆積させるが、その際、アルゴンのようなプラズマ・イオンが材料表面に衝突し材料をスパッタさせ、その材料が中性原子として基板に運ばれる。付加的なプラズマ・プロセスには、プラズマ表面クリーニング及び種々の材料層の物理蒸着法(PVD)が含まれる。   The manufacture of modern semiconductor device structures traditionally relies on plasma processing in various operations such as etching, deposition or sputtering. Plasma etching involves removing material from a substrate using chemically active atoms or high energy ions. Plasma enhanced chemical vapor deposition (PECVD) can lower the temperature of a substrate during deposition by dissociating and activating gas using plasma. Plasma sputtering also deposits material on the substrate, where plasma ions such as argon strike the material surface and sputter the material, which is carried to the substrate as neutral atoms. Additional plasma processes include plasma surface cleaning and physical vapor deposition (PVD) of various material layers.

通常、プラズマはラジオ周波数作動型プラズマ・ソースを用いて生成される。「典型的な」ラジオ周波数作動型プラズマ・ソースにおいて、交流(AC)電力が整流され切り替えられてRF増幅器に電流が供給される。RF増幅器は基準周波数(例えば、13.56MHz)で動作し、電流を、出力整合ネットワークを通して、次いで電力計測回路を通して電源の出力に至るまで流す。出力整合部は普通、一般に産業で用いられる同軸ケーブルと同じ特性インピーダンスを有するように、特定のインピーダンス、例えば50オームで動作するように最適化された発生器に接続されるように設計される。電力は整合ケーブル断面を通して流れ、整合コントローラによって計測され、負荷整合部により変換される。負荷整合部は普通、電動式自動チューナであり、従って、負荷整合部の動作はシステムが適切に構成される前に所定の時間遅延を招く。負荷整合部を通過した後、電力は次に、排気型加工チャンバ内の2つの電極を駆動するプラズマ励起回路に導かれる。加工用気体が排気型加工チャンバ内に導入され、回路によって駆動されるときプラズマが生成する。整合ネットワーク又は負荷整合部は電動式であるので、整合ネットワークからの応答時間は典型的には1秒又はそれ以上の程度である。   Typically, the plasma is generated using a radio frequency operated plasma source. In a “typical” radio frequency operated plasma source, alternating current (AC) power is rectified and switched to supply current to the RF amplifier. The RF amplifier operates at a reference frequency (eg, 13.56 MHz) and passes current through the output matching network and then through the power metering circuit to the output of the power supply. The output matching section is usually designed to be connected to a generator optimized to operate at a specific impedance, for example 50 ohms, so as to have the same characteristic impedance as a coaxial cable commonly used in industry. Power flows through the matching cable cross section, is measured by the matching controller, and is converted by the load matching section. The load matching unit is usually a motorized automatic tuner, so the operation of the load matching unit introduces a predetermined time delay before the system is properly configured. After passing through the load matching section, power is then directed to a plasma excitation circuit that drives the two electrodes in the exhaust processing chamber. Plasma is generated when processing gas is introduced into the exhaust processing chamber and driven by the circuit. Since the matching network or load matching unit is motorized, the response time from the matching network is typically on the order of 1 second or more.

通常、プラズマは、層を高速で堆積させてその段差部分の形状(被覆率)を改善するのに必要な大量のエネルギーを得るために、連続的に生成される。「プラズマ・エッチング法及びその装置」と題する米国特許第5,468,341号に記述されているように、従来のRFソースにおいて、エッチングされる対象物の表面に達するイオン・エネルギーの量は、RF波の電力を制御することによって達成することができ、プラズマ中の解離プロセスの制御可能な範囲は狭く、従って、対象ウェハの表面上の制御可能なエッチング反応の範囲は狭く制限される。また、高密度プラズマ用のプラズマ生成チャンバ内には磁場が存在するので、例えばプラズマ中に生じるドリフト波による磁気流体力学的なプラズマ不安定性が存在する可能性があり、これは、イオン温度が上昇しイオン運動の方向が不均一になる問題を生じる。さらに、問題は、ゲート酸化膜の劣化、及びウェハ上に蓄積する電荷によるエッチング・プロファイルの変形を含む。   Usually, the plasma is generated continuously in order to obtain a large amount of energy necessary for depositing the layer at a high speed and improving the shape (coverage) of the stepped portion. As described in US Pat. No. 5,468,341 entitled “Plasma Etching Method and Apparatus”, in a conventional RF source, the amount of ion energy reaching the surface of the object to be etched is This can be achieved by controlling the power of the RF wave, and the controllable range of the dissociation process in the plasma is narrow, and therefore the range of the controllable etching reaction on the surface of the target wafer is narrowly limited. In addition, since a magnetic field exists in the plasma generation chamber for high-density plasma, for example, there may be magnetohydrodynamic plasma instability due to drift waves generated in the plasma, which increases the ion temperature. This causes a problem that the direction of ion motion becomes non-uniform. Further problems include gate oxide degradation and etch profile deformation due to charge build up on the wafer.

原子層堆積(ALD)として知られる堆積技術においては、種々の気体が交互に次々に約100乃至500ミリ秒間チャンバ内に注入される。例えば、第1の気体がチャンバ内に約500ミリ秒間加えられ且つ基板が加熱され、次いで第1の気体(加熱は随意に)が止められる。別の気体がチャンバ内に別の500ミリ秒間(加熱は随意に)加えられた後に止められる。次の気体は約500ミリ秒間(隋意に加熱される)加えられた後に止められる。この手順は全ての気体がチャンバ内を循環するまで行われ、各々の気体の手順は高度に共形的な(conformal)単層を形成する。従って、ALD技術は、気体注入及び加熱の手順を100ミリ秒と500ミリ秒の間のパルスにする。この手法は、シラン及び酸素のような種々の前駆体(precursor)気体の結合を切断するための高い解離エネルギーを必要とし、従って、基板を高温に、例えばシラン及び酸素プロセスに対しては摂氏600乃至800度の程度に、加熱することを必要とする。   In a deposition technique known as atomic layer deposition (ALD), various gases are alternately injected into the chamber one after another for about 100 to 500 milliseconds. For example, a first gas is added into the chamber for about 500 milliseconds and the substrate is heated, and then the first gas (heating is optional) is turned off. Another gas is turned off after another 500 milliseconds (heating is optional) in the chamber. The next gas is turned off after being added for about 500 milliseconds (arbitrarily heated). This procedure is performed until all the gas is circulated in the chamber, and each gas procedure forms a highly conformal monolayer. Thus, ALD technology makes the gas injection and heating procedure pulses between 100 and 500 milliseconds. This approach requires high dissociation energy to break the bonds of various precursor gases such as silane and oxygen, and thus the substrate is heated to high temperatures, for example, 600 degrees Celsius for silane and oxygen processes. Heating to about 800 degrees is required.

一態様において、半導体加工を行うための装置は、プロセス・チャンバ、繰返しトリガされるように適合されたフラッシュ・ランプ、及びフラッシュ・ランプをトリガするためのフラッシュ・ランプの制御入力に接続されたコントローラを含む。   In one aspect, an apparatus for performing semiconductor processing includes a process chamber, a flash lamp adapted to be triggered repeatedly, and a controller connected to a control input of the flash lamp for triggering the flash lamp including.

上記の態様の実施は以下の1つ又はそれ以上を含むことができる。フラッシュ・ランプは、堆積、エッチング、エピタキシャル堆積、及びスパッタ堆積のうちの1つ又はそれ以上を含む原子層加工を実施するようにパルス化することができる。周波数チューニングを用いる固体プラズマ発生器を用いて出力マッチングを達成することができる。プラズマ発生器は可動部分がなにもなく短いチューニング応答時間を有する固体発生器とすることができる。固体発生器は、スイッチング電源、電源に接続された増幅器、増幅器に接続された基準周波数発生器、コンパレータ及び基準周波数発生器にフィードバックを供給する電力計測回路、電力計測回路に接続された出力整合部、並びに、出力整合部に接続されたプラズマ励起回路を含む。複数の前駆体注入口をチャンバに結合することができ、前駆体注入口からの前駆体は、フラッシュ・ランプがトリガされるとき反応する。コントローラはコンピュータ制御型とすることができ、又は配線回路とすることができる。コントローラは、原子層プロセスにおいてフラッシュ・ランプを点灯する。コントローラはフラッシュ・ランプを多数回循環させてプロセス・チャンバ内で原子層加工を行うことができる。多層はプラズマ支援層及び非プラズマ支援層とすることができる。   Implementations of the above aspects can include one or more of the following. The flash lamp can be pulsed to perform atomic layer processing including one or more of deposition, etching, epitaxial deposition, and sputter deposition. Output matching can be achieved using a solid state plasma generator with frequency tuning. The plasma generator can be a solid state generator with no moving parts and a short tuning response time. The solid state generator includes a switching power source, an amplifier connected to the power source, a reference frequency generator connected to the amplifier, a power measuring circuit for supplying feedback to the comparator and the reference frequency generator, and an output matching unit connected to the power measuring circuit. And a plasma excitation circuit connected to the output matching unit. Multiple precursor inlets can be coupled to the chamber, and the precursors from the precursor inlet react when the flash lamp is triggered. The controller can be computer controlled or can be a wired circuit. The controller turns on the flash lamp in the atomic layer process. The controller can perform atomic layer processing in the process chamber by circulating the flash lamp many times. The multilayer can be a plasma assisted layer and a non-plasma assisted layer.

別の態様において、半導体上の多層膜を堆積させる方法は、気体を加工チャンバ内に導入し、フラッシュ・ランプをトリガしてチャンバ内に熱エネルギーを生成して層を堆積させるステップを含む。
この態様の実施は、以下の1つ又はそれ以上を含むことができる。本方法は、チャンバをパージし、次いで堆積させる各々の層に対して、順次フラッシュ・ランプのパルスを送るステップを含む。
In another aspect, a method of depositing a multilayer film on a semiconductor includes introducing a gas into a processing chamber and triggering a flash lamp to generate thermal energy in the chamber to deposit the layer.
Implementations of this aspect can include one or more of the following. The method includes purging the chamber and then sequentially sending a pulse of a flash lamp to each layer to be deposited.

さらに別の態様において、多層半導体加工チャンバは、内部に配置された試料を有する反応チャンバ内に加工用気体を導入するための、チャンバに接続された気体源と、加工用気体を反応させるための、チャンバに接続された高強度フラッシュ・ランプと、各々の堆積層に対してフラッシュ・ランプをトリガするための、フラッシュ・ランプに接続されたコントローラとを含む。   In yet another aspect, the multilayer semiconductor processing chamber is for reacting the processing gas with a gas source connected to the chamber for introducing the processing gas into a reaction chamber having a sample disposed therein. A high intensity flash lamp connected to the chamber and a controller connected to the flash lamp for triggering the flash lamp for each deposited layer.

上記の態様の実施は以下の1つ又はそれ以上を含むことができる。固体RFプラズマ・ソースをフラッシュ・ランプと共に用いることができ、このプラズマ・ソースは、スイッチング電源、電源に接続されたRF増幅器、RF増幅器に接続された基準周波数生成器、コンパレータ及び基準周波数生成器にフィードバックを供給する電力計測回路、並びに、電力計測回路に接続された出力整合部、及び出力整合部に接続されたプラズマ励起回路を含む。コントローラは、フラッシュ・ランプをトリガし、及び/又は固体RFプラズマ・ソースをパルス化する。コントローラは、堆積させる各々の層に対して、フラッシュ・ランプを逐次的にトリガし及び/又は固体RFプラズマ・ソースをパルス化する。   Implementations of the above aspects can include one or more of the following. A solid state RF plasma source can be used with the flash lamp, which is connected to the switching power supply, the RF amplifier connected to the power source, the reference frequency generator connected to the RF amplifier, the comparator and the reference frequency generator. A power measurement circuit for supplying feedback; an output matching unit connected to the power measurement circuit; and a plasma excitation circuit connected to the output matching unit. The controller triggers the flash lamp and / or pulses the solid state RF plasma source. The controller sequentially triggers the flash lamp and / or pulses the solid state RF plasma source for each layer to be deposited.

本システムの利点は以下の1つ又はそれ以上を含むことができる。本システムは瞬時に相当な量のエネルギーをウェハ表面に送って所望の表面反応を開始させることができる。本システムはパルス・プラズマ及び/又はフラッシュ加熱の組合せを実施して、持続的熱反応を開始するのに必要な温度よるも僅かに低い温度において基板表面上の化学的前駆体を熱的に活性化することができる。この技法は原子層堆積プロセス用材料の極薄の層を作るのに用いることができる。本システムは良好に制御された厚さを与える。顧客はより薄い膜を用いて同じ結果を達成し、ムーアの法則を拡張することができる。結果として得られる高品質の膜は、特に、高い均一性、制御された抵抗率、高い拡散耐性、及び高い共形性などの優れた特性を有する。従って、本システムは高精度のエッチング、堆積又はスパッタリング性能を可能にする。フラッシュ・バルブ及び/又はラジオ周波数作動型プラズマ・ソースのパルス変調は、プラズマ中のラジカル生成率、イオン温度及び電荷蓄積の厳格な制御を可能にする。また、ウェハ内の電荷蓄積時間はミリ秒の程度であり、ウェハに対する電荷蓄積はマイクロ秒の程度のパルス変調プラズマにより抑制されるので、本システムは電荷蓄積により生じるウェハ上のデバイスの損傷の抑制、及び電極エッチング・プロセス中に生じる切り欠きの抑制を可能にする。本システムは、基板が比較的低い温度、典型的には摂氏400度未満の温度まで加熱されることを可能にする。低い温度におけるウェハの加工は、熱的経費を削減することができ、特に、熱的に誘起される機械的歪み、及び基板内の隣接層の拡散合金化を減らし、そして結晶粒成長を最小にする上で有益である。   Advantages of the system can include one or more of the following. The system can instantly deliver a significant amount of energy to the wafer surface to initiate the desired surface reaction. The system implements a combination of pulsed plasma and / or flash heating to thermally activate chemical precursors on the substrate surface at a temperature slightly lower than that required to initiate a sustained thermal reaction. Can be This technique can be used to make ultrathin layers of materials for atomic layer deposition processes. The system gives a well-controlled thickness. Customers can achieve the same result with thinner membranes and extend Moore's Law. The resulting high quality film has excellent properties such as high uniformity, controlled resistivity, high diffusion resistance, and high conformality, among others. Thus, the system allows for highly accurate etching, deposition or sputtering performance. Pulse modulation of the flash bulb and / or radio frequency operated plasma source allows tight control of radical production rate, ion temperature and charge accumulation in the plasma. In addition, the charge accumulation time in the wafer is on the order of milliseconds, and charge accumulation on the wafer is suppressed by pulse-modulated plasma on the order of microseconds, so this system suppresses damage to devices on the wafer caused by charge accumulation. And the suppression of notches that occur during the electrode etching process. The system allows the substrate to be heated to a relatively low temperature, typically below 400 degrees Celsius. Processing wafers at low temperatures can reduce thermal costs, especially reduce thermally induced mechanical strain and diffusional alloying of adjacent layers in the substrate and minimize grain growth It is useful to do.

他の利点は以下の一つ又はそれ以上を含むことができる。本システムは、コンパクト基板加工モジュールにおいて、半導体ウェハのエッチング、堆積又はスパッタリングに関して、高エッチング速度、高均一性、高選択性、高異方性、及び低損傷で表される優れた特性を達成することができる高効率のプラズマ作業を達成する。本システムは、基板をエッチングするため及び基板上に膜を堆積させるための、低圧における高密度及び高均一のプラズマ作業を達成する。さらに、本システムは、高反応性及び腐食性気体を含む、種々様々な気体及び気体混合物を用いて作業する機能を有する。   Other advantages can include one or more of the following. The system achieves excellent characteristics represented by high etch rate, high uniformity, high selectivity, high anisotropy, and low damage for semiconductor wafer etching, deposition or sputtering in compact substrate processing modules. High efficiency plasma work can be achieved. The system achieves a high density and highly uniform plasma operation at low pressure for etching the substrate and depositing a film on the substrate. In addition, the system has the ability to work with a wide variety of gases and gas mixtures, including highly reactive and corrosive gases.

図1は加工チャンバ102を有する例示的なパルス加工システム100を示す。加工チャンバ102は、基板105を支持するチャック103などの加工チャンバの部品を封入するチャンバ本体を有する。加工チャンバは普通、真空を維持し、基板加工中にプロセス気体の密閉環境をもたらす。時折、加工チャンバは定期的に手入れをしてチャンバを清浄にし、チャンバに蓄積した不要な材料を除去する必要がある。加工チャンバの保守の助けとして、普通、加工チャンバの内部部品に手が届くように十分に大きな開口部が加工チャンバの上部に設けられる。   FIG. 1 shows an exemplary pulse processing system 100 having a processing chamber 102. The processing chamber 102 has a chamber body that encloses processing chamber components such as a chuck 103 that supports the substrate 105. The processing chamber typically maintains a vacuum and provides a sealed environment for process gases during substrate processing. Occasionally, the processing chamber needs to be regularly cleaned to clean the chamber and remove unwanted material that has accumulated in the chamber. As an aid to the processing chamber maintenance, a sufficiently large opening is usually provided at the top of the processing chamber to allow access to the internal parts of the processing chamber.

チャンバ102は、固体プラズマ発生器110によって駆動され高速点火機能を有するプラズマ励起回路106を含む。1つの市販のプラズマ・ソースは、ノースカロライナ州マシューズ所在のLITMAS Worldwideから入手可能なLitmasソースである。発生器110は交流(AC)ラインに接続されたスイッチング電源112を含む。電源112はAC入力を整流し、AC入力を切替えてRF増幅器116を駆動する。RF増幅器116は基準周波数発生器104により供給される基準周波数(例えば、13.56MHz)で動作する。RF増幅器116は電流を電力計測回路118を通して流し、この計測回路がコンパレータ120及び基準周波数発生器104にフィードバック信号を供給する。この実施形態において、電力は一度だけ計測され、その情報がRF増幅器116の増幅率の制御のため、及び必要ならばシステムの調整のために用いられる。次に電力は出力整合部122に送られ、これが直接にプラズマ励起回路106を駆動する。一実施形態において、プラズマ励起回路106にはチャンバ内の平行平板電極が用いられる。しかし、例えば、キャパシタンス結合型又はインダクタンス結合型の外部電極を含む他の同等な回路を用いることができる。   The chamber 102 includes a plasma excitation circuit 106 driven by a solid plasma generator 110 and having a fast ignition function. One commercially available plasma source is the Litmas source available from LITMAS Worldwide, Matthews, NC. Generator 110 includes a switching power supply 112 connected to an alternating current (AC) line. The power source 112 rectifies the AC input and switches the AC input to drive the RF amplifier 116. The RF amplifier 116 operates at a reference frequency (eg, 13.56 MHz) supplied by the reference frequency generator 104. The RF amplifier 116 passes current through the power measurement circuit 118, which provides a feedback signal to the comparator 120 and the reference frequency generator 104. In this embodiment, power is measured only once and that information is used to control the gain of the RF amplifier 116 and, if necessary, to adjust the system. Next, the electric power is sent to the output matching unit 122, which directly drives the plasma excitation circuit 106. In one embodiment, the plasma excitation circuit 106 uses parallel plate electrodes in the chamber. However, other equivalent circuits including, for example, capacitance coupled or inductance coupled external electrodes can be used.

コントローラ130は周期的なパルスを発生し、周波数基準104の1つの入力を駆動する。パルスはプラズマ発生を効果的に作動又は停止させる。コントローラ130の一実施形態は、10ヘルツ(10Hz)又はそれ以下の周波数のパルスを発生する。別の実施形態にいて、発生されるパルスは約250ミリ秒のパルス幅を有し、且つ、このパルスは約50ミリ秒毎に繰り返される。   Controller 130 generates periodic pulses and drives one input of frequency reference 104. The pulse effectively activates or deactivates the plasma generation. One embodiment of the controller 130 generates pulses at a frequency of 10 hertz (10 Hz) or lower. In another embodiment, the generated pulse has a pulse width of about 250 milliseconds and the pulse is repeated about every 50 milliseconds.

チャンバ102は、コントローラ130によって制御され、基板を加熱するための1つ又はそれ以上のフラッシュ・ランプ113を含む。種々の市販のフラッシュ・ランプを用いることができる。一実施形態においては、キセノン・フラッシュ・ランプ(球又は管)を用いて短時間パルス内に大量のスペクトル・エネルギーを放射させる。当業者に既知の多くの適切なフラッシュ・ランプを用いることができる。例えば、「フラッシュ・ランプのトリガに関する設計的考察」と題するAlex D. McLeodの論文(著作権1998−2003 PerkinElmer,Inc.)に論じられているように、電源エネルギーは蓄積キャパシタ内に蓄積する。このエネルギーが放出され放散されるとき、フラッシュ・ランプ内に高励起キセノン・プラズマが生じる。放出されたエネルギーは、太陽光に良く似て、紫外(UV)から赤外(IR)までの広いスペクトル領域をカバーする。充電されたエネルギー蓄積キャパシタは通常、フラッシュ・ランプの2つの主電極(一般にアノード及びカソードと呼ばれる)の端子間に接続される。このキャパシタが充電される電圧は普通、キセノンをイオン化する電圧よりも低い。   Chamber 102 is controlled by controller 130 and includes one or more flash lamps 113 for heating the substrate. Various commercially available flash lamps can be used. In one embodiment, a xenon flash lamp (sphere or tube) is used to emit a large amount of spectral energy within a short pulse. Many suitable flash lamps known to those skilled in the art can be used. For example, Alex D., entitled “Design Considerations for Flash Lamp Triggering”. As discussed in McLeod's paper (Copyright 1998-2003 PerkinElmer, Inc.), power source energy is stored in a storage capacitor. When this energy is released and dissipated, a highly excited xenon plasma is created in the flash lamp. The emitted energy is much like sunlight and covers a wide spectral region from ultraviolet (UV) to infrared (IR). A charged energy storage capacitor is typically connected between the terminals of the two main electrodes (commonly referred to as the anode and cathode) of the flash lamp. The voltage at which this capacitor is charged is usually lower than the voltage that ionizes xenon.

初めのイオン化を起すプロセスはトリガとして知られるが、これはイオン化を引き起こすのに十分な大きさの電圧勾配(ボルト/インチ)を気体中に作る。殆どのトリガ機構はトリガ変圧器を用いて短持続時間の高電圧パルスを生成する。この電圧を導入してイオン化を達成するための幾つかの異なる回路が開発されている。一旦イオン化が起ると、主電極間の細いストリーマにより明らかなように、導電性経路が存在し、それを通してエネルギー蓄積キャパシタが放電することができる。イオン化のレベルが上昇するにつれて、ストリーマは断面積が増加して強いフラッシュを生成する。   The process that causes the initial ionization is known as a trigger, which creates a voltage gradient (volts / inch) in the gas that is large enough to cause ionization. Most trigger mechanisms use trigger transformers to generate short duration high voltage pulses. Several different circuits have been developed to introduce this voltage to achieve ionization. Once ionization occurs, there is a conductive path through which the energy storage capacitor can be discharged, as evidenced by the narrow streamer between the main electrodes. As the level of ionization increases, the streamer increases in cross-sectional area and produces a strong flash.

外部注入型、連続注入型、疑似連続注入型を含む多くのトリガ法を用いることができる。これら3つのトリガ法はシマー又は疑似シマー・モード回路によりトリガすることができる。シマー・モード法は、フラッシュ・ランプが一連のフラッシュにおいて一度だけトリガされることを必要とする。特別に設計された負荷特性を有する別個の電源を用いて、低いが安定なイオン化状態において、電流をランプ内に連続的に流れさせる。フラッシュ・ランプの型に応じて、典型的なシマー電流は100ミリアンペアから数アンペアまでとすることができる。ランプの両端にかかる電圧は100乃至150ボルトとなる。別個の電源に対して充電されたキャパシタから得られる主放電エネルギーは、ここでランプ内に切り替ることができる。SCR又は気体若しくは真空ギャップのような半導体スイッチを用いることもできる。ランプ内の気体はより高度にイオン化して、エネルギーが放散される際にフラッシュを生じることになる。次いで気体はシマー状態に戻されることになる。回路の設計及びレイアウトにおいて、寄生要素による過渡電流が脱イオン化を起さないように、或いは半導体又は絶縁体が過度に歪みを受けないように注意を払う必要がある。疑似シマー・モードは、シマー電源をキャパシタ充電電源と組み合せたシマー・モード回路の変形物である。動作条件はランプ及び電源負荷回線の考慮により制限される。   Many trigger methods can be used, including external injection, continuous injection, and pseudo continuous injection. These three triggering methods can be triggered by a simmer or pseudo-simmer mode circuit. The shimmer mode method requires that the flash lamp be triggered only once in a series of flashes. A separate power supply with specially designed load characteristics is used to allow current to flow continuously through the lamp in a low but stable ionization state. Depending on the type of flash lamp, typical simmer currents can range from 100 milliamps to several amperes. The voltage across the lamp is 100 to 150 volts. The main discharge energy obtained from a capacitor charged to a separate power source can now be switched into the lamp. Semiconductor switches such as SCR or gas or vacuum gaps can also be used. The gas in the lamp is more highly ionized and will flash when energy is dissipated. The gas will then be returned to the simmer state. Care must be taken in circuit design and layout so that transient currents due to parasitic elements do not cause deionization or that the semiconductor or insulator is not excessively distorted. The pseudo-simmer mode is a variation of a simmer mode circuit that combines a simmer power supply with a capacitor charging power supply. Operating conditions are limited by lamp and power load line considerations.

外部トリガ法による実施形態においては、高電圧トリガ・パルスを用いてランプ内のアノードとカソードの間に細いイオン化ストリーマを作る。イオン化は、管壁に隣接する気体がトリガ変圧器からのこの高電圧パルスにより生じる電圧勾配によって励起されるときに開始する。細いニッケル線をガラス(又は石英)容器(管)の表面に巻きつけて、電極の内部先端間で可能な限り容器の長さにわたってガラスに触れさせることができる。この線の一端に、トリガ変圧器の高電圧出力(二次巻き線)を接続する。特定のフラッシュ・ランプを確実にトリガするのに必要なトリガ電圧は、アーク長、内径、充填圧力、電極材料に依存し、通常はフラッシュ・ランプの特性データ内に与えられる。周囲の放射エネルギー及びランプの経年特性などの他の因子もまたトリガ要件に影響する。トリガ・パルス幅は本方法を用いる上で重要であるが、何故ならフラッシュ・ランプの穴(bore)をイオン化ストリーマが伝搬するのに有限の時間を要するからである。パルス幅がアーク長1インチ当たり少なくとも200ナノ秒であるときトリガ動作が最も確実となることが見出されている。   In an external triggering embodiment, a high voltage trigger pulse is used to create a thin ionization streamer between the anode and cathode in the lamp. Ionization begins when the gas adjacent to the tube wall is excited by the voltage gradient created by this high voltage pulse from the trigger transformer. A thin nickel wire can be wrapped around the surface of a glass (or quartz) container (tube) to allow the glass to touch as long as possible between the inner tips of the electrodes. Connect one end of this line to the high voltage output (secondary winding) of the trigger transformer. The trigger voltage required to reliably trigger a particular flash lamp depends on the arc length, inner diameter, fill pressure, electrode material, and is usually given in the flash lamp characteristic data. Other factors such as ambient radiant energy and lamp aging characteristics also affect trigger requirements. The trigger pulse width is important in using this method because it requires a finite time for the ionization streamer to propagate through the flash lamp bore. It has been found that triggering is most reliable when the pulse width is at least 200 nanoseconds per inch of arc length.

連続注入トリガ法による別の実施形態においては、エネルギー蓄積キャパシタからの放電電流がトリガ変圧器の二次巻き線を通して流される。従って、トリガ変圧器の二次巻き線は、周囲温度の設計限界内で全放電電流を通すように設計する必要がある。ここで二次巻き線の(トリガ変圧器の)インダクタンスは放電回路の一部分となるのでエネルギー蓄積キャパシタの電流パルス波形を制御するのに利用することができる。フラッシュ・ランプの寿命はピーク電流に反比例するので、このインダクタンスを減衰に対して最適化して臨界減衰回路を設計することが望ましい。これは最小のピーク電流を生成し、フラッシュ・ランプを損傷する可能性のある電流反転を防止することになる。これによりフラッシュ・ランプの寿命は特定の必要エネルギーに対して最大となり、ここで電力要件がトリガ変圧器の大きさを決定する。疑似連続注入トリガ法は、特にその大きさ、重量及びコストの不利点の幾つかを被らずに、連続注入トリガ法の利点の幾つかを保持する。図5は、この回路の、極性及び接地ノードの種々の可能な構成における4つの型を示す。トリガ電圧は連続注入法と同様にフラッシュ・ランプに印加されるが、この場合には、主エネルギー放電はトリガ変圧器の二次巻き線を流れない。その代り、適切なダイオードを通る経路が設けられる。   In another embodiment by the continuous injection trigger method, the discharge current from the energy storage capacitor is passed through the secondary winding of the trigger transformer. Therefore, the secondary winding of the trigger transformer must be designed to pass the full discharge current within the design limits of the ambient temperature. Here, the inductance of the secondary winding (of the trigger transformer) becomes part of the discharge circuit and can be used to control the current pulse waveform of the energy storage capacitor. Since the life of a flash lamp is inversely proportional to the peak current, it is desirable to design a critical damping circuit with this inductance optimized for damping. This will generate minimal peak current and prevent current reversal that can damage the flash lamp. This maximizes the life of the flash lamp for a specific energy requirement, where the power requirement determines the size of the trigger transformer. The pseudo continuous injection trigger method retains some of the advantages of the continuous injection trigger method without incurring some of its size, weight, and cost disadvantages. FIG. 5 shows four types of this circuit in various possible configurations of polarity and ground nodes. The trigger voltage is applied to the flash lamp as in the continuous injection method, but in this case the main energy discharge does not flow through the secondary winding of the trigger transformer. Instead, a path through an appropriate diode is provided.

パルス発生器130はまた、フラッシュ・ランプ113を駆動する周期的パルスを発生する。パルスはプラズマ発生を効果的に作動又は停止させる。パルス発生器130の一実施形態は10ヘルツ(10Hz)又はそれ以下の周波数のパルスを発生する。別の実施形態において、発生されるパルスは約50ミリ秒のパルス幅を有し、且つ、このパルスは5秒毎に繰り返される。   The pulse generator 130 also generates periodic pulses that drive the flash lamp 113. The pulse effectively activates or deactivates the plasma generation. One embodiment of the pulse generator 130 generates pulses at a frequency of 10 hertz (10 Hz) or less. In another embodiment, the generated pulse has a pulse width of about 50 milliseconds and the pulse is repeated every 5 seconds.

上記の方法で堆積された膜の特性は、プラズマ内の電子温度、基板に入射するイオンのエネルギー、並びにイオン・シース近傍に生成されるイオン及びラジカルに依存する。プラズマ内の電子温度分布、プラズマ内に生成される各々のイオン及びラジカルの種類、並びにイオン量とラジカル量の間の比率は、プラズマ・エッチングに関して説明したのと同じ方法で高周波数電圧を変調することによって制御することができる。従って、優れた特性を有する膜の堆積条件が分かれば、この条件を満たすように、本発明による変調信号で放電プラズマを制御することができる。このようにして、膜堆積に関する加工特性を改善することができる。   The properties of the film deposited by the above method depend on the electron temperature in the plasma, the energy of ions incident on the substrate, and the ions and radicals generated in the vicinity of the ion sheath. The electron temperature distribution in the plasma, the type of each ion and radical produced in the plasma, and the ratio between the amount of ions and radicals modulate the high frequency voltage in the same way as described for plasma etching. Can be controlled. Therefore, if the deposition conditions for a film having excellent characteristics are known, the discharge plasma can be controlled with the modulation signal according to the present invention so as to satisfy this condition. In this way, processing characteristics relating to film deposition can be improved.

図2は、図1のシステム100を用いた一つの例示的な半導体製造プロセスのフローチャートを示す。初めに、ウェハがチャンバ内部に配置される(ステップ200)。次に、適切な加工用気体がチャンバ内に導入され(ステップ202)、そしてプロセス作動スイッチによりコントローラ130が周期的に作動されて所望のプロセスを進める(ステップ204)。コントローラは1つ又はそれ以上のフラッシュ・バルブ、1つ又はそれ以上の固体RFヒータ、又はこれら2つの熱源の任意の順番での任意に組合せ、を作動させることができる。実行される特定の型のプロセスは、プロセス作動スイッチの選択に影響する。任意のデバイス製造プロセスに対する作動スイッチの選択は、プロセスが堆積プロセスであるか又はエッチング・プロセスであるかに関わらず、最終の半導体デバイスの特性に著しく影響する可能性がある。1層の材料の加工の終りに、チャンバ内の気体がパージされ(ステップ206)、チャンバはさらに別の加工を引き受ける準備が整う。従って、次の材料層に対して、適切な加工用気体がチャンバ内に導入され(ステップ208)、コントローラ130は周期的に作動されて所望のプロセスを進める(ステップ210)。第2材料層の加工の終りに、チャンバ内の気体がパージされ(ステップ212)、チャンバはさらに別の材料層を受け入れる準備が整う。このプロセスは多層ウェハ内の各層に対して繰り返される。   FIG. 2 shows a flowchart of one exemplary semiconductor manufacturing process using the system 100 of FIG. Initially, a wafer is placed inside the chamber (step 200). Next, an appropriate processing gas is introduced into the chamber (step 202) and the controller 130 is periodically activated by a process activation switch to advance the desired process (step 204). The controller can operate one or more flash valves, one or more solid state RF heaters, or any combination of these two heat sources in any order. The particular type of process that is performed affects the selection of the process activation switch. The selection of the activation switch for any device manufacturing process can significantly affect the properties of the final semiconductor device, regardless of whether the process is a deposition process or an etching process. At the end of processing a layer of material, the gas in the chamber is purged (step 206) and the chamber is ready to take on further processing. Thus, for the next material layer, the appropriate processing gas is introduced into the chamber (step 208) and the controller 130 is periodically activated to proceed with the desired process (step 210). At the end of processing the second material layer, the gas in the chamber is purged (step 212), and the chamber is ready to accept another material layer. This process is repeated for each layer in the multilayer wafer.

図3Aは、1つの例示的なコントローラ300を示す。コントローラ300はディジタル・アナログ・コンバータ(DAC)306を駆動するコンピュータ302を含む。DAC306は成形された波形を生成し、そして、プラズマ発生器110を駆動するパワー・トランジスタ又はリレーのような高電圧分離ユニット308に接続される。コントローラ300は、矩形波及び正弦波のような種々の波形を生成することができ、さらに、それら波形の周期及び振幅を変えることができる。さらに、上の説明において、プラズマに供給されるRF電力は矩形波で変調される。しかし、変調波形は矩形波に限定されない。換言すれば、所望のイオン・エネルギー分布、所望の電子温度分布、並びに、所望のイオン量と所望のラジカル量の間の比率が分かれば、これらの因子に従って変調波形が決定される。変調波形として矩形波を用いることは、加工条件を容易に設定することができ且つプラズマ加工を容易に制御できる利点を有する。矩形波はRFソースからの信号を不連続型に変調するので、矩形波は、正弦波及びその合成波と比較して、加工条件を容易に設定することができることに留意されたい。さらに、パルス発生器はまた、周波数変調信号に加えて、又はそれと組み合せて、振幅変調信号を発生することができる。   FIG. 3A shows one exemplary controller 300. The controller 300 includes a computer 302 that drives a digital to analog converter (DAC) 306. The DAC 306 generates a shaped waveform and is connected to a high voltage isolation unit 308 such as a power transistor or relay that drives the plasma generator 110. The controller 300 can generate various waveforms, such as a square wave and a sine wave, and can change the period and amplitude of the waveforms. Furthermore, in the above description, the RF power supplied to the plasma is modulated with a square wave. However, the modulation waveform is not limited to a rectangular wave. In other words, if the desired ion energy distribution, the desired electron temperature distribution, and the ratio between the desired ion amount and the desired radical amount are known, the modulation waveform is determined according to these factors. Using a rectangular wave as the modulation waveform has the advantage that the processing conditions can be easily set and the plasma processing can be easily controlled. It should be noted that since the square wave modulates the signal from the RF source in a discontinuous manner, the square wave can easily set the processing conditions as compared to the sine wave and its combined wave. Further, the pulse generator can also generate an amplitude modulated signal in addition to or in combination with the frequency modulated signal.

図3Bは、カリフォルニア州サニーベール所在のSigneticsから入手可能な555タイマーのような、タイマー・チップを用いる例示的な実施形態を示す。タイマー・チップ555は適切な抵抗容量(RC)ネットワークにより特定の間隔でパルスを発生するように予備構成されている。タイマー・チップ555は成形された波形を発生し、上記のように、プラズマ発生器110を駆動するパワー・トランジスタ又はリレーのような高電圧分離ユニット308に接続される。   FIG. 3B illustrates an exemplary embodiment using a timer chip, such as a 555 timer available from Signetics, Sunnyvale, California. The timer chip 555 is pre-configured to generate pulses at specific intervals with a suitable resistive capacitance (RC) network. The timer chip 555 generates a shaped waveform and is connected to a high voltage isolation unit 308 such as a power transistor or relay that drives the plasma generator 110 as described above.

ここで図4を参照すると、多数チャンバ型半導体加工システム800が示される。加工システム800は、ウェハ842を収容し加工するために適合された複数のチャンバ802、804、806、808及び810を有する。コントローラ822、824、826、828及び830は、それぞれ、チャンバ802、804、806、808及び810の各々を制御する。付加的に、コントローラ821は別のチャンバを制御するが、このチャンバは説明のためには図示されていない。   Referring now to FIG. 4, a multi-chamber semiconductor processing system 800 is shown. The processing system 800 has a plurality of chambers 802, 804, 806, 808 and 810 that are adapted to receive and process the wafer 842. Controllers 822, 824, 826, 828, and 830 control each of chambers 802, 804, 806, 808, and 810, respectively. Additionally, controller 821 controls another chamber, which is not shown for illustration purposes.

チャンバ802−810の各々は、チャンバ本体102の蓋104を与える。保守操作の間、蓋104は開放位置に動かすことができるので、チャンバ本体102の内部の部品に容易に手入れをして必要なときに洗浄又は置換することができる。   Each of the chambers 802-810 provides a lid 104 for the chamber body 102. During maintenance operations, the lid 104 can be moved to the open position so that parts inside the chamber body 102 can be easily cared for and cleaned or replaced when needed.

チャンバ802−810は、ウェハ842を収容する移動チャンバ840に接続される。ウェハ842はロボット・ブレード又はアーム846の先端に置かれる。ロボット・ブレード846は加工領域外部からウェハ842を受け取る。   Chambers 802-810 are connected to a transfer chamber 840 that contains a wafer 842. Wafer 842 is placed at the tip of a robot blade or arm 846. Robot blade 846 receives wafer 842 from outside the processing area.

加工領域間のウェハ842の移動は、領域を隔てる1つ又はそれ以上のドアを通してウェハを通過させることを伴う。ドアは一実施形態において約25個のウェハを保持することができるウェハ収容コンテナ又はウェハ・ボートを通過させるためのロードロック・チャンバ860−862とすることができる。ウェハ842はコンテナ内で、1つの領域から別の領域へチャンバを通して移動させることができる。ロードロックはまた、ウェハの回りに周囲空気を効果的にフラッシュする空気循環及びろ過システムを備えることができる。   Movement of the wafer 842 between processing areas involves passing the wafer through one or more doors separating the areas. The door may be a load lock chamber 860-862 for passing a wafer containment container or wafer boat capable of holding about 25 wafers in one embodiment. Wafer 842 can be moved through the chamber from one area to another within the container. The load lock can also include an air circulation and filtration system that effectively flushes ambient air around the wafer.

各々のロードロック・チャンバ860又は862は密閉された開口部850又は852の間に配置され、半導体ウェハを製造領域の間で移動させる機能を備える。ロードロック860−862は、ウェハの回りに周囲空気を効果的にフラッシュする空気循環及びろ過システムを含むことができる。各ロードロック・チャンバ860又は862はまた、ウェハ移動操作中にパージすることができ、1つの製造領域から他に移動される空気中の汚染物質の数を著しく減少させる。ロードロック・チャンバ860−862はまた、制御のために空気圧を計測する圧力センサ870−872を含むことができる。   Each load lock chamber 860 or 862 is disposed between the sealed openings 850 or 852 and has the function of moving the semiconductor wafer between manufacturing regions. The load lock 860-862 can include an air circulation and filtration system that effectively flushes ambient air around the wafer. Each load lock chamber 860 or 862 can also be purged during a wafer transfer operation, significantly reducing the number of contaminants in the air that are moved from one manufacturing area to another. The load lock chamber 860-862 may also include pressure sensors 870-872 that measure air pressure for control.

操作中、ウェハ・カセット又はウェハ・ボートはシステム前面の開口部850−852においてロードロック・ドアを通してロードロックに搭載される。ドアが閉じられ、システムが排気されて到達圧力が圧力センサ870−872により計測される。スリット弁(図示せず)が開かれてウェハをロードロックから移動チャンバに移動させることが可能になる。ロボット・ブレードがウェハを捕捉してウェハを適切なチャンバに送出する。第2のスリット弁が移動チャンバとプロセス・チャンバの間で開き、ウェハがプロセス・チャンバ内に運ばれる。   During operation, the wafer cassette or wafer boat is loaded into the load lock through a load lock door at an opening 850-852 in the front of the system. The door is closed, the system is evacuated, and the ultimate pressure is measured by pressure sensors 870-872. A slit valve (not shown) is opened to allow the wafer to move from the load lock to the transfer chamber. A robot blade captures the wafer and delivers the wafer to the appropriate chamber. A second slit valve opens between the transfer chamber and the process chamber and the wafer is carried into the process chamber.

従って、コンテナは、ウェハ移動操作中にそれぞれの製造領域内に留まり、コンテナに付着した如何なる汚染物質もウェハと共に1つの製造領域から他の製造領域に移動することはない。さらに、ウェハ移動操作中、移動チャンバ内の空気をパージすることができるので、1つの製造領域から他の製造領域に移動する空気中の汚染物質の数が著しく減少する。このように、操作中に移動チャンバは製造工程間に高レベルの分離をもたらす。   Thus, the containers remain in their respective manufacturing areas during the wafer transfer operation, and any contaminants attached to the container do not move from one manufacturing area to another with the wafer. Furthermore, since the air in the transfer chamber can be purged during the wafer transfer operation, the number of contaminants in the air moving from one manufacturing area to another manufacturing area is significantly reduced. Thus, during operation, the transfer chamber provides a high level of separation during the manufacturing process.

図5は、システム100又はシステム300を用いた液体及び気体前駆体送出のための例示的な装置40を示す。装置40はCVDチャンバのようなチャンバ44を含む。チャンバ40は、基板加工を実施するための排気可能密閉区域を定めるチャンバ本体を含む。チャンバ本体は、少なくとも、スリット弁により選択的に密閉される基板入口ポートと、それを通して基板支持部材を動かすことができる側面ポートとを含む、複数のポートを有する。装置40はまた、チャンバ44に接続された気体前駆体インジェクタ46及びチャンバ40に接続された液体前駆体インジェクタ42を含む。   FIG. 5 shows an exemplary apparatus 40 for liquid and gas precursor delivery using the system 100 or system 300. The apparatus 40 includes a chamber 44, such as a CVD chamber. The chamber 40 includes a chamber body that defines an evacuable sealed area for performing substrate processing. The chamber body has a plurality of ports including at least a substrate inlet port that is selectively sealed by a slit valve and a side port through which the substrate support member can be moved. The apparatus 40 also includes a gas precursor injector 46 connected to the chamber 44 and a liquid precursor injector 42 connected to the chamber 40.

液体前駆体インジェクタ42において、前駆体60は密閉コンテナ61内に置かれる。アルゴンのような不活性気体62がチューブ63を通してコンテナ61の中に注入されてコンテナ61内部の圧力を上昇させ、弁65が開かれたときに銅前駆体60がチューブ64を通して流れるようにする。液体前駆体60は液体流量コントローラ66により計測され、チューブ67に流れ込み、そしてCVDチャンバ71に取り付けられた気化器68に流れ込む。気化器68は液体を加熱して前駆体60を気化して気体69にし、基板70の上を流し、この基板がサセプタによって適切な温度に加熱されて銅前駆体を分解させ、基板70の上に銅層を堆積させる。CVDチャンバ71は、排気ポンピング72により周囲から密閉され、堆積が制御された部分的真空内で起ることを可能にする。   In the liquid precursor injector 42, the precursor 60 is placed in a sealed container 61. An inert gas 62 such as argon is injected into the container 61 through the tube 63 to raise the pressure inside the container 61 and cause the copper precursor 60 to flow through the tube 64 when the valve 65 is opened. The liquid precursor 60 is measured by the liquid flow controller 66 and flows into the tube 67 and into the vaporizer 68 attached to the CVD chamber 71. The vaporizer 68 heats the liquid to vaporize the precursor 60 into a gas 69 and flows over the substrate 70, which is heated to an appropriate temperature by the susceptor to decompose the copper precursor, A copper layer is deposited on the substrate. The CVD chamber 71 is sealed from the surroundings by exhaust pumping 72, allowing deposition to occur in a controlled partial vacuum.

気体前駆体インジェクタ46において、温度制御ジャケット100によって包まれ、前駆体の温度を摂氏0.1度以内で制御することを可能にする密閉コンテナ89の内部に液体前駆体88が収容される。熱電対(図示せず)が前駆体88の中に沈められ、電子制御回路(図示せず)がジャケット100の温度を制御し、ジャケット100が液体前駆体の温度を制御して前駆体の蒸気圧を制御する。液体前駆体は、特定の堆積プロセスに必要な適切な蒸気圧を生ずるように加熱又は冷却することができる。搬送気体80は、弁83と、弁92又は95のいずれか一方のみとが開かれるとき、気体流量コントローラ82を通して流れることができる。また1つ又はそれ以上の付加的な気体流量コントローラ86が図示されており、これは、所望であれば、弁87が開かれるときに付加的な気体84を流すことができる。付加的な気体97もまた、気体流量コントローラ99に取り付けられた弁79に接続された注入用チューブを通して気化器68の中に注入することができる。蒸気圧に応じて、前駆体88のある一定量が搬送気体80及び84によって運ばれることになり、また弁92が開いているときはチューブ93を通して排出される。   In the gas precursor injector 46, a liquid precursor 88 is contained within a sealed container 89 that is enclosed by a temperature control jacket 100 and allows the temperature of the precursor to be controlled within 0.1 degrees Celsius. A thermocouple (not shown) is submerged in the precursor 88, an electronic control circuit (not shown) controls the temperature of the jacket 100, and the jacket 100 controls the temperature of the liquid precursor to control the precursor vapor. Control the pressure. The liquid precursor can be heated or cooled to produce the appropriate vapor pressure required for a particular deposition process. The carrier gas 80 can flow through the gas flow controller 82 when the valve 83 and only one of the valves 92 or 95 are opened. Also shown is one or more additional gas flow controllers 86, which can flow additional gas 84 when valve 87 is opened, if desired. Additional gas 97 can also be injected into the vaporizer 68 through an injection tube connected to a valve 79 attached to the gas flow controller 99. Depending on the vapor pressure, a certain amount of precursor 88 will be carried by the carrier gases 80 and 84 and will be exhausted through the tube 93 when the valve 92 is open.

基板がCVDチャンバ71の内部に配置されたのち、基板は上記のようにヒータ100又は300によって加熱される。基板が適切な温度に達した後、弁92を閉じ、弁95を開いて、搬送気体80及び84並びに前駆体蒸気が接続チューブ96を通して気化器68の中に入るようにする。このような弁の配置は、チャンバ71内への蒸気の突発的な進入を防止する。前駆体88は既に蒸気であり、気化器は、前駆体蒸気を基板70上に一様に散布するためのシャワーヘッドとしてだけ用いられる。銅の堆積速度及び初期銅堆積に必要な厚さに依存する所定の時間の後、弁95を閉じ、弁92を開く。搬送気体の流速は1sccm毎秒もの微量まで正確に制御することができ、前駆体の蒸気圧は前駆体88を冷却することにより1気圧の何分の一かにまで減らすことができる。このような配置は、所望であれば、銅堆積速度を10オングストローム毎分よりも小さな値まで正確に制御することを可能にする。初期銅層の堆積が終ると、液体源送出システムを作動させて、その後の堆積をより迅速に進めることができる。   After the substrate is placed inside the CVD chamber 71, the substrate is heated by the heater 100 or 300 as described above. After the substrate reaches the proper temperature, valve 92 is closed and valve 95 is opened to allow carrier gases 80 and 84 and precursor vapor to enter vaporizer 68 through connecting tube 96. Such a valve arrangement prevents the sudden entry of steam into the chamber 71. The precursor 88 is already vapor, and the vaporizer is used only as a showerhead to uniformly distribute the precursor vapor on the substrate 70. After a predetermined time, which depends on the copper deposition rate and the thickness required for initial copper deposition, valve 95 is closed and valve 92 is opened. The flow rate of the carrier gas can be accurately controlled to as little as 1 sccm per second, and the vapor pressure of the precursor can be reduced to a fraction of one atmosphere by cooling the precursor 88. Such an arrangement allows the copper deposition rate to be accurately controlled to values less than 10 angstroms per minute, if desired. Once the initial copper layer has been deposited, the liquid source delivery system can be activated to allow subsequent deposition to proceed more quickly.

図6A及び図6Bは、障壁パルス・プラズマ原子層堆積を実施する実施形態600の2つの作動条件を示す。図6Aは一つの堆積条件における実施形態600を示し、図6Bはもう一つの堆積条件における実施形態600を示す。図6A及び図6Bを参照すると、チャンバ602は1つ又はそれ以上の気体注入口604を通して気体を受け入れる。固体プラズマ発生器605がチャンバ602の頂部に取り付けられ、1つ又はそれ以上のプラズマ励起コイル607が気体注入口604の近くに配置される。フラッシュ・バルブ611が基板610の上方に配置される。液体前駆体システム606は前駆体気体を、気化器608を通して前駆体散布リング630により、チャンバ602の内部に導入する。   6A and 6B show two operating conditions of an embodiment 600 that performs barrier pulsed plasma atomic layer deposition. FIG. 6A shows the embodiment 600 in one deposition condition, and FIG. 6B shows the embodiment 600 in another deposition condition. Referring to FIGS. 6A and 6B, the chamber 602 receives gas through one or more gas inlets 604. A solid state plasma generator 605 is attached to the top of the chamber 602 and one or more plasma excitation coils 607 are located near the gas inlet 604. A flash valve 611 is disposed above the substrate 610. The liquid precursor system 606 introduces precursor gas through the vaporizer 608 and into the chamber 602 by the precursor spray ring 630.

チャック608は基板610を移動可能に支持する。図6Aにおいて、チャック608及び基板610は持ち上げられて堆積の準備が整えられる。基板610はチャンバ内に配置される。適切な加工用気体が注入口604を通してチャンバ内に導入され、プロセス作動スイッチによりパルス・プラズマ・コントローラ605が周期的に作動されて所望のプロセスを進める。実行される特定のプロセスはプロセス作動スイッチの選択に影響する。何れのデバイス製造プロセスに対する作動スイッチの選択も、プロセスが堆積プロセスであるか又はエッチング・プロセスであるかに関わらず、最終の半導体デバイスの特性に著しく影響する可能性がある。1つの材料層の加工の終りに、チャンバ602内の気体がパージされ、チャンバ602はさらに別の加工を受け入れる準備が整う。このプロセスは多層ウェハ上の各々の層に対して繰り返される。全ての層の堆積の終わりに、チャック608が降ろされて基板610は開口部611を通して取り出すことができる。   The chuck 608 supports the substrate 610 so as to be movable. In FIG. 6A, chuck 608 and substrate 610 are lifted and ready for deposition. The substrate 610 is disposed in the chamber. An appropriate processing gas is introduced into the chamber through the inlet 604, and a pulsed plasma controller 605 is periodically activated by a process activation switch to advance the desired process. The particular process that is performed affects the selection of the process activation switch. The selection of the activation switch for any device manufacturing process can significantly affect the properties of the final semiconductor device, regardless of whether the process is a deposition process or an etching process. At the end of processing of one material layer, the gas in chamber 602 is purged and chamber 602 is ready to accept further processing. This process is repeated for each layer on the multilayer wafer. At the end of the deposition of all layers, the chuck 608 is lowered and the substrate 610 can be removed through the opening 611.

本システムは、基板が、閉ループ温度制御における信頼性のある実時間の多点温度計測による温度一様性を有することを可能にする。制御部分は、プロセッサ、データ記憶システム、揮発性及び不揮発性メモリ及び/又は記憶要素、少なくとも1つの入力デバイス及び少なくとも1つの出力デバイスを有するプログラム可能コンピュータの上で実行されるコンピュータ・プログラムにおいて実施される。   The system allows the substrate to have temperature uniformity with reliable real-time multipoint temperature measurement in closed loop temperature control. The control portion is implemented in a computer program executed on a programmable computer having a processor, a data storage system, volatile and non-volatile memory and / or storage elements, at least one input device and at least one output device. The

各コンピュータ・プログラムは、機械可読記憶媒体内、又は汎用若しくは専用プログラム可能コンピュータによって可読なデバイス(例えば、プログラム・メモリ522又は磁気ディスク)内に有形にストアされ、記憶媒体又はデバイスがコンピュータによって読み出されるとき内部に記述されているプロセスを実行するように、コンピュータの動作を構成し制御する。本発明はまた、コンピュータ・プログラムにより構成されるコンピュータ可読記憶媒体内に具体化されるように考えることもでき、その場合、そのように構成された記憶媒体は、本明細書で記述された機能を実施するための特定の及び予め定められた仕方でコンピュータを動作させる。   Each computer program is tangibly stored in a machine-readable storage medium or device readable by a general purpose or special purpose programmable computer (eg, program memory 522 or magnetic disk), and the storage medium or device is read by the computer. Sometimes configure and control the operation of the computer to execute the processes described internally. The present invention can also be thought of as embodied in a computer readable storage medium configured by a computer program, in which case the storage medium configured as such is a function described herein. The computer is operated in a specific and predetermined way to implement

CVD(化学気相堆積)において、気体又は蒸気混合物が高温のウェハ表面上を流される。次に反応が高温表面上で起り、そこで堆積が起る。CVDプロセスの基本的特徴は、基板における全ての前駆体蒸気相互の反応、又は基板表面における前駆体の分解である。多くの場合、反応は熱エネルギー(抵抗加熱基板、又は放射加熱の形態の)又はプラズマ・エネルギー(プラズマ励起の形態の)のようなエネルギー源の存在を必要とする。   In CVD (chemical vapor deposition), a gas or vapor mixture is flowed over a hot wafer surface. The reaction then takes place on the hot surface, where deposition takes place. The basic feature of the CVD process is the interaction of all precursor vapors on the substrate or the decomposition of the precursor on the substrate surface. In many cases, the reaction requires the presence of an energy source such as thermal energy (in the form of a resistively heated substrate or radiant heating) or plasma energy (in the form of plasma excitation).

ALDは別の堆積技術である。ALDにおいて、種々の蒸気が交互に別々の順序でチャンバ内に注入される。例えば、第1の前駆体蒸気がチャンバ内に送出されて基板に吸着される。次に第2の前駆体蒸気がチャンバ内に送出され、基板に吸着されている分子と反応して所望の膜を形成する。この順序は、堆積膜が所望の厚さに達するまで多数回繰り返される。ALDプロセスの多くの変形が存在するが、ALDプロセスは全て2つの共通の特徴、逐次的に前駆体蒸気が流れて前駆体が基板表面と反応すること、及びサイクル毎の厚さが自己制限的であること、を共有する。   ALD is another deposition technique. In ALD, various vapors are alternately injected into the chamber in a separate order. For example, the first precursor vapor is delivered into the chamber and adsorbed on the substrate. A second precursor vapor is then delivered into the chamber and reacts with the molecules adsorbed on the substrate to form the desired film. This sequence is repeated many times until the deposited film reaches the desired thickness. Although there are many variations of the ALD process, all of the ALD processes have two common characteristics: the precursor vapor flows sequentially to react the precursor with the substrate surface, and the thickness per cycle is self-limiting. Share that.

CVDとALDの間の1つの基本的な違いは、反応特性である。CVDにおいては前駆体が基板表面において相互に反応(又は分解)し、一方ALDにおいては前駆体が基板表面と反応し、前駆体同士は反応しない。   One fundamental difference between CVD and ALD is the reaction characteristics. In CVD, the precursors react (or decompose) with each other on the substrate surface, while in ALD, the precursors react with the substrate surface and the precursors do not react with each other.

CVDとALDの間のもう1つの基本的な違いは、時間に対する堆積厚を定める自己制限特性である。CVDは、反応が前駆体間で表面において起り、従って前駆体が存在する限り反応が起り続け、堆積時間に対して堆積厚が増加するので、自己制限的にはなり得ない。対照的に、ALDプロセスのサイクル毎の最大厚は、基板表面が第1の前駆体で飽和する自己制限特性のために、1単分子層となる。第1の前駆体は基板上に吸着できる、又は第1の前駆体は基板において何らかの反応を起すことができるが、第1の前駆体はまた基板表面を飽和し、表面は第1の前駆体リガンドで終端される。2003年2月4日に出願され、2004年8月5日にUS2004−0151845として刊行された、「ナノ層堆積プロセス」と題する同時係属中の米国特許出願第10/360,135号が開示されており、引用により本明細書に組み入れられる。   Another fundamental difference between CVD and ALD is the self-limiting characteristic that defines the deposition thickness over time. CVD cannot be self-limiting because the reaction occurs at the surface between the precursors and thus continues to occur as long as the precursor is present and the deposition thickness increases with deposition time. In contrast, the maximum thickness per cycle of the ALD process is one monolayer due to the self-limiting property that the substrate surface saturates with the first precursor. The first precursor can be adsorbed on the substrate, or the first precursor can cause some reaction in the substrate, but the first precursor also saturates the substrate surface, and the surface is the first precursor. Terminated with a ligand. A co-pending US patent application Ser. No. 10 / 360,135 entitled “Nanolayer Deposition Process” filed Feb. 4, 2003 and published as US 2004-0151845 on Aug. 5, 2004 is disclosed. Which is incorporated herein by reference.

本発明は、基板上に薄膜を逐次的に堆積させる方法を開示するが、この方法は、
薄膜をCVD法で堆積させ、
薄膜を処理して薄膜の特性を修正する、
ステップを含む。
これら2つのステップは前駆体の流れによって完遂することができる。これら2つのステップは所望の厚さが達成されるまで繰り返すことができる。
The present invention discloses a method of sequentially depositing a thin film on a substrate, the method comprising:
A thin film is deposited by CVD,
Processing the thin film to modify the properties of the thin film,
Includes steps.
These two steps can be accomplished by precursor flow. These two steps can be repeated until the desired thickness is achieved.

本発明における堆積ステップはCVD堆積ステップであり、基板表面において前駆体が互いに反応するか又は分解するということになる。さらに、この堆積プロセスは非自己制限的な成長を可能にするので、基板温度及びプロセス時間の関数となる。上記の2つのステップの間にポンプ又はパージ・ステップを入れることが可能である。プロセスの変形において、堆積ステップは非自己制限的な任意の堆積ステップとすることができる。   The deposition step in the present invention is a CVD deposition step, which means that the precursors react with each other or decompose at the substrate surface. In addition, this deposition process allows non-self-limiting growth and is a function of substrate temperature and process time. It is possible to put a pump or purge step between the above two steps. In a process variation, the deposition step can be any non-self limiting deposition step.

処理ステップは既に堆積した膜の特性を変更する。処理ステップは、膜組成の変更、堆積膜のドーピング、又は堆積膜からの不純物の除去によって、堆積膜を処理することができる。処理ステップはまた、堆積膜上に別の層を堆積させて堆積膜の特性を変更することができる。例えば、追加の層が既存の層と反応して合成層を形成することができ、又は最小反応によりナノ積層膜を形成することができる。処理ステップはプラズマ処理、又は、ランプ加熱による迅速熱処理のような温度処理とすることができる。   The processing step changes the properties of the already deposited film. The processing step can process the deposited film by changing the film composition, doping the deposited film, or removing impurities from the deposited film. The processing step can also deposit another layer on the deposited film to alter the properties of the deposited film. For example, an additional layer can react with an existing layer to form a synthetic layer, or a nanolaminate film can be formed with minimal reaction. The treatment step can be a temperature treatment such as plasma treatment or rapid heat treatment by lamp heating.

本発明におけるステップは、熱活性化CVD,平行平板プラズマを用いるプラズマ強化CVD、誘導結合プラズマ(ICP)、マイクロ波プラズマ、遠隔プラズマ、又はランプ加熱を用いる迅速熱処理などの任意のCVD堆積ステップとすることができる。この堆積ステップを堆積ステップとするばかりでなく、処理ステップもまたCVD堆積ステップとして堆積膜の特性を変更することができる。   The steps in the present invention are any CVD deposition steps such as thermally activated CVD, plasma enhanced CVD using parallel plate plasma, inductively coupled plasma (ICP), microwave plasma, remote plasma, or rapid thermal processing using lamp heating. be able to. In addition to making this deposition step a deposition step, the processing step can also change the properties of the deposited film as a CVD deposition step.

本発明の1つの利点は、第1の堆積ステップの非自己制限的な特性であり、堆積ステップの厚さを調節してサイクル毎のより大きな厚さを達成することを可能にする。従って、本発明におけるサイクル毎の厚さは、プロセス温度及びプロセス時間の関数となる。対照的に、ALD法は、基板表面上のリガンドの飽和に基づくものであり、それ故にサイクル毎の厚さは固定され、変えることができない。   One advantage of the present invention is the non-self-limiting nature of the first deposition step, allowing the deposition step thickness to be adjusted to achieve a greater thickness per cycle. Thus, the thickness per cycle in the present invention is a function of process temperature and process time. In contrast, the ALD method is based on the saturation of the ligand on the substrate surface and hence the thickness per cycle is fixed and cannot be changed.

上記の実施例は、本発明の範囲内で具体化されるプラズマ加工技術の実際上無限の用途の内の数例を示すものであることを理解されたい。さらに、本発明は上記の特定の実施形態に関して説明されたが、この説明は限定する意味に解釈されるべきではない。例えば、作動時間率、サイクル時間及び他のパラメータ/条件は、ウェハの所望の特性を得るように変えることができる。   It should be understood that the above embodiments represent a few of the practically endless applications of plasma processing techniques embodied within the scope of the present invention. Furthermore, although the invention has been described with reference to the specific embodiments above, this description should not be construed in a limiting sense. For example, the operating time rate, cycle time, and other parameters / conditions can be varied to obtain the desired characteristics of the wafer.

当業者には、開示された実施形態の種々の変更、及び本発明の代替的実施形態が、上記の説明を参照することにより明白となるであろう。しかし、本発明は図示され説明された実施形態には限定されない。例えば、放射源はランプではなくラジオ周波数ヒータとすることができる。それゆえ、本発明の範囲は添付の特許請求の範囲により定められる。さらに、添付の特許請求の範囲は本発明の真の範囲に入るそのような変更を含むことが意図されている。   Various modifications of the disclosed embodiments, and alternative embodiments of the invention will be apparent to those skilled in the art upon reference to the above description. However, the invention is not limited to the illustrated and described embodiments. For example, the radiation source can be a radio frequency heater rather than a lamp. Therefore, the scope of the present invention is defined by the appended claims. Furthermore, the appended claims are intended to cover such modifications as fall within the true scope of the present invention.

加工チャンバを有する例示的なパルス加工システムを示す。1 illustrates an exemplary pulse processing system having a processing chamber. 図1のシステムを用いた1つの例示的な半導体製造プロセスのフローチャートを示す。2 shows a flowchart of one exemplary semiconductor manufacturing process using the system of FIG. 例示的なパルス発生器の実施形態を示す。3 illustrates an exemplary pulse generator embodiment. 例示的なパルス発生器の実施形態を示す。3 illustrates an exemplary pulse generator embodiment. 複数チャンバ型半導体加工システムを示す。1 shows a multi-chamber semiconductor processing system. 液体及び気体前駆体送出のための例示的な装置。An exemplary apparatus for liquid and gas precursor delivery. 障壁パルス・プラズマ原子層堆積を実施するための実施形態の操作条件を示す。Fig. 2 shows the operating conditions of an embodiment for performing a barrier pulsed plasma atomic layer deposition. 障壁パルス・プラズマ原子層堆積を実施するための実施形態の操作条件を示す。Fig. 2 shows the operating conditions of an embodiment for performing a barrier pulsed plasma atomic layer deposition.

Claims (40)

加工チャンバ内で膜を堆積させる方法であって、
(a)堆積厚が堆積時間と共に増加する非自己制限的な堆積プロセスにより、薄層を堆積させるように第1の前駆体を導入するステップと、
(b)前記堆積させた層を変更するための熱エネルギーを生成するフラッシュ・ランプをトリガするか、又は
前記堆積させた層を変更するためのプラズマ・エネルギーを生成するプラズマ・ソースをトリガするか、又は
前記堆積させた層を変更するための、熱エネルギーを生成するフラッシュ・ランプをトリガし、プラズマ・エネルギーを生成するプラズマ・ソースをトリガする、
ステップと、
を含むことを特徴とする方法。
A method of depositing a film in a processing chamber comprising:
(A) introducing a first precursor to deposit a thin layer by a non-self-limiting deposition process in which the deposition thickness increases with deposition time;
(B) whether to trigger a flash lamp that generates thermal energy to change the deposited layer, or to trigger a plasma source to generate plasma energy to change the deposited layer Trigger a flash lamp that generates thermal energy and trigger a plasma source that generates plasma energy to change the deposited layer;
Steps,
A method comprising the steps of:
前記ステップ(a)の後に、
(a1)前記第1の前駆体をパージする
ステップ(a1)をさらに含むことを特徴とする、請求項1に記載の方法。
After step (a)
The method of claim 1, further comprising (a1) purging the first precursor (a1).
前記ステップ(b)は、第2の前駆体の導入をさらに含むことを特徴とする、請求項1に記載の方法。   The method of claim 1, wherein step (b) further comprises introducing a second precursor. 前記ステップ(b)の後に、
(b1)前記第2の前駆体をパージする
ステップ(b1)をさらに含むことを特徴とする、請求項3に記載の方法。
After step (b)
4. The method of claim 3, further comprising (b1) purging the second precursor (b1).
所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項1に記載の方法。   The method of claim 1, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項2に記載の方法。   The method of claim 2, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 所望の膜厚が達成されるまで、複数の前記ステップ(a)乃至(b1)をさらに含むことを特徴とする、請求項4に記載の方法。   The method according to claim 4, further comprising a plurality of the steps (a) to (b1) until a desired film thickness is achieved. 前記ステップ(a)は堆積プロセスにおいてプラズマ・エネルギーを用いるステップを含むことを特徴とする、請求項1に記載の方法。   The method of claim 1, wherein step (a) includes using plasma energy in a deposition process. 前記ステップ(a)における前記第1の複数の前駆体はCVD前駆体を含むことを特徴とする、請求項1に記載の方法。   The method of claim 1, wherein the first plurality of precursors in step (a) comprises a CVD precursor. 前記ステップ(b)における前記第2の前駆体は、窒素、酸素、水素、アンモニア、NF3、シラン、オゾン、アルゴンから成る群から選択されるプロセス気体を含むことを特徴とする、請求項3に記載の方法。 Said second precursor in the step (b), and wherein the nitrogen, oxygen, hydrogen, ammonia, NF 3, silane, ozone, to include process gases selected from the group consisting of argon, claim 3 The method described in 1. 前記ステップ(b)における前記第2の前駆体はCVD前駆体を含むことを特徴とする、請求項3に記載の方法。   The method of claim 3, wherein the second precursor in step (b) comprises a CVD precursor. 加工チャンバ内で膜を堆積させる方法であって、
(a)堆積厚が堆積時間と共に増加する非自己制限的な堆積プロセスにより、薄層を堆積させるように第1の前駆体を導入し、
(b)前記堆積させた膜を変更するための熱エネルギーを生成するフラッシュ・ランプをトリガする、
ステップを含むことを特徴とする方法。
A method of depositing a film in a processing chamber comprising:
(A) introducing a first precursor to deposit a thin layer by a non-self-limiting deposition process in which the deposition thickness increases with deposition time;
(B) triggering a flash lamp that generates thermal energy to alter the deposited film;
A method comprising steps.
前記ステップ(a)の後に、
(a1)第1の前駆体を導入し、パージする
ステップ(a1)をさらに含むことを特徴とする、請求項12に記載の方法。
After step (a)
The method of claim 12, further comprising the step of (a1) introducing and purging a first precursor (a1).
前記ステップ(b)は、第2の前駆体の導入をさらに含み、
前記ステップ(b)の後に、
(b1)前記第2の前駆体をパージする
ステップ(b1)をさらに含む、
ことを特徴とする、請求項13に記載の方法。
Said step (b) further comprises introducing a second precursor;
After step (b)
(B1) further comprising the step (b1) of purging the second precursor;
The method according to claim 13, wherein:
所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項12に記載の方法。   13. The method of claim 12, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 加工チャンバ内で膜を堆積させる方法であって、
(a)堆積厚が堆積時間と共に増加する非自己制限的な堆積プロセスにより、薄層を堆積させるように第1の前駆体を導入し、
(b)前記堆積させた膜を変更するためのプラズマ・エネルギーを生成するプラズマ・ソースをトリガする、
ステップを含むことを特徴とする方法。
A method of depositing a film in a processing chamber comprising:
(A) introducing a first precursor to deposit a thin layer by a non-self-limiting deposition process in which the deposition thickness increases with deposition time;
(B) triggering a plasma source that generates plasma energy to alter the deposited film;
A method comprising steps.
前記ステップ(a)の後に、
(a1)第1の前駆体を導入し、パージする
ステップ(a1)をさらに含むことを特徴とする、請求項16に記載の方法。
After step (a)
The method of claim 16, further comprising the step of (a1) introducing and purging a first precursor (a1).
前記ステップ(b)は、第2の前駆体の導入をさらに含み、
前記ステップ(b)の後に、
(b1)前記第2の前駆体をパージする
ステップ(b1)をさらに含む、
ことを特徴とする、請求項17に記載の方法。
Said step (b) further comprises introducing a second precursor;
After step (b)
(B1) further comprising the step (b1) of purging the second precursor;
The method according to claim 17, wherein:
所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項16に記載の方法。   The method of claim 16, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 前記ステップ(a)は前記堆積プロセスにおいてプラズマ・エネルギーを用いるステップを含むことを特徴とする、請求項16に記載の方法。   The method of claim 16, wherein step (a) includes using plasma energy in the deposition process. 加工チャンバ内で膜を堆積させる方法であって、
(a)基板表面上の前駆体間の反応又は前駆体の分解により薄膜が生成される堆積プロセスにより、薄層を堆積させるように第1の前駆体を導入するステップと、
(b)前記堆積させた層を変更するための熱エネルギーを生成するフラッシュ・ランプをトリガするか、又は
前記堆積させた層を変更するためのプラズマ・エネルギーを生成するプラズマ・ソースをトリガするか、又は
前記堆積させた層を変更するための、熱エネルギーを生成するフラッシュ・ランプをトリガし、プラズマ・エネルギーを生成するプラズマ・ソースをトリガする、
ステップと、
を含むことを特徴とする方法。
A method of depositing a film in a processing chamber comprising:
(A) introducing a first precursor to deposit a thin layer by a deposition process in which a thin film is produced by reaction between precursors on the substrate surface or decomposition of the precursor;
(B) whether to trigger a flash lamp that generates thermal energy to change the deposited layer, or to trigger a plasma source to generate plasma energy to change the deposited layer Trigger a flash lamp that generates thermal energy and trigger a plasma source that generates plasma energy to change the deposited layer;
Steps,
A method comprising the steps of:
前記ステップ(a)の後に、
(a1)第1の前駆体をパージする
ステップ(a1)をさらに含むことを特徴とする、請求項21に記載の方法。
After step (a)
The method of claim 21, further comprising (a1) purging the first precursor (a1).
前記ステップ(b)は、第2の前駆体の導入をさらに含むことを特徴とする、請求項21に記載の方法。   The method of claim 21, wherein step (b) further comprises introducing a second precursor. 前記ステップ(b)の後に、
(b1)前記第2の前駆体をパージする
ステップ(b1)をさらに含むことを特徴とする、請求項23に記載の方法。
After step (b)
24. The method of claim 23, further comprising (b1) purging the second precursor (b1).
所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項21に記載の方法。   The method of claim 21, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項22に記載の方法。   23. The method of claim 22, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 所望の膜厚が達成されるまで、複数の前記ステップ(a)乃至(b1)をさらに含むことを特徴とする、請求項24に記載の方法。   The method according to claim 24, further comprising a plurality of the steps (a) to (b1) until a desired film thickness is achieved. 前記ステップ(a)は前記堆積プロセスにおいてプラズマ・エネルギーを用いるステップを含むことを特徴とする、請求項21に記載の方法。   The method of claim 21, wherein step (a) includes using plasma energy in the deposition process. 前記ステップ(a)における前記第1の複数の前駆体はCVD前駆体を含むことを特徴とする、請求項21に記載の方法。   The method of claim 21, wherein the first plurality of precursors in step (a) comprises a CVD precursor. 前記ステップ(b)における前記第2の前駆体は、窒素、酸素、水素、アンモニア、NF3、シラン、オゾン、アルゴンから成る群から選択されるプロセス気体を含むことを特徴とする、請求項23に記載の方法。 Said second precursor in the step (b), and wherein the nitrogen, oxygen, hydrogen, ammonia, NF 3, silane, ozone, to include process gases selected from the group consisting of argon, claim 23 The method described in 1. 前記ステップ(b)における前記第2の前駆体はCVD前駆体を含むことを特徴とする、請求項23に記載の方法。   24. The method of claim 23, wherein the second precursor in step (b) comprises a CVD precursor. 加工チャンバ内で膜を堆積させる方法であって、
(a)基板表面上の前駆体間の反応又は前駆体の分解により薄膜が生成される堆積プロセスにより、薄層を堆積させるように第1の前駆体を導入し、
(b)前記堆積させた膜を変更するための熱エネルギーを生成するフラッシュ・ランプをトリガする、
ステップを含むことを特徴とする方法。
A method of depositing a film in a processing chamber comprising:
(A) introducing a first precursor to deposit a thin layer by a deposition process in which a thin film is produced by reaction between precursors on the substrate surface or decomposition of the precursor;
(B) triggering a flash lamp that generates thermal energy to alter the deposited film;
A method comprising steps.
前記ステップ(a)の後に、
(a1)第1の前駆体を導入し、パージする
ステップ(a1)をさらに含むことを特徴とする、請求項32に記載の方法。
After step (a)
33. The method of claim 32, further comprising the step of (a1) introducing and purging a first precursor.
前記ステップ(b)は、第2の前駆体の導入をさらに含み、
前記ステップ(b)の後に、
(b1)前記第2の前駆体をパージする
ステップ(b1)をさらに含む、
ことを特徴とする、請求項33に記載の方法。
Said step (b) further comprises introducing a second precursor;
After step (b)
(B1) further comprising the step (b1) of purging the second precursor;
34. The method of claim 33, wherein:
所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項32に記載の方法。   35. The method of claim 32, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 加工チャンバ内で膜を堆積させる方法であって、
(a)基板表面上の前駆体間の反応又は前駆体の分解により薄膜が生成される堆積プロセスにより、薄層を堆積させるように第1の前駆体を導入し、
(b)前記堆積させた膜を変更するための熱エネルギーを生成するプラズマ・ソースをトリガする、
ステップを含むことを特徴とする方法。
A method of depositing a film in a processing chamber comprising:
(A) introducing a first precursor to deposit a thin layer by a deposition process in which a thin film is produced by reaction between precursors on the substrate surface or decomposition of the precursor;
(B) triggering a plasma source that generates thermal energy to alter the deposited film;
A method comprising steps.
前記ステップ(a)の後に、
(a1)第1の前駆体を導入し、パージする
ステップ(a1)をさらに含むことを特徴とする、請求項36に記載の方法。
After step (a)
37. The method of claim 36, further comprising (a1) introducing and purging a first precursor (a1).
前記ステップ(b)は、第2の前駆体の導入をさらに含み、
前記ステップ(b)の後に、
(b1)前記第2の前駆体をパージする
ステップ(b1)をさらに含む、
ことを特徴とする、請求項37に記載の方法。
Said step (b) further comprises introducing a second precursor;
After step (b)
(B1) further comprising the step (b1) of purging the second precursor;
38. The method of claim 37, wherein:
所望の膜厚が達成されるまで、複数の前記ステップ(a)及び(b)をさらに含むことを特徴とする、請求項36に記載の方法。   The method of claim 36, further comprising a plurality of the steps (a) and (b) until a desired film thickness is achieved. 前記ステップ(a)は前記堆積プロセスにおいてプラズマ・エネルギーを用いるステップを含むことを特徴とする、請求項36に記載の方法。   38. The method of claim 36, wherein step (a) includes using plasma energy in the deposition process.
JP2009513455A 2006-05-31 2007-05-31 System and method for semiconductor processing Pending JP2009539270A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/443,621 US7867905B2 (en) 2001-04-21 2006-05-31 System and method for semiconductor processing
US11/443,620 US7442615B2 (en) 2001-04-21 2006-05-31 Semiconductor processing system and method
PCT/US2007/070082 WO2007140455A2 (en) 2006-05-31 2007-05-31 System and method for semiconductor processing

Publications (2)

Publication Number Publication Date
JP2009539270A true JP2009539270A (en) 2009-11-12
JP2009539270A5 JP2009539270A5 (en) 2010-07-22

Family

ID=38779474

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513455A Pending JP2009539270A (en) 2006-05-31 2007-05-31 System and method for semiconductor processing

Country Status (4)

Country Link
EP (1) EP2032744A2 (en)
JP (1) JP2009539270A (en)
KR (1) KR20090017661A (en)
WO (1) WO2007140455A2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH029789A (en) * 1988-06-28 1990-01-12 Nec Corp Method for growing silicon
JPH04162427A (en) * 1990-10-24 1992-06-05 Fujitsu Ltd Device and method for vapor phase film formation
JPH04291916A (en) * 1991-03-20 1992-10-16 Kokusai Electric Co Ltd Method and apparatus for vapor growth
JPH0574713A (en) * 1991-09-17 1993-03-26 Nippondenso Co Ltd Manufacture of amorphous semiconductor thin film
JPH06291060A (en) * 1993-03-30 1994-10-18 Nissin Electric Co Ltd Thin-film formation method
JPH08186173A (en) * 1994-12-28 1996-07-16 Nec Corp Manufacture of semiconductor device
WO2004070074A2 (en) * 2003-02-04 2004-08-19 Tegal Corporation Nanolayer deposition process
US20050037597A1 (en) * 2001-04-21 2005-02-17 Tue Nguyen Semiconductor processing system and method
JP2006049809A (en) * 2004-06-28 2006-02-16 Tokyo Electron Ltd Method and apparatus for film formation, and storage medium

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6326248B1 (en) * 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
JP2004311955A (en) * 2003-03-25 2004-11-04 Sony Corp Method for manufacturing very thin electro-optical display device

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH029789A (en) * 1988-06-28 1990-01-12 Nec Corp Method for growing silicon
JPH04162427A (en) * 1990-10-24 1992-06-05 Fujitsu Ltd Device and method for vapor phase film formation
JPH04291916A (en) * 1991-03-20 1992-10-16 Kokusai Electric Co Ltd Method and apparatus for vapor growth
JPH0574713A (en) * 1991-09-17 1993-03-26 Nippondenso Co Ltd Manufacture of amorphous semiconductor thin film
JPH06291060A (en) * 1993-03-30 1994-10-18 Nissin Electric Co Ltd Thin-film formation method
JPH08186173A (en) * 1994-12-28 1996-07-16 Nec Corp Manufacture of semiconductor device
US20050037597A1 (en) * 2001-04-21 2005-02-17 Tue Nguyen Semiconductor processing system and method
WO2004070074A2 (en) * 2003-02-04 2004-08-19 Tegal Corporation Nanolayer deposition process
JP2006049809A (en) * 2004-06-28 2006-02-16 Tokyo Electron Ltd Method and apparatus for film formation, and storage medium

Also Published As

Publication number Publication date
WO2007140455A3 (en) 2008-02-14
EP2032744A2 (en) 2009-03-11
WO2007140455A2 (en) 2007-12-06
KR20090017661A (en) 2009-02-18

Similar Documents

Publication Publication Date Title
US6610169B2 (en) Semiconductor processing system and method
US7442615B2 (en) Semiconductor processing system and method
US20020123237A1 (en) Plasma pulse semiconductor processing system and method
US6756318B2 (en) Nanolayer thick film processing system and method
US20120202353A1 (en) Nanolayer deposition using plasma treatment
US9245744B2 (en) Combinatorial plasma enhanced deposition and etch techniques
US6689220B1 (en) Plasma enhanced pulsed layer deposition
US7867905B2 (en) System and method for semiconductor processing
TW578212B (en) Atomic layer deposition reactor
US7582544B2 (en) ALD film forming method
US9765430B2 (en) Plasma processing apparatus and film formation method
US20040026371A1 (en) Two-compartment chamber for sequential processing method
US20010050144A1 (en) Plasma processing apparatus
KR20150099451A (en) Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
KR20110010631A (en) Flowable dielectric equipment and processes
CN109524289B (en) Batch type plasma substrate processing apparatus
US11087959B2 (en) Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
JP2000068227A (en) Method for processing surface and device thereof
KR20200101466A (en) Selective processing using etch residue-based inhibitors
JP2009206312A (en) Film deposition method and film deposition device
US6858085B1 (en) Two-compartment chamber for sequential processing
KR20210087545A (en) Interval Conditioning Purging Improves Throughput
JP2009539270A (en) System and method for semiconductor processing
WO2021024823A1 (en) Plasma processing device
KR20230117754A (en) Plasma-enhanced deposition of silicon-containing films at low temperatures

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100531

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100531

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101007

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120809

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121109

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121116

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121206

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130107

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130307

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130606

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130613

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130708

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130716

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130807

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130924