JP2009529245A - Method and apparatus for heat treatment structure formed on a substrate - Google Patents

Method and apparatus for heat treatment structure formed on a substrate Download PDF

Info

Publication number
JP2009529245A
JP2009529245A JP2008558449A JP2008558449A JP2009529245A JP 2009529245 A JP2009529245 A JP 2009529245A JP 2008558449 A JP2008558449 A JP 2008558449A JP 2008558449 A JP2008558449 A JP 2008558449A JP 2009529245 A JP2009529245 A JP 2009529245A
Authority
JP
Japan
Prior art keywords
substrate
region
regions
energy
electromagnetic energy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008558449A
Other languages
Japanese (ja)
Other versions
JP5558006B2 (en
JP2009529245A5 (en
Inventor
アブヒラッシュ マユール,
マーク ヤム,
アジット バラックリシュナ,
ポール キャリー,
ディーン ジェニングス,
スティーブン モファット,
ウィリアム シャファー,
アレキサンダー, エヌ. ラーナー,
ティモシー, エヌ. トーマス,
アーロン, ミュアー ハンター,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/459,847 external-priority patent/US7569463B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009529245A publication Critical patent/JP2009529245A/en
Publication of JP2009529245A5 publication Critical patent/JP2009529245A5/ja
Application granted granted Critical
Publication of JP5558006B2 publication Critical patent/JP5558006B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Abstract

本発明は概して、基板の所望の領域にアニーリングプロセスを実行するために使用される1つ以上の装置および種々の方法について説明している。一実施形態では、多量のエネルギーが該基板の表面に送出されて、該基板のある所望の領域を優先的に溶解して、事前の処理ステップから作成された不要なダメージを除去して、該基板の種々の領域においてドーパントをより均等に分布させて、および/または該基板の種々の領域を活性化させる。該優先的溶解プロセスは、該基板の該溶解領域における該ドーパント原子の拡散レートおよび溶解度の増大ゆえに、該溶解領域における該ドーパントのより均一な分布を可能にする。従って溶解領域の作成は、1)ドーパント原子をより均一に再分布させること、2)事前処理ステップで作成された欠陥が除去されること、および3)極めて急激なドーパント濃度を有する領域が形成されることを可能にする。
【選択図】 図1
The present invention generally describes one or more apparatus and various methods used to perform an annealing process on a desired area of a substrate. In one embodiment, a large amount of energy is delivered to the surface of the substrate to preferentially dissolve certain desired areas of the substrate to remove unwanted damage created from previous processing steps, The dopant is more evenly distributed in the various regions of the substrate and / or the various regions of the substrate are activated. The preferential dissolution process allows a more uniform distribution of the dopant in the dissolution region because of the increased diffusion rate and solubility of the dopant atoms in the dissolution region of the substrate. Thus, the creation of the dissolved region is: 1) redistributing the dopant atoms more uniformly, 2) removing the defects created in the pretreatment step, and 3) forming a region with a very abrupt dopant concentration. Make it possible.
[Selection] Figure 1

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明の実施形態は概して半導体デバイスの製造方法に関する。より具体的には、本発明は基板の熱処理方法を目的としている。
Field of Invention
[0001] Embodiments of the present invention generally relate to a method of manufacturing a semiconductor device. More specifically, the present invention is directed to a substrate heat treatment method.

関連技術の説明
[0002]集積回路(IC)市場は、メモリ容量の増加、スイッチング速度の高速化および特徴部サイズの小型化を絶えず要求している。これらの要求に対処するために産業界がとった主要ステップの1つは、大型炉でのシリコンウェーハのバッチ処理から小型チャンバでの単一ウェーハの処理に変更したことである。
Explanation of related technology
[0002] The integrated circuit (IC) market is constantly demanding increased memory capacity, faster switching speeds and smaller feature sizes. One of the major steps the industry has taken to address these demands is a change from batch processing of silicon wafers in a large furnace to processing single wafers in a small chamber.

[0003]このような単一ウェーハ処理中に、ウェーハは通常高温に加熱されるため、種々の化学的および物理的反応がウェーハに画成されている複数のICデバイスで生じることがある。興味深いのは、ICデバイスの好ましい電気性能は注入領域のアニーリングを必要としている点である。アニーリングは、既にアモルファスになっているウェーハの領域からより結晶性の構造を再作成し、また基板つまりウェーハの結晶格子に原子を組み込むことによってドーパントを活性化させる。アニーリングなどの熱プロセスは、比較的多量の熱エネルギーを短期間にウェーハに提供し、その後このウェーハを急速に冷却して熱プロセスを終了させることを必要としている。現在使用されている熱プロセスの例は、急速加熱処理(RTP)およびインパルス(スパイク)アニーリングを含んでいる。このようなプロセスは広く使用されているが、現在の技術は理想的ではない。ウェーハの温度をかなりゆっくりと傾斜させて、ウェーハをかなり長い間高温に曝す傾向がある。これらの問題は、ウェーハサイズの増加、スイッチング速度の高速化および/または特徴部サイズの縮小に関してより厳しいものとなる。   [0003] During such single wafer processing, the wafer is typically heated to a high temperature, and various chemical and physical reactions can occur in multiple IC devices defined on the wafer. Interestingly, the favorable electrical performance of IC devices requires the annealing of the implant region. Annealing re-creates a more crystalline structure from regions of the wafer that are already amorphous, and activates the dopant by incorporating atoms into the crystal lattice of the substrate or wafer. Thermal processes such as annealing require a relatively large amount of thermal energy to be provided to the wafer in a short period of time, after which the wafer is rapidly cooled to terminate the thermal process. Examples of thermal processes currently in use include rapid thermal processing (RTP) and impulse (spike) annealing. Although such processes are widely used, current technology is not ideal. There is a tendency to ramp the wafer temperature fairly slowly and expose the wafer to high temperatures for a fairly long time. These problems become more severe with respect to increasing wafer size, increasing switching speed and / or reducing feature size.

[0004]一般的に、これらの熱プロセスは所定の熱レシピに従ってコントロールされた条件で基板を加熱する。これらの熱レシピは基本的に、半導体基板が温度変化レート、つまり温度の上昇および低下レートで加熱されるべき温度と、熱処理システムが具体的な温度にとどまる時間とからなる。例えば、熱レシピは、最大60秒以上に及ぶ各特定の温度で複数回処理するために、基板を室温から1200℃以上の特定の温度に加熱する必要がある場合もある。   [0004] Generally, these thermal processes heat the substrate under controlled conditions according to a predetermined thermal recipe. These thermal recipes basically consist of the temperature at which the semiconductor substrate is to be heated at a temperature change rate, i.e., an increase and decrease rate, and the time during which the heat treatment system remains at a specific temperature. For example, a thermal recipe may need to heat a substrate from room temperature to a specific temperature of 1200 ° C. or higher in order to process multiple times at each specific temperature that extends up to 60 seconds or more.

[0005]さらに、半導体基板の異なる領域間の材料の最小内部拡散などの一定の目的を満たすためには、各半導体基板が高温にさらされる時間は制限されなければならない。これを達成するためには、上昇および低下両方の温度傾斜レートは好ましくは高い。言い換えると、可能な限り短期間で基板の温度を低温から高温へ、またはこの逆に調整できることが望ましい。   [0005] Furthermore, in order to meet certain objectives such as minimal internal diffusion of material between different regions of the semiconductor substrate, the time that each semiconductor substrate is exposed to high temperatures must be limited. To achieve this, both the rising and falling temperature ramp rates are preferably high. In other words, it is desirable to be able to adjust the temperature of the substrate from a low temperature to a high temperature or vice versa in the shortest possible time.

[0006]高い温度傾斜レートの要件は急速加熱処理(RTP)の開発につながり、この場合通常の温度上昇レートは、従来の炉の5〜15℃/分と比較して200〜400℃/秒に及ぶ。通常の低下レートは80〜150℃/秒の範囲である。RTPの欠点は、ICデバイスがシリコンウェーハの上部数ミクロンにのみ常駐していてもウェーハ全体を加熱してしまうことである。これは、ウェーハを加熱および冷却できる速度を制限することになる。さらに、ウェーハ全体が高温になると、熱は周囲の空間や構造に消散する恐れがある。結果として、今日の最新RTPシステムは、400℃/秒の上昇レートおよび150℃/秒低下レートを達成するのに難航している。   [0006] The requirement of a high temperature ramp rate leads to the development of rapid thermal processing (RTP), where the normal temperature rise rate is 200-400 ° C / second compared to 5-15 ° C / min for conventional furnaces It extends to. The normal rate of decline is in the range of 80-150 ° C./second. The disadvantage of RTP is that the entire wafer is heated even if the IC device resides only in the top few microns of the silicon wafer. This will limit the rate at which the wafer can be heated and cooled. Furthermore, when the entire wafer becomes hot, the heat may be dissipated into the surrounding spaces and structures. As a result, today's modern RTP systems have struggled to achieve an increase rate of 400 ° C./sec and a decrease rate of 150 ° C./sec.

[0007]従来のRTPタイププロセスで生じた問題の一部を解決するために、種々の走査レーザーアニーリング技術が、基板の表面をアニーリングするために使用されてきた。一般的に、これらの技術は一定のエネルギーフラックスを基板の表面上の小領域に送出するのに対して、基板は、小領域に送出されたエネルギーに対して変換つまり走査される。厳格な均一性要件と、基板表面全体の走査領域の重複を最小化することの複雑さゆえに、これらのタイプのプロセスは基板の表面に形成された熱処理接触レベルデバイスに効果的ではない。   [0007] Various scanning laser annealing techniques have been used to anneal the surface of a substrate in order to solve some of the problems that have arisen with conventional RTP type processes. In general, these techniques deliver a constant energy flux to a small area on the surface of the substrate, whereas the substrate is converted or scanned for energy delivered to the small area. Because of the strict uniformity requirements and the complexity of minimizing overlap of the scan area across the substrate surface, these types of processes are not effective for thermally treated contact level devices formed on the surface of the substrate.

[0008]上記に鑑みて、高い上昇および低下レートで半導体基板をアニーリングする方法が必要である。これは、性能上昇につながるより小型のデバイスの製作に対してより大きなコントロールを提供する。   [0008] In view of the above, there is a need for a method of annealing a semiconductor substrate at a high rise and fall rate. This provides greater control over the fabrication of smaller devices that lead to increased performance.

発明の概要Summary of the Invention

[0009]本発明は概して、1つ以上の領域内に第2の材料を配置することによって第1の材料から形成された基板の該1つ以上の領域を修正するステップであって、該第2の材料で基板の1つ以上の領域を修正するステップが該1つ以上の領域に含有されている該第1の材料の融点を低下させるように適合されているステップと、該基板の該1つ以上の領域内に第3の材料を配置するステップと、該1つ以上の領域と熱連通している基板の表面に多量の電磁エネルギーを送出するステップであって、該多量の電磁エネルギーが該1つ以上の領域内の該第1の材料を溶解させるように適合されているステップと、を備える基板を熱処理する方法を提供する。   [0009] The present invention generally modifies the one or more regions of a substrate formed from the first material by placing a second material in the one or more regions, the method comprising: Modifying one or more regions of the substrate with two materials is adapted to reduce the melting point of the first material contained in the one or more regions; Disposing a third material in one or more regions and delivering a large amount of electromagnetic energy to a surface of a substrate in thermal communication with the one or more regions, wherein the large amount of electromagnetic energy Adapted to melt the first material in the one or more regions, and a method of heat treating the substrate.

[0010]本発明の実施形態はさらに、修正されている1つ以上の第1の領域を有する基板を提供することによって、該第1の領域の各々に含有されている該材料の該融点が該基板の第2の領域に含有されている該材料より低い温度で溶解するステップであって、該第2の領域および該第1の領域の各々が概して該基板の表面に隣接しているステップと、該基板の該表面にコーティングを堆積するステップであって、該コーティングが該基板の該表面とは異なる吸収および反射係数を有するステップと、該第1の領域の各々または該第2の領域に概して隣接している該基板の該表面から該コーティングの一部を除去するステップと、該1つ以上の第1の領域および該第2の領域を含有する該基板の該表面上のエリアに多量の電磁エネルギーを送出するステップであって、該多量の電磁エネルギーが該1つ以上の第1の領域内の該材料を優先的に溶解するステップと、を備える基板を熱処理する方法を提供する。   [0010] Embodiments of the present invention further provide a substrate having one or more first regions that are modified so that the melting point of the material contained in each of the first regions is Melting at a lower temperature than the material contained in the second region of the substrate, wherein each of the second region and the first region is generally adjacent to the surface of the substrate. Depositing a coating on the surface of the substrate, the coating having a different absorption and reflection coefficient than the surface of the substrate, each of the first regions or the second region Removing a portion of the coating from the surface of the substrate that is generally adjacent to the substrate, and an area on the surface of the substrate containing the one or more first regions and the second region Send a large amount of electromagnetic energy A step, a method of multi amount of electromagnetic energy to heat treatment a substrate comprising the steps of preferentially dissolves the material in the first region of one or more said.

[0011]本発明の実施形態はさらに、基板材料から形成された基板を提供するステップと、該基板の表面に第1の材料から形成される埋め込み領域を形成するステップであって、該第1の材料が第1の熱伝導率を有するステップと、該埋め込み領域に第2の材料から形成される第2の層を堆積するステップであって、該第2の材料が第2の熱伝導率を有するステップと、該基板の該表面に半導体デバイスを形成するステップであって、該形成された半導体デバイスの一部が該第2の層の一部を含有するステップと、該第2の層と熱連通している基板の表面に多量の電磁エネルギーを送出するステップであって、該多量の電磁エネルギーが、該埋め込み領域と熱連通している該第2の材料の一部を融点に届かせるように適合されているステップと、を備える半導体基板を熱処理する方法を提供する。   [0011] Embodiments of the present invention further comprise providing a substrate formed from a substrate material and forming a buried region formed from a first material on a surface of the substrate, the first The material having a first thermal conductivity and depositing a second layer formed from the second material in the buried region, the second material having a second thermal conductivity. Forming a semiconductor device on the surface of the substrate, wherein a portion of the formed semiconductor device contains a portion of the second layer, and the second layer Delivering a large amount of electromagnetic energy to a surface of the substrate in thermal communication with the substrate, wherein the large amount of electromagnetic energy reaches a melting point of a portion of the second material in thermal communication with the embedded region. Steps that are adapted to To provide a method for heat treating a semiconductor substrate comprising.

[0012]本発明の実施形態はさらに、基板サポート上に基板を位置決めするステップであって、該基板が、第1の領域および第2の領域を含有する該基板の表面に形成された複数の特徴部を有するステップと、該第1および第2の領域にコーティングを堆積するステップであって、該コーティングが形成される該材料が所望の熱容量を有するステップと、該第1の領域上の該コーティングの厚さが所望の厚さを有するように該コーティングの一部を除去するステップであって、該コーティングの一部を除去した後の該基板表面全体の平均熱容量は概して均一であるステップと、該第1の領域および該第2の領域を含有するエリアに多量の電磁エネルギーを送出するステップであって、該多量の電磁エネルギーは該第1の領域内の該材料を溶解させるステップと、を備える基板を熱処理する方法を提供する。   [0012] Embodiments of the present invention further comprise positioning a substrate on a substrate support, wherein the substrate is formed on a plurality of surfaces formed on a surface of the substrate containing a first region and a second region. Having a feature; depositing a coating on the first and second regions, wherein the material from which the coating is formed has a desired heat capacity; and on the first region Removing a portion of the coating such that the thickness of the coating has a desired thickness, wherein the average heat capacity across the substrate surface after removal of the portion of the coating is generally uniform; Delivering a large amount of electromagnetic energy to an area containing the first region and the second region, the large amount of electromagnetic energy dissolving the material in the first region. A method, a method of heat-treating the substrate with a.

[0013]本発明の実施形態はさらに、第1の特徴部および第2の特徴部を該基板の表面に形成している基板を位置決めするステップであって、該第2の特徴部が第1の領域および第2の領域を含有するステップと、該基板を基板サポートに位置決めするステップと、該第1および第2の特徴部にコーティングを堆積するステップと、該コーティングが該第2の領域に配置され、かつ該第1の特徴部の表面が曝されるように該コーティングの一部を除去するステップと、該第1の特徴部および該第2の特徴部を含有するエリアに多量の電磁エネルギーを送出するステップであって、該多量の電磁エネルギーが該第2の特徴部の該第1の領域内の該材料を溶解させるステップと、を備える基板を熱処理する方法を提供する。   [0013] Embodiments of the present invention further comprise positioning a substrate forming a first feature and a second feature on a surface of the substrate, wherein the second feature is a first feature. And a second region, positioning the substrate on a substrate support, depositing a coating on the first and second features, and applying the coating to the second region Removing a portion of the coating so as to be disposed and exposing a surface of the first feature; and a large amount of electromagnetic in the area containing the first feature and the second feature Delivering energy, wherein the amount of electromagnetic energy dissolves the material in the first region of the second feature.

[0014]本発明の実施形態はさらに、第1の量の電磁エネルギーを1つ以上の所望の波長で該基板の後部表面に送出して、該基板の前部表面に概して隣接している1つ以上の領域の材料を溶解させるステップであって、該後部表面および該前部表面は該基板の両側にあり、かつ該基板の該前部表面はこの上に形成されている1つ以上の半導体デバイスを含有するステップを備える基板を熱処理する方法を提供する。   [0014] Embodiments of the present invention further deliver a first amount of electromagnetic energy at one or more desired wavelengths to the back surface of the substrate 1 generally adjacent to the front surface of the substrate. Dissolving one or more regions of material, wherein the back surface and the front surface are on opposite sides of the substrate, and the front surface of the substrate is formed on the one or more regions A method of heat treating a substrate comprising the step of containing a semiconductor device is provided.

[0015]本発明の実施形態はさらに、第1の量の電磁エネルギーを基板の表面上の第1の領域に送出するステップであって、該第1の量の電磁エネルギーが該第1の領域内の該基板材料を溶解させ、かつ該結晶基板材料をアモルファスにするステップと、該アモルファスの第1の領域内に第2の材料を注入するステップと、第2の量の電磁エネルギーを該第1の領域に送出するステップであって、該第2の量の電磁エネルギーが該第1の領域内の該材料を溶解させるステップと、を備える基板を熱処理する方法を提供する。   [0015] Embodiments of the present invention further comprise delivering a first amount of electromagnetic energy to a first region on the surface of the substrate, wherein the first amount of electromagnetic energy is in the first region. Dissolving the substrate material in the substrate and making the crystalline substrate material amorphous, injecting a second material into the amorphous first region, and applying a second amount of electromagnetic energy to the first region. Delivering to a region, wherein the second amount of electromagnetic energy dissolves the material in the first region.

[0016]本発明の実施形態はさらに、半導体基板を熱処理する装置であって、基板サポート表面を有する基板サポートと、該基板サポートに配置されている基板を加熱するように適合されている加熱要素と、該基板サポート表面に配置されている該基板の表面上の領域に多量の放射を送出するように適合されている強力光源と、を備える装置を提供する。   [0016] Embodiments of the present invention further include an apparatus for heat treating a semiconductor substrate, the substrate support having a substrate support surface, and a heating element adapted to heat the substrate disposed on the substrate support. And an intense light source adapted to deliver a large amount of radiation to a region on the surface of the substrate disposed on the substrate support surface.

[0017]本発明の実施形態はさらに、半導体基板を熱処理する装置であって、基板サポート表面に配置されている該基板の表面上の領域に第1の量のエネルギーを送出するように適合されている第1の強力光源と、該基板サポート表面に配置されている該基板の該表面上の該領域に第2の量の電磁エネルギーを送出するように適合されている第2の強力光源と、該基板の該表面上の該領域に送出された該第1の量のエネルギーを監視し、かつ該第1の量および第2の量のエネルギー送出間の時間と、該第2の量のエネルギーの大きさとをコントロールして該領域の所望の温度を達成するように適合されているコントローラーと、を備える装置を提供する。   [0017] Embodiments of the present invention are further an apparatus for heat treating a semiconductor substrate, adapted to deliver a first amount of energy to a region on the surface of the substrate disposed on a substrate support surface. A first intense light source that is disposed on the substrate support surface and a second intense light source adapted to deliver a second amount of electromagnetic energy to the region on the surface of the substrate. Monitoring the first amount of energy delivered to the region on the surface of the substrate, and the time between the first and second amounts of energy delivery, and the second amount And a controller adapted to control the amount of energy to achieve a desired temperature in the region.

[0018]本発明の実施形態はさらに、半導体基板を熱処理する装置であって、基板サポート表面および該基板サポートに形成されたアパーチャーを有する基板サポートと、該基板サポートに形成されている該アパーチャー、および該基板の前部表面に対向する該基板の後部表面を介して該基板の第1のエリアに多量の放射を送出すように適合されている第1の光源とを備えており、該基板の該前部表面がこの上に形成されている1つ以上の半導体デバイスを含有しており、また該多量の放射が該第1のエリアに含有されている領域を溶解するように適合されている装置を提供する。   [0018] Embodiments of the present invention further include an apparatus for heat treating a semiconductor substrate, the substrate support having a substrate support surface and an aperture formed in the substrate support, and the aperture formed in the substrate support; And a first light source adapted to deliver a large amount of radiation to a first area of the substrate through a rear surface of the substrate opposite the front surface of the substrate, the substrate The front surface of the substrate includes one or more semiconductor devices formed thereon and is adapted to dissolve the region in which the large amount of radiation is contained in the first area. Equipment is provided.

[0019]本発明の実施形態はさらに、基板を熱処理する方法であって、基板を基板サポートに位置決めするステップと、基板の第1の領域と熱連通している該基板の表面上の第1のエリアに複数の電磁エネルギーパルスを送出するステップとを備えており、複数の電磁エネルギーパルスを送出するステップが、該基板の該表面に第1のパルスの電磁エネルギーを送出する工程と、該基板の該表面に第2のパルスの電磁エネルギーを送出する工程と、該第1の領域に含有されている材料が溶解するように該第1のパルスの開始と該第2のパルスの開始の間の時間を調整する工程と、を備える方法を提供する。   [0019] Embodiments of the present invention further include a method of heat treating a substrate, the step of positioning the substrate on a substrate support, and a first on a surface of the substrate in thermal communication with a first region of the substrate. Delivering a plurality of electromagnetic energy pulses to an area of the substrate, the step of delivering a plurality of electromagnetic energy pulses delivering a first pulse of electromagnetic energy to the surface of the substrate; and Delivering a second pulse of electromagnetic energy to the surface of the substrate and between the start of the first pulse and the start of the second pulse so that the material contained in the first region dissolves Adjusting the time of the method.

[0020]本発明の実施形態はさらに、基板を熱処理する方法であって、基板サポート上に基板を位置決めするステップと、基板の第1の領域および第2の領域と熱連通している該基板の表面に電磁エネルギーを送出するステップとを備えており、電磁エネルギーを送出するステップが、第1の量の電磁エネルギーを第1の波長で送出して、該第2の領域ではなく該第1の領域に含有されている材料を優先的に溶解する工程と、第2の量の電磁エネルギーを第2の波長で送出して、該第2の領域ではなく該第1の領域に含有されている該材料を優先的に溶解する工程と、を備えており、第2の量の電磁エネルギーを送出する該工程と、第1の量の電磁エネルギーを送出する該工程が時間的に重複する方法を提供する。   [0020] Embodiments of the present invention further include a method of heat treating a substrate, the step of positioning the substrate on a substrate support, and the substrate in thermal communication with the first region and the second region of the substrate Delivering electromagnetic energy to the surface of the substrate, the step of delivering electromagnetic energy delivering a first amount of electromagnetic energy at a first wavelength and not the second region. Preferentially dissolving the material contained in the region, and delivering a second amount of electromagnetic energy at the second wavelength to be contained in the first region rather than the second region. Preferentially dissolving the material, wherein the step of delivering a second amount of electromagnetic energy and the step of delivering the first amount of electromagnetic energy overlap in time I will provide a.

[0021]本発明の実施形態はさらに、基板サポートに基板を位置決めするステップと、基板の第1の領域および第2の領域と熱連通している該基板の表面に電磁エネルギーを送出するステップを備えており、電磁エネルギーを送出するステップが、該第1の領域に含有されている該材料を優先的に溶解するために時間の関数として1パルスの電磁エネルギーの形状を調整する工程を備える方法を提供する。   [0021] Embodiments of the present invention further comprise positioning the substrate on a substrate support and delivering electromagnetic energy to a surface of the substrate in thermal communication with the first region and the second region of the substrate. And the step of delivering electromagnetic energy comprises adjusting the shape of one pulse of electromagnetic energy as a function of time to preferentially dissolve the material contained in the first region. I will provide a.

[0022]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約されている本発明に関するより具体的な説明は実施形態を参照してなされてもよく、これらの一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また、本発明は他の等しく効果的な実施形態を許容可能であるため、この範囲を制限するものとみなされるべきではない点に注目する。   [0022] In order that the above-cited features of the present invention may be understood in detail, a more specific description of the invention briefly summarized above may be had by reference to an embodiment thereof. The parts are illustrated in the accompanying drawings. However, the attached drawings illustrate only typical embodiments of the invention, and the invention is tolerant of other equally effective embodiments and should therefore be considered as limiting this scope. Note that this is not the case.

詳細な説明Detailed description

[0037]本発明は概して、基板上に半導体デバイスを製造するプロセスで使用されている注入アニーリングステップの性能を改良する。概して、本発明のこの方法は、十分なエネルギーを選択領域に送出してこれらを再溶解および固化させることによって基板の選択領域を優先的にアニーリングするために使用されてもよい。   [0037] The present invention generally improves the performance of the implantation annealing step used in the process of manufacturing semiconductor devices on a substrate. In general, this method of the present invention may be used to preferentially anneal a selected region of a substrate by delivering sufficient energy to the selected region to remelt and solidify them.

[0038]一般的に、本明細書で使用されている用語「基板」は、固有の導電能力を有する任意の材料、または導電能力を提供するように修正可能な材料から形成可能である。通常の基板材料は、シリコン(Si)およびゲルマニウム(Ge)などの半導体、ならびに半導体特性を呈示する他の化合物を含むが、これらに制限されない。このような半導体化合物は概して、III−VおよびII−VI群化合物を含んでいる。代表的なIII−V群半導体化合物は、ガリウムヒ素(GaAs)、ガリウムリン(GaP)および窒化ガリウム(GaN)を含んでいるが、これらに制限されない。概して、用語半導体基板は、バルク半導体基板、ならびにこの上に堆積層を配置している基板を含んでいる。このために、本発明の方法によって処理された一部の半導体基板の堆積層は、ホモエピタキシャル(例えば、シリコンオンシリコン)またはヘテロエピタキシャル(例えば、GaAsオンシリコン)成長のいずれかで形成される。例えば、本発明の方法は、ヘテロエピタキシャル方法で形成されたガリウムヒ素基板および窒化ガリウム基板と併用されてもよい。同様に、本発明の方法はまた、絶縁基板(例えば、シリコンオンインシュレーター[SOI]基板)上に形成されている比較的薄い結晶シリコン層上に、薄膜トランジスタ(TFT)などの集積デバイスを形成するために適用可能である。   [0038] In general, the term "substrate" as used herein can be formed from any material that has an inherent conductivity capability, or a material that can be modified to provide a conductivity capability. Typical substrate materials include, but are not limited to, semiconductors such as silicon (Si) and germanium (Ge), and other compounds that exhibit semiconductor properties. Such semiconductor compounds generally include III-V and II-VI group compounds. Exemplary group III-V semiconductor compounds include, but are not limited to, gallium arsenide (GaAs), gallium phosphide (GaP), and gallium nitride (GaN). In general, the term semiconductor substrate includes a bulk semiconductor substrate as well as a substrate on which a deposited layer is disposed. To this end, the deposited layer of some semiconductor substrates processed by the method of the present invention is formed by either homoepitaxial (eg, silicon on silicon) or heteroepitaxial (eg, GaAs on silicon) growth. For example, the method of the present invention may be used in combination with a gallium arsenide substrate and a gallium nitride substrate formed by a heteroepitaxial method. Similarly, the method of the present invention can also be used to form integrated devices such as thin film transistors (TFTs) on a relatively thin crystalline silicon layer that is formed on an insulating substrate (eg, a silicon on insulator [SOI] substrate). It is applicable to.

[0039]本発明の一実施形態では、多量のエネルギーが基板の表面に送出されて、基板のある所望の領域を優先的に溶解して、事前の処理ステップから作成された不要なダメージ(例えば、注入プロセスによる結晶ダメージ)を除去して、基板の種々の領域にドーパントをより均等に分布させて、および/または基板の種々の領域を活性化させる。優先的な溶解プロセスは、基板の溶解領域のドーパント原子の拡散レートおよび溶解度の増加によって、溶解領域におけるドーパントのより均一な分布を可能にする。従って溶解領域の作成は、1)ドーパント原子をより均一に再分布させること、2)事前処理ステップで作成された欠陥が除去されること、および3)極めて急激なドーパント濃度を有する領域が形成されることを可能にする。極めて急激なドーパント濃度を有する領域のドーパント濃度の勾配は、濃度がデバイスの領域間で急速に変化すると、極めて大きい(例えば、<2nm/decadeの濃度)。   [0039] In one embodiment of the present invention, a large amount of energy is delivered to the surface of the substrate to preferentially dissolve certain desired areas of the substrate, resulting in unwanted damage created from prior processing steps (eg, , Removing crystal damage due to the implantation process), more evenly distributing the dopant in different regions of the substrate and / or activating different regions of the substrate. The preferential dissolution process allows for a more uniform distribution of the dopant in the dissolution region by increasing the diffusion rate and solubility of the dopant atoms in the dissolution region of the substrate. Thus, the creation of the dissolved region is: 1) redistributing the dopant atoms more uniformly, 2) removing the defects created in the pretreatment step, and 3) forming a region with a very abrupt dopant concentration. Make it possible. The gradient of dopant concentration in regions with very steep dopant concentrations is very large (eg <2 nm / decade concentration) as the concentration changes rapidly between device regions.

[0040]本明細書に説明されている技術の使用によって、従来のデバイスより高いドーパント濃度を含有する接合が形成可能になるが、これは、ドーピングレベルの増加による基板材料の欠陥濃度の増加などの、形成された接合の一般的な負の属性が、本明細書に説明されている処理技術の使用によって許容レベルにまで容易に低下可能であるからである。より高いドーパントレベルおよびドーパント濃度の急激な変化は従って、基板の種々の領域の伝導率を増加させることができるため、基板の種々の領域へのドーパントの拡散を最小化しつつ、デバイス歩留まりに悪影響を与えることなくデバイス速度を改良することができる。得られたより高いドーパント濃度は形成されたデバイスの伝導率を増加させ、またこの性能を改良する。通常、RTPプロセスを使用して形成されたデバイスは約1×1015原子/cmより大きなドーパント濃度を使用しないが、これは、より高いドーパント濃度は、通常のRTPプロセス時に基板のバルク材料に簡単に拡散することができず、またドーパント原子のクラスターおよび他のタイプの欠陥をもたらすことになるからである。本明細書に説明されているアニーリングプロセスの実施形態のうちの1つ以上を使用して、より多くのドーパント(最大5〜10倍のドーパント、つまり1×1016原子/cm)は所望の基板表面にうまく組み込まれることが可能であり、これは、基板の領域が優先的に溶解されるために、液化領域が固化する前にドーパントが液体全体にわたってより均等に分布されることになるからである。 [0040] The use of the techniques described herein allows the formation of junctions that contain higher dopant concentrations than conventional devices, such as increased defect concentrations in the substrate material due to increased doping levels. This is because the general negative attributes of the formed junction can be easily reduced to acceptable levels by use of the processing techniques described herein. Higher dopant levels and drastic changes in dopant concentration can therefore increase the conductivity of various regions of the substrate, thus adversely affecting device yield while minimizing dopant diffusion to various regions of the substrate. Device speed can be improved without giving. The resulting higher dopant concentration increases the conductivity of the formed device and also improves this performance. Typically, devices formed using the RTP process do not use a dopant concentration greater than about 1 × 10 15 atoms / cm 2 , which means that a higher dopant concentration is present in the bulk material of the substrate during a normal RTP process. This is because they cannot be easily diffused and will result in clusters of dopant atoms and other types of defects. Using one or more of the embodiments of the annealing process described herein, more dopant (up to 5-10 times more dopant, ie 1 × 10 16 atoms / cm 2 ) is desired It can be successfully incorporated into the substrate surface, because the area of the substrate is preferentially dissolved so that the dopant is more evenly distributed throughout the liquid before the liquefied area solidifies. It is.

[0041]図1は、本発明の一実施形態の等尺図を図示しており、ここでエネルギー源20は、多量のエネルギーを基板10の画成領域つまりアニーリング領域12に投与して、アニーリング領域12内のある所望の領域を優先的に溶解するように適合されている。一例では、図1に示されているように、アニーリング領域12などの、基板の1つ以上の画成領域のみが、所与の時間にエネルギー源20からの放射に曝される。本発明の一態様では、基板10の複数のエリアがエネルギー源20から送出された所望量のエネルギーに順次曝されて、基板の所望の領域の優先的溶解をもたらす。一般的に、基板の表面上のエリアは、電磁放射源(例えば、従来のX/Yステージ、精密ステージ)の出力に対して基板を変換し、および/または基板に対する放射源の出力を変換することによって順次曝されてもよい。通常、1つ以上の従来の電気アクチュエーター17(例えば、線形モーター、リードスクリューおよびサーボモーター)は、個別精密ステージ(図示せず)の一部であってもよく、基板10の移動および位置をコントロールするために使用される。基板10をサポートし、かつ位置決めするために使用可能な従来の精密ステージおよび熱交換デバイス15は、Parker Hannifin Corporation of Rohnert Park,Californiaから購入可能である。   [0041] FIG. 1 illustrates an isometric view of one embodiment of the present invention in which an energy source 20 applies a large amount of energy to a defined region or annealing region 12 of a substrate 10 for annealing. It is adapted to preferentially dissolve certain desired regions within region 12. In one example, as shown in FIG. 1, only one or more defined regions of the substrate, such as annealing region 12, are exposed to radiation from energy source 20 at a given time. In one aspect of the invention, multiple areas of the substrate 10 are sequentially exposed to the desired amount of energy delivered from the energy source 20 to provide preferential dissolution of the desired area of the substrate. In general, the area on the surface of the substrate converts the substrate to the output of an electromagnetic radiation source (eg, a conventional X / Y stage, precision stage) and / or converts the output of the radiation source to the substrate. May be sequentially exposed. Typically, one or more conventional electrical actuators 17 (eg, linear motors, lead screws and servo motors) may be part of individual precision stages (not shown) and control the movement and position of the substrate 10. Used to do. A conventional precision stage and heat exchange device 15 that can be used to support and position the substrate 10 can be purchased from Parker Hanificin Corporation of Rohnart Park, California.

[0042]一態様では、アニーリング領域12は、ダイ13(例えば、40個の「ダイ」が図1に示されている)や、基板の表面に形成されている半導体デバイス(例えば、メモリチップ)のサイズに一致するようにサイズ設定される。一態様では、アニーリング領域12の境界は、各ダイ13の境界を画成する「カーフ(kurf)」や「スクライブ」ライン10A内に嵌合するように整列およびサイズ設定される。一実施形態では、アニーリングプロセスを実行する前に、基板は、通常は基板の表面に見られる整列マークおよび他の従来技術を使用してエネルギー源20の出力に整列されるため、アニーリング領域12はダイ13に適切に整列可能である。スクライブまたはカーフラインなどの、ダイ13間に自然に生じた未使用空間/境界でのみ重複するようにアニーリング領域12を順次配置することは、デバイスが基板上に形成されているエリアでエネルギーを重複させる必要を削減することによって、重複するアニーリング領域間のプロセス結果の変動を削減する。この技術は、基板の表面全体にレーザーエネルギーを通過させる(sweep)従来のプロセスに対して利点があるが、これは、隣接して走査された領域間の重複を厳密にコントロールして基板の所望の領域全体の均一なアニーリングを保証する必要性は、ダイ13間の未使用空間に重複を閉じ込めることによる問題ではないからである。ダイ13間の未使用空間/境界に重複を閉じ込めることはまた、プロセス均一性結果と、基板の全エリアを横切る隣接する重複領域を利用する従来の走査アニーリングタイプ方法とを改良する。従って、基板の臨界領域を処理するためにエネルギー源20から送出されたエネルギーへの様々な量の暴露によるプロセス変動量が最小化されるが、これは順次配置されたアニーリング領域12間の送出エネルギーの重複が最小化可能であるからである。一実施例では、順次配置されたアニーリング領域12の各々は、サイズ約22mm×約33mmの矩形領域である(例えば、726平方センチメートル(mm)の面積)。一態様では、基板の表面に形成されている、順次配置されたアニーリング領域12の各々の面積は約4mm(例えば、2mm×2mm)〜約1000mm(例えば、25mm×40mm)である。 [0042] In one aspect, the annealing region 12 includes a die 13 (eg, 40 “dies” are shown in FIG. 1) or a semiconductor device (eg, memory chip) formed on the surface of the substrate. Is sized to match the size of. In one aspect, the boundaries of the annealing region 12 are aligned and sized to fit within a “kerf” or “scribe” line 10A that defines the boundary of each die 13. In one embodiment, prior to performing the annealing process, the substrate is aligned to the output of the energy source 20 using alignment marks and other conventional techniques typically found on the surface of the substrate, so that the annealing region 12 is It can be properly aligned with the die 13. Sequentially placing the annealing region 12 so that it overlaps only in the unused space / boundaries that naturally occur between the dies 13, such as scribe or kerf lines, overlaps the energy in the area where the device is formed on the substrate By reducing the need to reduce variability in process results between overlapping annealing regions. This technique has advantages over conventional processes that sweep laser energy across the surface of the substrate, but this is closely controlled by controlling overlap between adjacent scanned regions. This is because the need to ensure uniform annealing across the entire region is not a problem due to confinement of overlap in unused space between dies 13. Confinement of overlap in unused space / boundaries between dies 13 also improves process uniformity results and conventional scan annealing type methods that utilize adjacent overlapping regions across the entire area of the substrate. Thus, process variations due to various amounts of exposure to energy delivered from the energy source 20 to process critical regions of the substrate are minimized, which is the delivered energy between the sequentially disposed annealing regions 12. This is because it is possible to minimize the duplication. In one embodiment, each of the sequentially disposed annealing regions 12 is a rectangular region having a size of about 22 mm × about 33 mm (eg, an area of 726 square centimeters (mm 2 )). In one aspect, the area of each of the sequentially disposed annealing regions 12 formed on the surface of the substrate is about 4 mm 2 (eg, 2 mm × 2 mm) to about 1000 mm 2 (eg, 25 mm × 40 mm).

[0043]エネルギー源20は概して、電磁エネルギーを送出して、基板表面のある所望の領域を優先的に溶解するように適合されている。通常の電磁エネルギー源は、光学放射源(例えば、レーザー)、電子ビーム源、イオンビーム源および/またはマイクロ波エネルギー源を含むが、これらに制限されない。一態様では、基板10は、所望の期間に1つ以上の適切な波長で放射するレーザーからの1パルスのエネルギーに曝される。一態様では、エネルギー源20からのエネルギーパルスは、アニーリング領域12全体に送出されたエネルギー量および/またはパルス期間に送出されたエネルギー量が、ある所望のエリアの優先的溶解を高めるために最適化されるように調整される。一態様では、レーザーの波長は、放射の相当部分が基板10に配置されているシリコン層に吸収されるように調節される。シリコン含有基板で実行されるレーザーアニーリングプロセスについて、放射の波長は通常約800nm未満であり、また遠紫外線(UV)、赤外線(IR)または他の所望の波長で送出可能である。一実施形態では、エネルギー源20は、約500nm〜約11マイクロメーターの波長で放射を送出するように適合されている、レーザーなどの強力光源である。いずれの場合も、アニーリングプロセスは概して、例えば約1秒未満程度の比較的短期間に基板の所与の領域に生じる。   [0043] The energy source 20 is generally adapted to deliver electromagnetic energy to preferentially dissolve certain desired areas of the substrate surface. Typical electromagnetic energy sources include, but are not limited to, optical radiation sources (eg, lasers), electron beam sources, ion beam sources, and / or microwave energy sources. In one aspect, the substrate 10 is exposed to a pulse of energy from a laser that emits at one or more suitable wavelengths for a desired period of time. In one aspect, the energy pulse from the energy source 20 is optimized so that the amount of energy delivered across the annealing region 12 and / or the amount of energy delivered during the pulse period enhances preferential dissolution of certain desired areas. To be adjusted. In one aspect, the wavelength of the laser is adjusted such that a substantial portion of the radiation is absorbed by the silicon layer disposed on the substrate 10. For laser annealing processes performed on silicon-containing substrates, the wavelength of radiation is typically less than about 800 nm and can be transmitted at deep ultraviolet (UV), infrared (IR) or other desired wavelengths. In one embodiment, the energy source 20 is a high intensity light source, such as a laser, adapted to deliver radiation at a wavelength of about 500 nm to about 11 micrometers. In either case, the annealing process generally occurs in a given area of the substrate in a relatively short period of time, for example, less than about 1 second.

[0044]一態様では、基板の表面に送出されるエネルギー量は、溶解深度が、非晶質化注入ステップによって画成されるアモルファス深度を超えて延びないように構成される。より深い溶解深度によって、ドープアモルファス層から無ドープ溶解層へのドーパントの拡散が容易になる。このような望ましくない拡散は、半導体基板上の回路の電気特徴を大幅かつ有害的に変えることがある。一部のアニーリングプロセスでは、エネルギーは、明確に画成された深度、例えば0.5マイクロメーター未満に基板の表面を溶解するために、極めて短い期間に基板の表面に送出される。正確な深度は、製造中の電子デバイスのサイズによって判断される。   [0044] In one aspect, the amount of energy delivered to the surface of the substrate is configured such that the dissolution depth does not extend beyond the amorphous depth defined by the amorphization implantation step. A deeper dissolution depth facilitates diffusion of the dopant from the doped amorphous layer to the undoped dissolved layer. Such undesirable diffusion can significantly and detrimentally change the electrical characteristics of the circuit on the semiconductor substrate. In some annealing processes, energy is delivered to the surface of the substrate in a very short period of time to dissolve the surface of the substrate to a well-defined depth, eg, less than 0.5 micrometers. The exact depth is determined by the size of the electronic device being manufactured.

アニーリングプロセス中の基板の温度コントロール
[0045]一実施形態では、図1に図示されている基板10の表面を熱交換デバイス15の基板サポート表面16と熱接触して配置することによって熱処理中の基板の温度をコントロールすることが望ましい。熱交換デバイス15は概してアニーリングプロセスの前またはこの最中に基板を加熱および/または冷却するように適合されている。この構成では、Applied Materials Inc.,Santa Clara,Californiaから入手可能な従来の基板ヒーターなどの熱交換デバイス15は、基板のアニーリング済み領域の処理後特性を改良するために使用されてもよい。一般的に、基板10は、熱交換デバイス15を含有する処理チャンバ(図示せず)の包囲処理環境(図示せず)内に配置される。処理中に基板が常駐する処理環境は空にされてもよく、あるいは、酸素などの、処理中の望ましくないガスの低い分圧の不活性ガスを含有してもよい。
Substrate temperature control during the annealing process
[0045] In one embodiment, it is desirable to control the temperature of the substrate during heat treatment by placing the surface of the substrate 10 illustrated in FIG. 1 in thermal contact with the substrate support surface 16 of the heat exchange device 15. . The heat exchange device 15 is generally adapted to heat and / or cool the substrate prior to or during the annealing process. In this configuration, Applied Materials Inc. A heat exchange device 15 such as a conventional substrate heater available from Santa Clara, California may be used to improve the post-processing properties of the annealed regions of the substrate. In general, the substrate 10 is placed in an enclosed processing environment (not shown) of a processing chamber (not shown) containing a heat exchange device 15. The processing environment in which the substrate resides during processing may be evacuated or may contain a low partial pressure inert gas of undesirable gases during processing, such as oxygen.

[0046]一実施形態では、基板はアニーリングプロセスを実行する前に事前加熱されてもよいため、溶解温度に達するのに必要なエネルギーは最小化され、これは基板の急速な加熱および冷却によって引き起こされる応力を削減することができ、また場合によっては、基板の再固化エリアにおける欠陥密度を削減することができる。一態様では、熱交換デバイス15は、基板サポート表面16に配置されている基板を加熱するように適合されている抵抗加熱要素15Aおよび温度コントローラー15Cを含有している。温度コントローラー15Cは(後述の)コントローラー21と連通している。一態様では、約20℃〜約750℃の温度に基板を事前加熱することが望ましいことがある。基板がシリコン含有材料から形成される一態様では、約20℃〜約500℃の温度に基板を事前加熱することが望ましいことがある。   [0046] In one embodiment, the energy required to reach the melting temperature is minimized because the substrate may be preheated before performing the annealing process, which is caused by rapid heating and cooling of the substrate. Stress can be reduced, and in some cases, the defect density in the resolidification area of the substrate can be reduced. In one aspect, the heat exchange device 15 includes a resistive heating element 15A and a temperature controller 15C that are adapted to heat a substrate disposed on the substrate support surface 16. The temperature controller 15C communicates with a controller 21 (described later). In one aspect, it may be desirable to preheat the substrate to a temperature between about 20 degrees Celsius and about 750 degrees Celsius. In one aspect where the substrate is formed from a silicon-containing material, it may be desirable to preheat the substrate to a temperature of about 20 ° C to about 500 ° C.

[0047]別の実施形態では、アニーリングプロセス中に基板に付加されたエネルギーによる内部拡散を削減し、および/または図8と関連して説明されているように、溶解後の再成長速度を増大させて処理中の種々の領域の非晶質化を増大させるために、処理中に基板を冷却することが望ましいことがある。一構成では、熱交換デバイス15は、基板サポート表面16に配置されている基板を冷却するように適合されている1つ以上の流体チャネル15Bおよび低温冷却器15Dを含有している。一態様では、コントローラー21と連通している従来の低温冷却器15Dは、1つ以上の流体チャネル15Bを介して冷却流体を送出するように適合されている。一態様では、約−240℃〜約20℃の温度に基板を冷却することが望ましいことがある。   [0047] In another embodiment, internal diffusion due to energy applied to the substrate during the annealing process is reduced and / or increased post-melt regrowth rate, as described in connection with FIG. It may be desirable to cool the substrate during processing in order to increase the amorphization of various regions during processing. In one configuration, the heat exchange device 15 contains one or more fluid channels 15B and a cryocooler 15D that are adapted to cool a substrate disposed on the substrate support surface 16. In one aspect, a conventional cryocooler 15D in communication with the controller 21 is adapted to deliver cooling fluid via one or more fluid channels 15B. In one aspect, it may be desirable to cool the substrate to a temperature between about −240 ° C. and about 20 ° C.

[0048]コントローラー21(図1)は概して、本明細書に説明されている熱処理技術のコントロールおよび自動化を容易にするように設計されており、また通常は、中央演算処理装置(CPU)(図示せず)、メモリ(図示せず)およびサポート回路(つまりI/O)(図示せず)を含むこともある。CPUは、種々のプロセスおよびハードウェア(例えば、従来の電磁放射検出器、モーター、レーザーハードウェア)をコントロールし、かつこれらのプロセス(例えば、基板温度、基板サポート温度、パルスレーザーからのエネルギー量、検出器信号)を監視するための工業用設定で使用される任意の形態のコンピュータプロセッサのうちの1つであってもよい。メモリ(図示せず)はCPUに接続されており、また、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、あるいは、ローカルまたはリモートの他の形態のディジタル記憶装置などの容易に使用可能なメモリのうちの1つ以上であってもよい。ソフトウェア命令およびデータはコード化されて、CPUに命令するメモリに記憶可能である。サポート回路(図示せず)はまた、従来のようにプロセッサをサポートするためにCPUに接続されている。サポート回路は従来のキャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含んでもよい。コントローラーによって読み取り可能なプログラム(またはコンピュータ命令)は、いずれのタスクが基板上で実行可能であるかを判断する。好ましくは、このプログラムはコントローラーで読み取り可能なソフトウェアであり、また、基板位置、各電磁パルスで送出されたエネルギー量、1つ以上の電磁パルスのタイミング、各パルスの時間関数としての強度および波長、基板の種々の領域の温度、およびこれらの任意の組み合わせを監視およびコントロールするためのコードを含んでいる。   [0048] The controller 21 (FIG. 1) is generally designed to facilitate control and automation of the heat treatment techniques described herein and is typically a central processing unit (CPU) (FIG. Not shown), memory (not shown), and support circuitry (ie, I / O) (not shown). The CPU controls various processes and hardware (eg, conventional electromagnetic radiation detectors, motors, laser hardware) and these processes (eg, substrate temperature, substrate support temperature, amount of energy from the pulsed laser, It may be one of any form of computer processor used in an industrial setting for monitoring the detector signal. A memory (not shown) is connected to the CPU, and may be a random access memory (RAM), a read only memory (ROM), a floppy disk, a hard disk, or other form of digital storage such as local or remote. There may be one or more of the readily available memories. Software instructions and data can be encoded and stored in a memory that instructs the CPU. A support circuit (not shown) is also connected to the CPU to support the processor as is conventional. Support circuitry may include conventional caches, power supplies, clock circuits, input / output circuits, subsystems, and the like. A program (or computer instructions) readable by the controller determines which tasks can be performed on the substrate. Preferably, the program is software readable by the controller, and also the substrate position, the amount of energy delivered with each electromagnetic pulse, the timing of one or more electromagnetic pulses, the intensity and wavelength as a time function of each pulse, Code is included for monitoring and controlling the temperature of various areas of the substrate, and any combination thereof.

選択溶解
[0049]形成されたデバイスの種々の領域間の内部拡散を最小化し、基板材料の欠陥を除去し、また基板の種々の領域においてドーパントをより均等に分布させるという試みにおいて、1つ以上の処理ステップが基板の種々の領域で実行されて、アニーリングプロセス時にエネルギー源から送出されたエネルギーに曝されるとこれらの領域を優先的に再溶解させる。アニーリングプロセス中にほぼ同一量のエネルギーに曝される場合に基板の第2の領域よりも優先的に溶解するように基板の第1の領域の特性を修正するプロセスは、これら2つの領域間の融点コントラストを作成するものとして後述される。一般的に、基板の所望の領域の優先的溶解を可能にするように修正可能な基板特性は、基板の所望の領域内の1つ以上の要素を注入、ドライブインおよび/または同時堆積するステップと、基板の所望の領域に物理的ダメージを作成するステップと、基板の所望の領域の融点コントラストを作成するために、形成されたデバイス構造を最適化するステップとを含む。これらの修正プロセスの各々は順に再検討される。
Selective dissolution
[0049] One or more processes in an attempt to minimize interdiffusion between various regions of the formed device, remove defects in the substrate material, and more evenly distribute the dopant in the various regions of the substrate. Steps are performed on various regions of the substrate to preferentially remelt these regions when exposed to energy delivered from an energy source during the annealing process. The process of modifying the properties of the first region of the substrate to dissolve preferentially over the second region of the substrate when exposed to approximately the same amount of energy during the annealing process is between the two regions. This will be described later as creating a melting point contrast. In general, substrate properties that can be modified to allow preferential dissolution of a desired region of the substrate include implanting, driving in and / or co-depositing one or more elements within the desired region of the substrate. And creating physical damage to the desired area of the substrate and optimizing the formed device structure to create a melting point contrast of the desired area of the substrate. Each of these modification processes will be reviewed in turn.

[0050]図2A〜図2Cは、本発明の一実施形態を組み込むデバイス製作シーケンスの異なるステージでの電子デバイス200の断面図を図示している。図2Aは、MOSデバイスのソース/ドレイン領域、ゲート215およびゲート酸化膜層216などの、2つのドープ領域201(例えば、ドープ領域201A〜201B)を有する基板10の表面205に形成された通常の電子デバイス200の側面図を図示している。ドープ領域201A〜201Bは概して、基板10の表面205に所望のドーパント材料を注入することによって形成される。一般的に、通常のnタイプドーパント(ドナータイプ種)はヒ素(As)、リン(P)およびアンチモン(Sb)を含むことがあり、また通常のpタイプドーパント(受容体タイプ種)は、ドープ領域201A〜201Bを形成するために半導体基板10に導入されるホウ素(B)、アルミニウム(Al)およびインジウム(In)を含むことがある。図3Aは、ドープ領域201Aを介して延びている経路203に沿って表面205から基板10への深度の関数として(例えば、曲線C)ドーパント材料の濃度の例を図示している。ドープ領域201Aは注入プロセス後に接合深度Dを有しており、これは、ドーパント濃度がごく微量に低下する点として画成されてもよい。図2A〜2Fは本発明の種々の態様の一部を図示することを意図しているにすぎず、また、本明細書に説明されている本発明の種々の実施形態を使用して形成可能なデバイスのタイプ、構造のタイプまたはデバイスの領域に関して制限的ではない点に注目すべきである。一例では、ドープ領域201(例えば、MOSデバイスにおけるソースまたはドレイン領域)は、本明細書に説明されている本発明の範囲から逸脱することなく、ゲート215(例えば、MOSデバイスのゲート)の位置に対して昇降可能である。半導体デバイスのサイズが縮小すると、基板10の表面205に形成されている電子デバイス200の構造要素の位置および形状はデバイス製造性やデバイス性能を改良するように変化することもある。図2A〜図2Eに示されているように、単一のドープ領域201Aのみの修正は本明細書に説明されている本発明の範囲を制限するものではなく、また、本発明の実施形態が半導体デバイスの製造に使用可能な様子を図示することのみを意図している点に注目すべきである。 [0050] FIGS. 2A-2C illustrate cross-sectional views of the electronic device 200 at different stages of a device fabrication sequence incorporating one embodiment of the present invention. FIG. 2A shows a typical formed on surface 205 of substrate 10 having two doped regions 201 (eg, doped regions 201A-201B), such as source / drain regions of a MOS device, gate 215 and gate oxide layer 216. A side view of the electronic device 200 is illustrated. Doped regions 201 </ b> A- 201 </ b> B are generally formed by implanting a desired dopant material into surface 205 of substrate 10. In general, normal n-type dopants (donor type species) may include arsenic (As), phosphorus (P) and antimony (Sb), and normal p-type dopants (acceptor type species) are doped. Boron (B), aluminum (Al), and indium (In) introduced into the semiconductor substrate 10 in order to form the regions 201A to 201B may be included. FIG. 3A illustrates an example of the concentration of dopant material as a function of depth from the surface 205 to the substrate 10 (eg, curve C 1 ) along a path 203 that extends through the doped region 201A. Doped region 201A has a junction depth D 1 after the injection process, which is, the dopant concentration may be defined as a point to be lowered to a very small amount. 2A-2F are only intended to illustrate some of the various aspects of the present invention and may be formed using the various embodiments of the present invention described herein. It should be noted that the device type, structure type or device area is not restrictive. In one example, the doped region 201 (eg, a source or drain region in a MOS device) is located at the location of the gate 215 (eg, the gate of a MOS device) without departing from the scope of the invention described herein. It can move up and down. As the size of the semiconductor device decreases, the position and shape of the structural elements of the electronic device 200 formed on the surface 205 of the substrate 10 may change to improve device manufacturability and device performance. As shown in FIGS. 2A-2E, modification of only a single doped region 201A does not limit the scope of the invention described herein, and embodiments of the invention It should be noted that it is only intended to illustrate how it can be used in the manufacture of semiconductor devices.

[0051]図2Bは、この場合は単一のドープ領域201Aを含有する領域である基板10の個別領域(例えば、修正エリア210)の特性を選択的に修正して融点コントラストを作成するように適合されているプロセスステップ時の、図2Aに示されている電子デバイス200の側面図である。修正プロセスを実行した後、融点コントラストは修正エリア210と未修正エリア211間に作成されることになる。一実施形態では、修正プロセスは、基板の表面に堆積されている間に材料を層に追加するステップであって、組み込まれている材料が、基板材料によって合金を形成して、修正エリア210内の領域202の融点を低下させるように適合されているステップを含んでいる。一態様では、組み込まれている材料は、エピタキシャル層堆積プロセス中に堆積層に付加される。   [0051] FIG. 2B shows that melting point contrast is created by selectively modifying the characteristics of individual regions (eg, modification area 210) of substrate 10, which in this case is a region containing a single doped region 201A. 2B is a side view of the electronic device 200 shown in FIG. 2A during an adapted process step. FIG. After performing the correction process, a melting point contrast will be created between the correction area 210 and the uncorrected area 211. In one embodiment, the modification process is the step of adding material to the layer while it is being deposited on the surface of the substrate, the incorporated material forming an alloy with the substrate material in the modification area 210. A step adapted to reduce the melting point of the region 202 of In one aspect, the incorporated material is added to the deposited layer during the epitaxial layer deposition process.

[0052]別の実施形態では、修正プロセスは、基板材料で合金を形成して、修正エリア210内の領域202の融点を低下させるように適合されている材料を注入するステップ(図2Bの「A」参照)を含んでいる。一態様では、修正プロセスは、図2Bに示されているように、合金材料を深度Dに注入するように適合されている。図3Bは、経路203に沿って表面205から基板10を通る深度の関数としてドーパント材料(例えば、曲線C)および注入合金材料(例えば、曲線C)の濃度の例を図示している。一態様では、基板10はシリコン含有材料から形成され、使用可能な注入合金材料は、例えばゲルマニウム(Ge)、ヒ素(As)、ガリウム(Ga)、炭素(C)、錫(Sn)およびアンチモン(Sb)を含んでいる。一般的に、合金材料は、基板ベース材料がある時に加熱されると、修正エリア210における領域202の融点を未修正エリア211に対して低下させる任意の材料であってもよい。一態様では、シリコン基板の領域は、修正および未修正エリア間の融点を低下させるために、約1%〜約20%のゲルマニウムの付加によって修正される。これらの濃度のゲルマニウムの付加は修正エリアおよび未修正エリアの融点を約300℃低下させると思われる。一態様では、シリコン基板に形成された領域202はゲルマニウム(Ge)および炭素(C)を含有しているため、SiGe合金は、未修正エリア211に対して領域202の融点を低下させるように形成する。別の態様では、シリコン基板の領域は約1%未満のヒ素の付加によって修正されて、修正エリアと未修正エリア間の融点を低下させる。 [0052] In another embodiment, the modification process includes injecting a material that is adapted to form an alloy with the substrate material to reduce the melting point of the region 202 in the modification area 210 ("" of FIG. 2B). A ”). In one embodiment, modification process, as shown in Figure 2B, is adapted to inject the alloy material to a depth D 2. FIG. 3B illustrates an example of the concentration of dopant material (eg, curve C 1 ) and implanted alloy material (eg, curve C 2 ) as a function of depth from surface 205 through substrate 10 along path 203. In one aspect, the substrate 10 is formed from a silicon-containing material, and usable implant alloy materials include, for example, germanium (Ge), arsenic (As), gallium (Ga), carbon (C), tin (Sn), and antimony ( Sb). In general, the alloy material may be any material that lowers the melting point of region 202 in modified area 210 relative to unmodified area 211 when heated when the substrate base material is present. In one aspect, the region of the silicon substrate is modified by the addition of about 1% to about 20% germanium to reduce the melting point between the modified and unmodified areas. Addition of these concentrations of germanium appears to reduce the melting point of the modified and uncorrected areas by about 300 ° C. In one embodiment, the region 202 formed in the silicon substrate contains germanium (Ge) and carbon (C), so that the Si x Ge y C z alloy has a melting point of the region 202 relative to the unmodified area 211. Form to lower. In another aspect, the area of the silicon substrate is modified by the addition of less than about 1% arsenic to reduce the melting point between the modified and unmodified areas.

[0053]別の実施形態では、修正プロセスは、種々の修正エリア(例えば、修正エリア210)における基板10の材料に対する一部のダメージを引き起こして、基板の結晶構造にダメージを与えることによってこれらの領域をより非晶質なものにするステップを含んでいる。単結晶シリコン基板へのダメージなどの、基板の結晶構造へのダメージを引き起こすことは、基板の原子の結合構造の変化によるダメージのない領域に対してこの領域の融点を低下させることによって、2つの領域間の熱力学特性差を引き起こす。一態様では、図2Bにおける修正エリア210へのダメージは、基板の表面へのダメージを作成する恐れのある発射体を基板10(図2Bの「A」参照)の表面205に衝突させることによって実行される。一態様では、発射体は、修正エリア210内の領域202へのダメージを引き起こすようにシリコン含有基板に注入されるシリコン(Si)原子である。別の態様では、基板材料へのダメージは、注入プロセス、イオンビームまたはバイアスプラズマを使用してアルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)または窒素(N)などの気体原子を表面に衝突させて修正エリア210の領域202へのダメージを引き起こすことによって作成される。一態様では、修正プロセスは、図2Bに示されているように、ダメージを引き起こした領域202を深度Dに作成するように適合されている。約5×1014〜約1×1016/cmの転移または空きの密度は修正エリア210と未修正エリア211間の融点コントラストを作成するのに有用であり得ると思われる。一態様では、図3Bは、経路203に沿った表面205から基板10を介する深度の関数としてドーパント材料(例えば、曲線C)と欠陥密度(例えば、曲線C)の濃度の例を図示している。 [0053] In another embodiment, the modification process causes these damage by causing some damage to the material of the substrate 10 in various modification areas (eg, modification area 210), thereby damaging the crystal structure of the substrate. Including making the region more amorphous. Causes damage to the crystal structure of the substrate, such as damage to the single crystal silicon substrate, by reducing the melting point of this region relative to the undamaged region due to changes in the bonding structure of the substrate atoms. Causes thermodynamic property differences between regions. In one aspect, the damage to the correction area 210 in FIG. 2B is performed by impacting a projectile that may create damage to the surface of the substrate against the surface 205 of the substrate 10 (see “A” in FIG. 2B). Is done. In one aspect, the projectiles are silicon (Si) atoms that are implanted into the silicon-containing substrate to cause damage to the region 202 in the modification area 210. In another aspect, the damage to the substrate material is caused by surface gas atoms such as argon (Ar), krypton (Kr), xenon (Xe) or nitrogen (N 2 ) using an implantation process, ion beam or bias plasma. And causing damage to the area 202 of the correction area 210. In one embodiment, modification process, as shown in Figure 2B, is adapted to create a region 202 that caused the damage to a depth D 2. It is believed that a transition or empty density of about 5 × 10 14 to about 1 × 10 16 / cm 2 can be useful in creating a melting point contrast between the modified area 210 and the unmodified area 211. In one aspect, FIG. 3B illustrates an example of the concentration of dopant material (eg, curve C 1 ) and defect density (eg, curve C 2 ) as a function of depth from surface 205 along path 203 through substrate 10. ing.

[0054]図2A〜図2Bは、修正プロセスがドーピングプロセス後に実行されるプロセスシーケンスを図示しているが、このプロセスシーケンスは本明細書に説明されている本発明の範囲を制限するものではない点に注目すべきである。例えば、一実施形態では、図2Aに説明されているドーピングプロセスを実行する前に図2Bに説明されている修正プロセスを実行することが望ましい。   [0054] FIGS. 2A-2B illustrate a process sequence in which the modification process is performed after the doping process, but this process sequence does not limit the scope of the invention described herein. It should be noted. For example, in one embodiment, it may be desirable to perform the modification process described in FIG. 2B before performing the doping process described in FIG. 2A.

[0055]図2Cは、レーザーからの光学放射などの、エネルギー源から放出された放射「B」に曝された、図2Bに示されている電子デバイス20の側面図を図示している。このステップ中に、基板10に配置されている修正エリア(例えば、修正エリア210)および未修正エリア(例えば211)は、未修正エリア211が固化状態のままである間、放射「B」のパルスが適用された後に修正エリア210における領域202を選択的に溶解および再固化させる多量のエネルギーに曝される。エネルギー量、エネルギー密度、および放射「B」が印加される期間は、領域202の所望の深度、領域202の作成に使用される材料、電子デバイス200の形成に使用される他の材料、および形成された電子デバイス200内のコンポーネントの熱伝達特徴を知ることによって領域202を優先的に溶解するように設定可能である。図2Cおよび3Cに示されているように、放射「B」への暴露時に、領域202の再溶解および再固化はドーパント原子(例えば、曲線C)および合金(例えば、曲線C)の濃度を領域202においてより均等に再分布させる。また、領域202と基板バルク材料221間のドーパント濃度は明確に画成された境界(つまり、「極めて急激な」接合)を有するため、基板バルク材料221への不要な拡散を最小化する。ダメージが基板10に引き起こされて融点コントラストを改良する上記のこの実施形態では、再固化後の欠陥の濃度(例えば、曲線C)が好ましくは極めて低いレベルに低下する。 [0055] FIG. 2C illustrates a side view of the electronic device 20 shown in FIG. 2B exposed to radiation “B” emitted from an energy source, such as optical radiation from a laser. During this step, a correction area (eg, correction area 210) and an uncorrected area (eg, 211) disposed on the substrate 10 is a pulse of radiation “B” while the uncorrected area 211 remains solidified. Is applied to a large amount of energy that selectively dissolves and resolidifies the region 202 in the correction area 210. The amount of energy, energy density, and period of time during which radiation “B” is applied depends on the desired depth of region 202, the material used to create region 202, other materials used to form electronic device 200, and the formation By knowing the heat transfer characteristics of the components in the fabricated electronic device 200, the region 202 can be set to preferentially dissolve. As shown in FIGS. 2C and 3C, upon exposure to radiation “B”, the re-dissolution and re-solidification of region 202 results in the concentration of dopant atoms (eg, curve C 1 ) and alloys (eg, curve C 2 ). Are more evenly redistributed in region 202. Also, the dopant concentration between the region 202 and the substrate bulk material 221 has a well-defined boundary (ie, a “very abrupt” junction), thus minimizing unwanted diffusion into the substrate bulk material 221. In this embodiment described above where damage is caused to the substrate 10 to improve melting point contrast, the concentration of defects after resolidification (eg, curve C 2 ) is preferably reduced to a very low level.

熱分離技術
[0056]別の実施形態では、形成されたデバイスの異なる領域の種々の熱特性は、領域間の溶解を優先的にもたらすように調整される。一態様では、融点コントラストは、異なる熱伝導率(k)を有する材料によってデバイスの異なる領域を形成することによって作成される。伝導によって伝達された熱は以下の式によって決められる点に注目すべきである:
Q=kAΔT/Δx
ここで、Qは本体を介する熱流の時間レートであり、kは材料の性質および材料温度に左右される伝導率定数であり、Aは熱が流れる面積であり、Δxは、熱が通過する当該本体の厚さであり、ΔTは熱が伝達中の温度差である。従って、kは材料の特性であるため、基板の種々の領域における材料の選択や修正によって、基板の異なる領域に対する熱流をコントロールして、この種々の領域の融点コントラストを増大させることができる。言い換えると、基板のある領域の材料が他の領域の材料より高い熱伝導率を有する場合、レーザーアニーリングプロセス中に伝導損失によってより多くの熱エネルギーを失うことになり、ゆえに、より低い熱伝導率を有する別の領域が達するのと同じ温度に達することはない。より高い熱伝導領域と緊密に接触している領域は溶解を防止されることが可能であるのに対して、より低い熱伝導領域と緊密に接触している他の領域は、レーザーアニーリングプロセス中に融点に達することになる。電子デバイス200の種々の領域の熱伝導率をコントロールすることによって、融点コントラストは増大可能である。様々な熱伝導率を有する領域の作成は、電子デバイス200の種々の下地層に従来の堆積、パターニングおよびエッチング技術を実行して、異なる熱伝導率を有するこれらの領域を作成することによって実行されてもよい。異なる熱伝導率を有する下地層は、従来の化学気相堆積(CVD)プロセス、原子層堆積(ALD)プロセス、注入プロセスおよびエピタキシャル堆積技術を使用して形成されてもよい。
Thermal separation technology
[0056] In another embodiment, the various thermal properties of different regions of the formed device are adjusted to preferentially cause dissolution between regions. In one aspect, the melting point contrast is created by forming different regions of the device with materials having different thermal conductivities (k). It should be noted that the heat transferred by conduction is determined by the following formula:
Q = kAΔT / Δx
Where Q is the time rate of heat flow through the body, k is a conductivity constant that depends on the nature of the material and the material temperature, A is the area through which heat flows, and Δx is the current through which heat passes. The thickness of the main body, and ΔT is the temperature difference during heat transfer. Thus, since k is a material property, the selection and modification of materials in various regions of the substrate can control the heat flow to different regions of the substrate and increase the melting point contrast of these various regions. In other words, if the material in one area of the substrate has a higher thermal conductivity than the material in the other area, it will lose more thermal energy due to conduction loss during the laser annealing process and hence lower thermal conductivity It does not reach the same temperature that another region with has reached. Regions that are in intimate contact with higher heat transfer areas can be prevented from dissolving, while other areas in close contact with lower heat transfer regions are in the laser annealing process. The melting point will be reached. By controlling the thermal conductivity of various regions of the electronic device 200, the melting point contrast can be increased. The creation of regions having various thermal conductivities is performed by performing conventional deposition, patterning and etching techniques on the various underlayers of electronic device 200 to create these regions having different thermal conductivities. May be. Underlayers having different thermal conductivities may be formed using conventional chemical vapor deposition (CVD) processes, atomic layer deposition (ALD) processes, implantation processes, and epitaxial deposition techniques.

[0057]図2Dは、基板バルク材料221より低い熱伝導率を有する埋め込み領域224を有する電子デバイス200の側面図を図示している。この場合、エネルギー源から放出された放射「B」は基板の表面205に吸収されて、基板10を介して伝導されるため、埋め込み領域224上の領域(例えば、ドープ領域201A)における熱流(Q)は、伝導率の低い埋め込み層を有していないエリアからの熱量(Q)未満である。従って、埋め込み領域224上の領域から失われた熱は基板の他の領域より少ないため、このエリアはデバイスの他の領域より高い温度になる。エネルギー源20によって送出されたエネルギー量をコントロールすることによって、埋め込み層上の領域の温度は、他の領域間で優先的に溶解するレベルに上昇されることが可能である。一態様では、埋め込み領域224は、二酸化シリコン(SiO)、窒化シリコン(SiN)、ゲルマニウム(Ge)、ガリウムヒ素(GaAs)、これらの組み合わせまたはこれらの誘導体などの絶縁性材料から形成される。溶解される領域における基板材料の実際の融点は変えられないが、選択的に溶解されるようにする基板表面の他の領域からの熱挙動において定量化可能かつ反復可能なコントラストが依然として存在する。別の実施形態では、埋め込み領域224は基板バルク材料221より高い伝導率を有することがあり、これはそして、埋め込み層を有していないエリアが埋め込み層上の領域間で優先的に溶解するようにする。 [0057] FIG. 2D illustrates a side view of an electronic device 200 having a buried region 224 that has a lower thermal conductivity than the substrate bulk material 221. FIG. In this case, the radiation “B” emitted from the energy source is absorbed by the surface 205 of the substrate and conducted through the substrate 10, so that the heat flow (Q in the region (eg, doped region 201A) on the buried region 224). 1 ) is less than the amount of heat (Q 2 ) from an area that does not have a buried layer with low conductivity. Therefore, this area is at a higher temperature than the other regions of the device because less heat is lost from the region above the buried region 224 than the other regions of the substrate. By controlling the amount of energy delivered by the energy source 20, the temperature of the region on the buried layer can be raised to a level that preferentially dissolves between other regions. In one aspect, the buried region 224 is formed from an insulating material such as silicon dioxide (SiO 2 ), silicon nitride (SiN), germanium (Ge), gallium arsenide (GaAs), combinations thereof, or derivatives thereof. Although the actual melting point of the substrate material in the area to be dissolved is not changed, there is still a quantifiable and repeatable contrast in the thermal behavior from other areas of the substrate surface that allows it to be selectively dissolved. In another embodiment, the buried region 224 may have a higher conductivity than the substrate bulk material 221 so that areas that do not have a buried layer preferentially dissolve between regions on the buried layer. To.

表面特性の修正
[0058]一実施形態では、基板10の種々の領域202の表面の特性は、1つ以上の所望の領域間の融点コントラストを変更するように変えられる。一態様では、所望の領域における基板の表面の放射率は、処理中に基板表面から伝達されたエネルギー量を変更するように変えられる。この場合、他の領域より放射率が低い領域は、エネルギー源20から受け取られた吸収エネルギーを再放射することができないために、より高い処理温度を達成することになる。基板の表面の溶解を伴うアニーリングプロセスを実行する場合、基板の表面で達成された処理温度はかなり高い(例えば、シリコンでは最大1414℃)こともあり、従って放射率を変更することの効果は、融点コントラストに対して劇的な効果を有することもあり、これは、放射熱伝達は主要な熱損失機構であるからである。従って、基板表面の異なる領域の放射率の変動は基板の種々の領域が達する最高温度に対してかなりの影響を有することがある。放射率の低い領域は、アニーリングプロセス中に融点より高くなることがあるが、同量のエネルギーを吸収している放射率の高い領域は、実質的に融点未満のままであることもある。種々の表面の放射率を変更すること、つまり放射率コントラストは、基板表面への低または高放射率コーティングの選択的堆積、および/または基板表面の修正(例えば、表面酸化、表面粗化)によって達成されてもよい。
Modification of surface properties
[0058] In one embodiment, the surface characteristics of the various regions 202 of the substrate 10 are altered to change the melting point contrast between one or more desired regions. In one aspect, the emissivity of the surface of the substrate in the desired area is varied to change the amount of energy transferred from the substrate surface during processing. In this case, regions with lower emissivity than other regions will achieve higher processing temperatures because they cannot re-radiate the absorbed energy received from the energy source 20. When performing an annealing process involving dissolution of the surface of the substrate, the processing temperature achieved at the surface of the substrate can be quite high (eg, up to 1414 ° C. for silicon), so the effect of changing the emissivity is It can also have a dramatic effect on melting point contrast because radiant heat transfer is the primary heat loss mechanism. Thus, variations in emissivity in different regions of the substrate surface can have a significant effect on the maximum temperature reached by various regions of the substrate. The low emissivity region may become higher than the melting point during the annealing process, while the high emissivity region absorbing the same amount of energy may remain substantially below the melting point. By changing the emissivity of various surfaces, ie emissivity contrast, selective deposition of low or high emissivity coatings on the substrate surface and / or modification of the substrate surface (eg surface oxidation, surface roughening) May be achieved.

[0059]一実施形態では、1つ以上の領域における基板の表面の反射率は、基板10がエネルギー源からのエネルギーに曝される場合に吸収されるエネルギー量を変化させるように変えられる。基板の表面の反射率を変更することによって、吸収されるエネルギー量、ひいては基板表面において、およびこの下の領域において基板によって達成される最大温度は反射率に基づいて変化することになる。この場合、反射率の低い表面は、反射率の高い別の領域よりも溶解しやすい。基板の表面の反射率の変更は、基板表面への低または高反射性コーティングの選択的堆積、および/または基板表面の修正(例えば、表面酸化、表面粗化)によって達成可能である。高吸収性(非反射性)コーティングは、アニーリングプロセス中に溶解される領域に選択的に適用されてもよい。   [0059] In one embodiment, the reflectivity of the surface of the substrate in one or more regions is varied to change the amount of energy absorbed when the substrate 10 is exposed to energy from an energy source. By changing the reflectivity of the surface of the substrate, the amount of energy absorbed, and hence the maximum temperature achieved by the substrate at and below the substrate surface, will vary based on the reflectivity. In this case, the surface with low reflectivity is easier to dissolve than another region with high reflectivity. Changing the reflectivity of the surface of the substrate can be achieved by selective deposition of a low or high reflective coating on the substrate surface and / or modification of the substrate surface (eg, surface oxidation, surface roughening). A superabsorbent (non-reflective) coating may be selectively applied to areas that are dissolved during the annealing process.

[0060]図2Eは、コーティング225が選択的に堆積されるか、あるいは均一に堆積されてから選択的に除去されて、基板10の表面205の他の領域とは異なる放射率および/または反射率を有する層を残す一実施形態を図示している。この場合、コーティング225の下のドープ領域201Aの熱流(Q)は、コーティングの特性、および基板の他の領域で吸収されたエネルギー(Q)に基づいて調整可能である。このように、コーティング225から失われたり(Q)反射されたりする熱は他の領域から失われた熱(Q)に対して変更可能である。一態様では、炭素含有コーティングが、CVD堆積プロセスを使用して基板表面に堆積される。 [0060] FIG. 2E illustrates an emissivity and / or reflection that is different from other areas of the surface 205 of the substrate 10, with the coating 225 being selectively deposited or evenly deposited and then selectively removed. FIG. 4 illustrates one embodiment that leaves a layer having a rate. In this case, the heat flow (Q 1 ) of the doped region 201A under the coating 225 can be adjusted based on the properties of the coating and the energy (Q 2 ) absorbed in other regions of the substrate. In this way, the heat lost from the coating 225 (Q 3 ) or reflected can be changed relative to the heat lost from other regions (Q 4 ). In one aspect, a carbon-containing coating is deposited on the substrate surface using a CVD deposition process.

[0061]図2Fは、基板の表面の光学特性(例えば、放射率、反射率)を変えるコーティング226が基板の表面、例えば図2Aに示されているデバイスに堆積され、かつ多量の材料が、異なる光学特性を有する領域を作成するために除去される一実施形態を図示している。例えば、図2Fに示されているように、コーティング226はゲート215の表面から除去されているため、コーティング226の表面およびゲートの表面205は入射放射「B」に曝されたままである。この場合、コーティング226およびゲートの表面205は、異なる放射率および/または異なる反射率などの異なる光学特性を有する。異なる光学特性を有する領域を暴露または作成するために使用される除去プロセスは、ウェットエッチングや化学的機械的研磨(CMP)プロセスなどの従来の材料除去プロセスを使用して実行されてもよい。この場合、コーティング226の下のドープ領域201A〜201Bの吸収および熱流(Q)は、コーティングの特性と、基板のゲート215領域の吸収および熱流(Q)に基づいて調整可能である。このように、コーティング226から失われたり(Q)反射された熱は、ゲート215領域から失われたり(Q)反射された熱に対して変更可能である。 [0061] FIG. 2F shows that a coating 226 that changes the optical properties (eg, emissivity, reflectivity) of the surface of the substrate is deposited on the surface of the substrate, eg, the device shown in FIG. FIG. 4 illustrates one embodiment that is removed to create regions with different optical properties. For example, as shown in FIG. 2F, since the coating 226 has been removed from the surface of the gate 215, the surface of the coating 226 and the surface 205 of the gate remain exposed to incident radiation “B”. In this case, the coating 226 and the gate surface 205 have different optical properties such as different emissivities and / or different reflectivities. The removal process used to expose or create regions having different optical properties may be performed using a conventional material removal process such as a wet etch or chemical mechanical polishing (CMP) process. In this case, the absorption and heat flow of the doped regions 201A~201B under the coating 226 (Q 1) includes a characteristic of the coating can be adjusted based on the absorption of the gate 215 region of the substrate and heat flow (Q 2). Thus, the heat lost (Q 3 ) reflected from the coating 226 can be altered relative to the heat lost (Q 4 ) reflected from the gate 215 region.

[0062]一実施形態では、コーティング226は、1つ以上の波長の入射放射に曝される基板の種々の領域の光学特性(例えば、放射率、吸収率、反射率)を単独または組み合わせて修正する所望の厚さの1つ以上の堆積層を含有する。一態様では、コーティング226は、1つ以上の波長の入射放射「B」を単独または組み合わせて優先的に吸収または反射する層を含有する。一実施形態では、コーティング226は、ケイフッ化ガラス(FSG)、アモルファス炭素、二酸化シリコン、シリコンカーバイド、シリコン炭素ゲルマニウム合金(SiCGe)、窒素含有シリコンカーバイド(SiCN)、Applied Materials,Inc.,of Santa Claraから市販されているプロセスで作られたBLOK(商標)誘電材料、あるいは化学気相堆積(CVD)プロセスまたは原子層堆積プロセス(ALD)プロセスを使用して基板表面に堆積された炭素含有コーティングなどの誘電材料を含有している。一態様では、コーティング226は、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、コバルト(Co)またはルテニウム(Ru)などの金属を含有するがこれらに制限されない。   [0062] In one embodiment, the coating 226 modifies optical properties (eg, emissivity, absorptance, reflectivity) of various regions of the substrate that are exposed to incident radiation of one or more wavelengths, alone or in combination. Containing one or more deposited layers of a desired thickness. In one aspect, coating 226 contains a layer that preferentially absorbs or reflects one or more wavelengths of incident radiation “B” alone or in combination. In one embodiment, the coating 226 is made of fluorosilicate glass (FSG), amorphous carbon, silicon dioxide, silicon carbide, silicon carbon germanium alloy (SiCGe), nitrogen-containing silicon carbide (SiCN), Applied Materials, Inc. , Of BLOT ™ dielectric material made by processes commercially available from Santa Clara, or carbon deposited on the substrate surface using chemical vapor deposition (CVD) or atomic layer deposition processes (ALD) processes Contains dielectric materials such as containing coatings. In one aspect, the coating 226 contains a metal such as, but not limited to, titanium (Ti), titanium nitride (TiN), tantalum (Ta), cobalt (Co), or ruthenium (Ru).

[0063]本明細書に論じられている種々の実施形態のうちの1つ以上は、プロセスウィンドウをさらに大きくするために相互に関連して使用可能である点に注目すべきである。例えば、選択的に堆積された光吸収コーティングは、アニーリングプロセスのプロセスウィンドウを広げるためにある画成領域のドーピングと関連して使用されてもよい。   [0063] It should be noted that one or more of the various embodiments discussed herein can be used in conjunction with each other to further increase the process window. For example, a selectively deposited light absorbing coating may be used in connection with doping of certain defined areas to widen the process window of the annealing process.

優先的溶解を達成するためのエネルギー源出力の調節
[0064]上記のように、エネルギー源20は概して、電磁エネルギーを送出して基板10のある所望の領域を優先的に溶解するように適合されている。通常の電磁エネルギー源は、光学放射源(例えば、レーザー(UV、IRなどの波長))、電子ビーム源、イオンビーム源および/またはマイクロ波エネルギー源を含むが、これらに制限されない。本発明の一実施形態では、エネルギー源20は、レーザーなどの光学放射を送出して基板の所望の領域を融点へと選択的に加熱するように適合されている。
Adjusting the energy source output to achieve preferential dissolution
[0064] As noted above, the energy source 20 is generally adapted to deliver electromagnetic energy to preferentially dissolve certain desired regions of the substrate 10. Typical electromagnetic energy sources include, but are not limited to, optical radiation sources (eg, lasers (wavelengths such as UV, IR)), electron beam sources, ion beam sources, and / or microwave energy sources. In one embodiment of the invention, the energy source 20 is adapted to deliver optical radiation, such as a laser, to selectively heat a desired area of the substrate to the melting point.

[0065]一態様では、基板10は1つ以上の適切な波長で放射するレーザーからの1パルスのエネルギーに曝され、また放射された放射線は、ある所望の領域の優先的溶解を高めるために所望のエネルギー密度(W/cm)および/またはパルス期間を有している。シリコン含有基板で実行されたレーザーアニーリングプロセスについて、放射の波長は通常約800nm未満である。いずれの場合も、アニーリングプロセスは概して、例えば約1秒未満程度の比較的短い期間に基板の所与の領域で生じる。アニーリングプロセスで使用される所望の波長およびパルスプロファイルは、基板の材料特性に照らしてレーザーアニーリングプロセスの光学的かつ熱的モデリングに基づいて判断可能である。 [0065] In one aspect, the substrate 10 is exposed to a pulse of energy from a laser that emits at one or more suitable wavelengths, and the emitted radiation is used to enhance preferential dissolution of certain desired regions. It has the desired energy density (W / cm 2 ) and / or pulse duration. For laser annealing processes performed on silicon-containing substrates, the wavelength of radiation is typically less than about 800 nm. In either case, the annealing process generally occurs in a given area of the substrate in a relatively short period of time, for example, less than about 1 second. The desired wavelength and pulse profile used in the annealing process can be determined based on optical and thermal modeling of the laser annealing process in light of the material properties of the substrate.

[0066]図4A〜図4Dは、エネルギー源20からアニーリング12(図1)に送出された1パルスのエネルギーの種々の属性が融点コントラストの改良を達成するための時間関数として調整され、アニーリングプロセス結果を改良する種々の実施形態を図示している。一実施形態では、溶解される基板の領域への熱入力を高め、かつ他の領域への熱入力を最小化するために、時間関数としてのレーザーパルスの形状を変更し、および/または送出エネルギーの波長を変更することが望ましい。一態様では、基板に送出されたエネルギーを変更することも望ましい場合がある。   [0066] FIGS. 4A-4D illustrate the annealing process in which various attributes of the energy of a pulse delivered from the energy source 20 to the annealing 12 (FIG. 1) are adjusted as a function of time to achieve an improvement in melting point contrast. Fig. 4 illustrates various embodiments that improve results. In one embodiment, the shape of the laser pulse as a function of time and / or delivery energy is increased in order to increase the heat input to the area of the substrate to be melted and minimize the heat input to other areas. It is desirable to change the wavelength. In one aspect, it may also be desirable to change the energy delivered to the substrate.

[0067]図4Aは、エネルギー源20から基板10(図1参照)に送出可能な単一パルスの電磁放射(例えば、パルス401)の送出エネルギー対時間のプロットをグラフで図示している。図4Aに図示されているパルスは概して、全パルス期間(t)に一定量のエネルギー(E)を送出する矩形パルスである。 [0067] FIG. 4A graphically illustrates a plot of delivered energy versus time for a single pulse of electromagnetic radiation (eg, pulse 401) that can be delivered from the energy source 20 to the substrate 10 (see FIG. 1). The pulses illustrated in FIG. 4A are generally rectangular pulses that deliver a certain amount of energy (E 1 ) during the entire pulse period (t 1 ).

[0068]一態様では、パルス401の形状は、基板10に送出されると、時間関数として変化可能である。図4Bは、異なる形状を有する、エネルギー源20から基板10に送出可能な電磁放射の2つのパルス401A、401Bのプロットをグラフで図示している。本例では、各パルスは、各曲線の下のエリアに表されているように、同一の総エネルギー出力を含有する場合もあるが、パルス間で基板10の領域を曝すことの効果は、アニーリングプロセス中に経験された融点コントラストを改良することもある。従って、各パルスで送出されたエネルギーの形状、ピーク電力レベルおよび/または量を調整することによって、アニーリングプロセスは改良可能である。一態様では、パルスはガウス形状である。   [0068] In one aspect, the shape of the pulse 401 can change as a function of time when delivered to the substrate 10. FIG. 4B graphically illustrates a plot of two pulses 401A, 401B of electromagnetic radiation that can be delivered from the energy source 20 to the substrate 10 having different shapes. In this example, each pulse may contain the same total energy output, as represented in the area under each curve, but the effect of exposing the region of the substrate 10 between the pulses is annealing. It may also improve the melting point contrast experienced during the process. Thus, the annealing process can be improved by adjusting the shape, peak power level and / or amount of energy delivered with each pulse. In one aspect, the pulse is Gaussian.

[0069]図4Cは、形状が台形の1パルスの電磁放射(例えば、パルス401)をグラフで図示している。この場合、パルス401の2つの異なるセグメント(例えば、402および404)において、送出されたエネルギーは時間の関数として変化する。図4Cは、エネルギー対時間が線形に変化するパルス401のプロファイル、つまり形状を図示しているが、本発明の範囲を制限する意図はなく、これは、パルスで送出されたエネルギーの時間変動は、例えば2度、3度または4度の成形曲線を有することがあるからである。別の態様では、時間の関数としてパルスで送出されたエネルギーのプロファイルつまり形状は2次、3次または指数形状曲線であってもよい。別の実施形態では、所望のアニーリング結果を達成する処理中に異なる形状のパルス(例えば、矩形および三角形の変調パルス、正弦波および矩形の変調パルス、矩形、三角形および正弦波の変調パルスなど)を使用することは好都合であろう。   [0069] FIG. 4C graphically illustrates one pulse of electromagnetic radiation (eg, pulse 401) having a trapezoidal shape. In this case, in two different segments of the pulse 401 (eg, 402 and 404), the delivered energy varies as a function of time. FIG. 4C illustrates the profile or shape of a pulse 401 that varies linearly in energy versus time, but is not intended to limit the scope of the present invention, which is the time variation of the energy delivered in the pulse. For example, it may have a forming curve of 2 degrees, 3 degrees or 4 degrees. In another aspect, the profile or shape of the energy delivered in pulses as a function of time may be a quadratic, cubic or exponential shape curve. In another embodiment, different shaped pulses (eg, rectangular and triangular modulation pulses, sine and rectangular modulation pulses, rectangular, triangular and sine modulation pulses, etc.) are processed during the process to achieve the desired annealing results. It would be convenient to use.

[0070]デバイスの種々の領域の特性に応じて、送出された1パルスの電磁放射の形状は、アニーリングプロセス結果を改良するように調整可能である。図4Bを参照すると、例えば、アニーリングプロセス中に溶解される基板の種々の領域が、熱伝導率が低いエリアによってデバイスの他の領域から熱的に分離される状況では、形状がパルス401Bに類似しているパルスの使用は好都合である。期間の長いパルスは好都合であるが、これは、基板のより熱的に導電性の材料領域が、伝導による熱の消散により多くの時間がかかるのに対して、溶解される領域がより熱的に分離されて、溶解される領域の温度が融点温度になるのを許容できるからである。この場合、パルスの期間、ピーク電力レベルおよびパルスの総エネルギー出力は適切に選択可能であるため、溶解されないエリアは融点に達することはない。パルスの形状を調整するプロセスはまた、様々な放射率の表面が融点コントラストを作成するのに使用される場合に好都合であろう。   [0070] Depending on the characteristics of various regions of the device, the shape of the emitted single pulse of electromagnetic radiation can be adjusted to improve the annealing process results. Referring to FIG. 4B, for example, the shape is similar to pulse 401B in situations where the various regions of the substrate that are melted during the annealing process are thermally isolated from other regions of the device by areas with low thermal conductivity. The use of a pulse is advantageous. Longer duration pulses are advantageous, because the more thermally conductive material region of the substrate takes more time to dissipate heat due to conduction, whereas the melted region is more thermal. This is because it is allowed to allow the temperature of the region separated and dissolved to reach the melting point temperature. In this case, the duration of the pulse, the peak power level and the total energy output of the pulse can be selected appropriately so that the undissolved area does not reach the melting point. The process of adjusting the shape of the pulse may also be advantageous when different emissivity surfaces are used to create the melting point contrast.

[0071]図4Cを参照すると、一実施形態では、セグメント401の傾斜、セグメント401の形状、セグメント403の形状、電力レベルでの時間(例えば、エネルギーレベルEでのセグメント403)、セグメント404の傾斜および/またはセグメント404の形状はアニーリングプロセスをコントロールするために調整される。粒子およびプロセス結果変動性事項ゆえに、アニーリング領域内の材料を処理中に蒸発させるのは概して望ましくない点に注目すべきである。従って、領域を過熱して材料の蒸発をもたらすことなく、エネルギーパルスの形状を調整して、アニーリング領域の温度を急速に融点にすることが望ましい。一実施形態では、図4Gに示されているように、複数のセグメント(つまり、セグメント402、403A、403B、403Cおよび404)が、アニーリング領域内の材料の蒸発を防止する一方で、アニーリング領域を急速に融点にしてから材料を所望の期間(例えばt)溶解状態に保持するために使用されるようにパルス401の形状は調整可能である。時間長、セグメントの形状、およびパルスセグメントの各々の期間は、アニーリング領域内のサイズ、溶解深度および材料の変化に伴って変化し得る。 [0071] Referring to Figure 4C, in one embodiment, the slope of the segment 401, segment 401 shape, the shape of the segment 403, in the power level time (e.g., segment 403 at the energy levels E 1), a segment 404 The slope and / or the shape of the segment 404 is adjusted to control the annealing process. It should be noted that it is generally undesirable to evaporate material in the annealing region during processing due to particle and process result variability considerations. Therefore, it is desirable to adjust the shape of the energy pulse and rapidly bring the temperature of the annealing region to the melting point without overheating the region and causing material evaporation. In one embodiment, as shown in FIG. 4G, multiple segments (ie, segments 402, 403A, 403B, 403C, and 404) prevent annealing of material within the annealing region while the annealing region is The shape of pulse 401 can be adjusted to be used to rapidly melt and then keep the material in solution for a desired period of time (eg, t 1 ). The length of time, segment shape, and duration of each of the pulse segments can vary with changes in size, dissolution depth, and material within the annealing region.

[0072]別の態様では、複数の波長の放射エネルギーは、基板の所望の領域へのエネルギー伝達を改良して、融点コントラストの改良を達成し、および/またはアニーリングプロセス結果を改良するように結合されてもよい。一態様では、結合波長の各々によって送出されたエネルギー量は、融点コントラストを改良し、かつアニーリングプロセス結果を改良するように変更される。図4Dは、融点コントラストを改良し、および/またはアニーリングプロセス結果を改良するために、パルス401が、単位時間当たり異なる量のエネルギーを基板10に送出可能な2つの波長を含有する一例を図示している。本例では、周波数F1はパルス期間に一定レベルで基板に印加され、もう1つの周波数F2が、パルス期間中に一定期間ピークになる部分を除いて周期の大部分で一定レベルで基板10に印加される。   [0072] In another aspect, multiple wavelengths of radiant energy are combined to improve energy transfer to a desired region of the substrate to achieve improved melting point contrast and / or improved annealing process results. May be. In one aspect, the amount of energy delivered by each of the coupled wavelengths is altered to improve the melting point contrast and improve the annealing process results. FIG. 4D illustrates an example where the pulse 401 contains two wavelengths that can deliver different amounts of energy to the substrate 10 per unit time to improve the melting point contrast and / or to improve the annealing process results. ing. In this example, the frequency F1 is applied to the substrate at a constant level during the pulse period, and the other frequency F2 is applied to the substrate 10 at a constant level over most of the period except for a portion that peaks during the pulse period. Is done.

[0073]図4Eは、2つの異なる周波数F3およびF4でエネルギーを送出する2つの順次セグメントを有するパルス401のプロットをグラフで図示している。従って、基板の種々の領域は異なるレートかつ異なる波長でエネルギーを吸収可能であるため、図4Dおよび4Eに示されているような、様々な量のエネルギーを送出可能な複数の波長を含有するパルスの使用は、望ましいニーリングプロセス結果を達成するために好都合である。   [0073] FIG. 4E graphically illustrates a plot of a pulse 401 having two sequential segments that deliver energy at two different frequencies F3 and F4. Thus, because different regions of the substrate can absorb energy at different rates and different wavelengths, pulses containing multiple wavelengths capable of delivering various amounts of energy, as shown in FIGS. 4D and 4E. Is advantageous to achieve the desired kneeling process results.

[0074]一実施形態では、2つ以上のパルスの電磁放射は異なる時間に基板の領域に送出されるため、基板表面の領域の温度は容易にコントロール可能である。図4Fは、基板の表面上のある領域を選択的に溶解するために、時間間隔をあけて、つまり周期(t)で様々な距離で送出される2つのパルス401Aおよび401Bのプロットをグラフで図示している。この構成では、後続パルス間の周期(t)を調整することによって、基板表面上の領域が達するピーク温度は容易にコントロール可能である。例えば、パルス間の周期(t)、つまり周波数を短縮することによって、第1のパルス401Aで送出される熱は、第2のパルス401Bが送出される前の熱を消散させるのにより短時間ですみ、これは、パルス間の周期が大きくされる場合よりも、基板で達成されるピーク温度を高いものにする。このように、周期を調整することによって、エネルギーおよび溶解温度は容易にコントロール可能である。一態様では、各パルスは単独では基板を溶解温度にするのに十分なエネルギーを含有していないが、パルスの結合によって領域202は溶解温度に達することができることを保証するのが望ましい。例えば2つ以上のパルスなどの複数のパルスを送出する当該プロセスは、基板材料および単一パルスエネルギーの送出が経験する熱ショックを削減する傾向がある。熱ショックは基板のダメージにつながり、また基板で実行される後続の処理ステップで欠陥を作成する粒子を生成する恐れがある。   [0074] In one embodiment, two or more pulses of electromagnetic radiation are delivered to the region of the substrate at different times, so that the temperature of the region of the substrate surface is easily controllable. FIG. 4F graphically shows a plot of two pulses 401A and 401B delivered at various distances at time intervals, ie, period (t), to selectively dissolve a region on the surface of the substrate. It is shown. In this configuration, the peak temperature reached by the region on the substrate surface can be easily controlled by adjusting the period (t) between subsequent pulses. For example, by shortening the period (t) between pulses, that is, the frequency, the heat delivered by the first pulse 401A is shorter in time to dissipate the heat before the second pulse 401B is delivered. This makes the peak temperature achieved at the substrate higher than when the period between pulses is increased. Thus, the energy and the melting temperature can be easily controlled by adjusting the period. In one aspect, each pulse alone does not contain enough energy to bring the substrate to the melting temperature, but it is desirable to ensure that the region 202 can reach the melting temperature by combining the pulses. The process of delivering multiple pulses, eg, two or more pulses, tends to reduce the thermal shock experienced by the delivery of substrate material and single pulse energy. A heat shock can lead to damage to the substrate and can generate particles that create defects in subsequent processing steps performed on the substrate.

[0075]図4Fを参照すると、一実施形態では、レーザーなどの2つ以上のエネルギー源は、時間の関数として基板の表面の熱プロファイルを成形するために順次操作される。例えば、レーザーや1アレイのレーザーは、時間tで基板の表面を温度Tに高めるパルス401Aを送出可能である。t前またはこの終了時に、第2のパルス402Bは、時間tで基板温度を温度Tにする第2のレーザーから、あるいは相前後して動作する複数のレーザーから送出される。熱プロファイルは従って、複数のレーザーから送出された順次パルスのエネルギーをコントロールすることによって成形可能である。このプロセスは、ドーパント拡散およびドーパント拡散の方向をコントロールする用途などの熱処理利点を有するが、これらに制限されない。 [0075] Referring to FIG. 4F, in one embodiment, two or more energy sources, such as a laser, are operated sequentially to shape the thermal profile of the surface of the substrate as a function of time. For example, a laser or an array of lasers can deliver a pulse 401A that raises the surface of the substrate to a temperature T 0 at time t 1 . t 1 before or during the completion of the second pulse 402B is delivered from a plurality of lasers operating from a second laser to the substrate temperature to the temperature T 1, or one behind the other in time t 2. The thermal profile can thus be shaped by controlling the energy of sequential pulses delivered from multiple lasers. This process has heat treatment benefits such as, but not limited to, dopant diffusion and applications that control the direction of dopant diffusion.

電磁放射パルス
[0076]シリコン含有基板、または熱処理を必要とする別の材料から構成される基板の表面に十分な電磁放射(光)を送出するために、以下のプロセスコントロールが使用可能である。
Electromagnetic radiation pulse
[0076] The following process controls can be used to deliver sufficient electromagnetic radiation (light) to the surface of a silicon-containing substrate or a substrate composed of another material that requires heat treatment.

[0077]一実施形態では、レーザーなどの2つ以上の電磁エネルギー源は、熱処理される表面の熱プロファイルを成形するために順次操作され、この場合レーザーはパルス間エネルギー変動を補正するように操作される。一態様では、図1および9に概略的に図示されている源20は、光学放射源(例えば、レーザー)、電子ビーム源、イオンビーム源および/またはマイクロ波エネルギー源などの2つ以上の電磁エネルギー源を含有するが、これらに制限されない。パルスレーザーなどのデバイスからのパルス間エネルギーは各パルスの百分率変化を有することもある。パルスエネルギーの変動は基板の熱プロセスには受容されないことがある。このパルス変動を補正するために、1つ以上のレーザーが、基板温度を高めるパルスを送出する。そして送出されたパルスと、送出中のパルスのエネルギーや立ち上がり時間を監視するように適合されている電子コントローラー(例えば、図1のコントローラー21)は、熱プロファイル(例えば、時間の関数としての基板の領域の温度)をプロセス目標内にあるように「トリミング」つまり調整するのに必要なエネルギー量を算出し、また第2の小型レーザーまたは小型レーザーシリーズに最終エネルギーを送出して熱処理を完了させることを命令するために使用される。電子コントローラーは概して、基板に送出されるエネルギーおよび/またはパルス波長を監視するために1つ以上の従来の放射検出器を使用する。小型レーザーはまたパルス出力エネルギーのピーク間変動を有することもあるが、これらは表面処置の開始時には初期パルス(または複数のパルス)よりも実質的に少ないエネルギーをパルスごとに送出するため、このエラーは概してプロセス限度内である。電子コントローラーは従って、パルスによって送出されたエネルギーの変動を補償することによって、所望のエネルギーレベルが熱プロセス中に送出されることを保証するように適合されている。   [0077] In one embodiment, two or more electromagnetic energy sources, such as a laser, are operated sequentially to shape the thermal profile of the surface to be heat treated, where the laser is operated to correct for interpulse energy variations. Is done. In one aspect, the source 20 schematically illustrated in FIGS. 1 and 9 includes two or more electromagnetics, such as an optical radiation source (eg, a laser), an electron beam source, an ion beam source, and / or a microwave energy source. Contains energy sources, but is not limited to these. Interpulse energy from devices such as pulsed lasers may have a percentage change for each pulse. Variations in pulse energy may not be accepted by the substrate thermal process. To correct for this pulse variation, one or more lasers send out pulses that increase the substrate temperature. An electronic controller (eg, controller 21 in FIG. 1) that is adapted to monitor the delivered pulse and the energy and rise time of the pulse being delivered is a thermal profile (eg, the substrate as a function of time). Calculate the amount of energy required to “trim” or adjust the (region temperature) to be within the process target and also deliver the final energy to a second small laser or small laser series to complete the heat treatment Used to command. Electronic controllers generally use one or more conventional radiation detectors to monitor the energy delivered to the substrate and / or the pulse wavelength. Small lasers may also have peak-to-peak variations in pulse output energy, but this error is delivered because each pulse delivers substantially less energy than the initial pulse (or pulses) at the beginning of the surface treatment. Is generally within process limits. The electronic controller is therefore adapted to ensure that the desired energy level is delivered during the thermal process by compensating for variations in the energy delivered by the pulses.

[0078]一態様では、上記の2つ以上のエネルギー源はまた、カラー周波数の帯域幅、複数の波長、単一または複数の時間空間レーザーモードおよび偏向状態の単色(波長)のレーザー光を使用して実現されてもよい。   [0078] In one aspect, the two or more energy sources described above also use monochromatic (wavelength) laser light in a color frequency bandwidth, multiple wavelengths, single or multiple spatio-temporal laser modes and deflection states May be realized.

[0079]1つまたは複数のレーザーの出力は、基板表面への送出の正確な空間時間エネルギープロファイルを有することはない。従って、マイクロレンズを使用してレーザーの出力を成形するシステムが、基板表面で均一な空間エネルギー分布を作成するために使用される。マイクロレンズのガラスタイプおよび形状の選択は、パルスレーザーエネルギーを基板表面に送出するのに必要な光列における熱レンズ効果を補償する。   [0079] The power of the laser or lasers does not have an accurate spatiotemporal energy profile for delivery to the substrate surface. Therefore, a system that uses a microlens to shape the output of the laser is used to create a uniform spatial energy distribution on the substrate surface. The choice of glass type and shape of the microlens compensates for the thermal lens effect in the light train necessary to deliver pulsed laser energy to the substrate surface.

[0080]スペックルとして知られている基板表面におけるパルスエネルギーの高周波数変動は、入射エネルギーの構成的および破壊的な位相干渉の隣接領域によって作成される。スペックル補償は以下のものを含むことがある:急速な変動が1つまたは複数のレーザーパルスの熱処理時間よりも実質的に早くなるように基板における位相を急速に変更する表面音波デバイスと、レーザーパルスのパルス付加と、レーザーパルスの交互偏向、例えば、線形偏向されるが非平行条件で偏向状態(eベクトル)を有する複数の同時または遅延パルスの送出。   [0080] High frequency fluctuations in pulse energy at the substrate surface, known as speckle, are created by adjacent regions of constructive and destructive phase interference of incident energy. Speckle compensation may include: a surface acoustic wave device that rapidly changes phase in the substrate such that the rapid variation is substantially faster than the heat treatment time of one or more laser pulses, and a laser Pulse addition of pulses and alternating deflection of laser pulses, eg delivery of multiple simultaneous or delayed pulses that are linearly deflected but have a deflection state (e-vector) in non-parallel conditions.

パターン化基板に形成された熱安定化構造
[0081]一実施形態では、図5A〜図5Cに示されているように、均質層(図5Bの項目110)は基板の表面に堆積されて、基板の表面が電磁放射源(図示せず)から送出された電磁エネルギー150に曝される場合に溶解されるシリコン領域112の深度や容積の変動を縮小する。溶解された領域の深度や容積の変動は、パターン化基板の種々の領域の質量密度、放射エネルギーが作用する材料の吸収係数、および材料の種々の物理的および熱的特性(例えば、熱伝導率、熱容量、材料厚)の変動によって影響される。一般的に、電磁放射源は、電磁エネルギーを基板の表面に送出して、基板表面の部分を熱処理またはアニーリングするように設計されている。通常の電磁放射源は、光学放射源(例えば、レーザー)、電子ビーム、イオンビームまたはマイクロ波源を含むことがあるが、これらに制限されない。
Thermal stabilization structure formed on patterned substrate
[0081] In one embodiment, as shown in FIGS. 5A-5C, a homogeneous layer (item 110 in FIG. 5B) is deposited on the surface of the substrate such that the surface of the substrate is an electromagnetic radiation source (not shown). ) To reduce the variation in depth and volume of the silicon region 112 to be dissolved when exposed to the electromagnetic energy 150 delivered from the device. Variations in the depth and volume of the dissolved area can be attributed to the mass density of the various areas of the patterned substrate, the absorption coefficient of the material on which the radiant energy acts, and various physical and thermal properties of the material (eg, thermal conductivity). , Heat capacity, material thickness) variation. In general, electromagnetic radiation sources are designed to deliver electromagnetic energy to the surface of the substrate to heat treat or anneal portions of the substrate surface. Conventional electromagnetic radiation sources may include, but are not limited to, optical radiation sources (eg, lasers), electron beams, ion beams, or microwave sources.

[0082]図5A〜図5Cおよび図6A〜図6Cに図示されている基板100の表面102に形成されたデバイス構造は本明細書に説明されている本発明の範囲を制限するものではないが、これは、例えばシリコン領域112(例えば、MOSデバイスにおけるソースまたはドレイン領域)が、本明細書に説明されている本発明の範囲から逸脱することなく特徴部101(例えば、MOSデバイスのゲート)の位置に対して昇降可能であるためである。半導体デバイスサイズが縮小すると、基板の表面に形成されているデバイスの構成要素の位置および形状は、デバイス製造性およびデバイス性能を改良するように変化する。   [0082] Although the device structure formed on the surface 102 of the substrate 100 illustrated in FIGS. 5A-5C and 6A-6C is not intended to limit the scope of the invention described herein. This is because, for example, the silicon region 112 (eg, a source or drain region in a MOS device) of the feature 101 (eg, the gate of a MOS device) without departing from the scope of the invention described herein. This is because the position can be raised and lowered. As semiconductor device sizes shrink, the location and shape of device components formed on the surface of a substrate change to improve device manufacturability and device performance.

[0083]図5Aは、基板100の表面102に形成されている複数の特徴部101およびシリコン領域112を有する基板100の断面図を図示している。図5Aに示されているように、表面102は、様々な距離で横方向に間隔を空けられている複数の特徴部101を有している。一態様では、特徴部101は「ゲート」であり、シリコン領域112は、基板表面に金属酸化膜半導体(MOS)デバイスを形成するために使用される「ソース/ドレイン領域」である。図5Aに示されている構成では、入射電磁エネルギー150は表面102に作用して、基板の表面102の一部の領域に入射エネルギーを吸収させ、場合によっては溶解領域113を形成させる。入射電磁エネルギー150に曝された種々の材料の物理的、熱的および光学特性は、送出エネルギーへの暴露時に表面102の種々のエリアが溶解するか否かを判断する。特徴部101がポリシリコンゲートの場合、波長<800nmでのレーザーからの吸収エネルギーは、例えばMOSデバイスのソースまたはドレイン領域に見られるようなNタイプまたはPタイプドープシリコンを含有するシリコン領域112によって吸収されるエネルギーよりかなり少ないと思われる。従って、特徴部101の熱容量および熱質量、およびシリコン領域112に対するこれらの相対的位置ゆえに、特徴部101に隣接するエリアの送出電磁エネルギー150は、溶解領域113からの熱の拡散ゆえにより冷たいままであると思われる。特徴部101への熱の損失は溶解領域113を形成するのに使用可能なエネルギーを削減するため、溶解領域113の深度および/または容積に影響を与える。従って、基板の表面のパターン密度の変動を削減する方法が必要である。   FIG. 5A illustrates a cross-sectional view of the substrate 100 having a plurality of features 101 and silicon regions 112 formed on the surface 102 of the substrate 100. As shown in FIG. 5A, the surface 102 has a plurality of features 101 that are laterally spaced at various distances. In one aspect, feature 101 is a “gate” and silicon region 112 is a “source / drain region” that is used to form a metal oxide semiconductor (MOS) device on the substrate surface. In the configuration shown in FIG. 5A, the incident electromagnetic energy 150 acts on the surface 102 to cause the incident energy to be absorbed in a partial region of the surface 102 of the substrate, and in some cases to form a dissolution region 113. The physical, thermal and optical properties of various materials exposed to incident electromagnetic energy 150 determine whether various areas of surface 102 will dissolve upon exposure to delivery energy. If the feature 101 is a polysilicon gate, the absorbed energy from the laser at a wavelength <800 nm is absorbed by a silicon region 112 containing N-type or P-type doped silicon, such as found in the source or drain region of a MOS device. Seems to be much less than the energy produced. Thus, due to the heat capacity and thermal mass of feature 101 and their relative position with respect to silicon region 112, the electromagnetic energy 150 delivered in the area adjacent to feature 101 remains cooler due to the diffusion of heat from melting region 113. It appears to be. The loss of heat to the feature 101 affects the depth and / or volume of the melting region 113 because it reduces the energy available to form the melting region 113. Therefore, there is a need for a method that reduces variations in pattern density on the surface of the substrate.

[0084]図5Bは、基板100の表面102に形成されている複数の特徴部101と、シリコン領域112と均質層120とを有する基板10の断面図を図示している。図5Bは、均質層120の付加を除いて図5Aに類似している。一般的に、均質層120は基板100の表面102の熱容量をより均一にするために使用される。一実施形態では、均質層120が形成される材料と厚さは、基板の表面の熱容量のバランスをとり、基板表面全体の様々な質量密度の効果を削減することによって溶解領域113の深度および/または容積の変動を削減するように選択される。一般的に、均質層120の材料は、後続のアニーリングプロセス中に溶解せず、かつ、アニーリングプロセスが実行された後に基板の表面から選択的に除去可能になるように選択される。一態様では、均質層120は、例えばポリシリコン含有材料などの、特徴部101が形成される材料の組成と類似している材料である。別の態様では、均質層120はシリコンカーバイド含有材料や金属(例えば、チタン、窒化チタン、タンタル、タングステン)である。   [0084] FIG. 5B illustrates a cross-sectional view of the substrate 10 having a plurality of features 101 formed on the surface 102 of the substrate 100, a silicon region 112, and a homogeneous layer 120. FIG. FIG. 5B is similar to FIG. 5A except for the addition of a homogeneous layer 120. In general, the homogeneous layer 120 is used to make the heat capacity of the surface 102 of the substrate 100 more uniform. In one embodiment, the material and thickness from which the homogeneous layer 120 is formed balances the heat capacity of the surface of the substrate and reduces the depth and / or depth of the melting region 113 by reducing the effects of various mass densities across the substrate surface. Or selected to reduce volume variation. In general, the material of the homogeneous layer 120 is selected so that it does not dissolve during the subsequent annealing process and can be selectively removed from the surface of the substrate after the annealing process has been performed. In one aspect, the homogeneous layer 120 is a material that is similar in composition to the material from which the feature 101 is formed, such as a polysilicon-containing material. In another aspect, the homogeneous layer 120 is a silicon carbide-containing material or metal (eg, titanium, titanium nitride, tantalum, tungsten).

[0085]好ましくは、均質層120の厚さ(例えば、d)は、デバイス構造の熱容量が均一であるように選択される。一態様では、均質層120の厚さdは以下の式によって規定される:
=(α0.5×[d/((α0.5)]
ここで、
=特徴部101の厚さ(図5B参照)
α=k/(pp1)および
α=k/(pp2
ここでkは均質層を形成するために使用される材料の熱伝導率に等しく、pは均質層120を形成するために使用される材料の質量密度に等しく、Cp1は均質層120を形成するために使用される材料の熱容量に等しく、kは特徴部101を形成するために使用される材料の熱伝導率に等しく、pは特徴部101を形成するために使用される材料の質量密度に等しく、Cp2は特徴部101を形成するために使用される材料の熱容量に等しい。
[0085] Preferably, the thickness (eg, d 1 ) of the homogeneous layer 120 is selected such that the heat capacity of the device structure is uniform. In one aspect, the thickness d 1 of the homogeneous layer 120 is defined by the following formula:
d 1 = (α 1 ) 0.5 × [d 2 / ((α 2 ) 0.5 )]
here,
d 2 = thickness of the feature 101 (see FIG. 5B)
α 1 = k 1 / (p 1 C p1 ) and α 2 = k 2 / (p 2 C p2 )
Where k 1 is equal to the thermal conductivity of the material used to form the homogeneous layer, p 1 is equal to the mass density of the material used to form the homogeneous layer 120, and C p1 is equal to the homogeneous layer 120. Is equal to the heat capacity of the material used to form, k 2 is equal to the thermal conductivity of the material used to form feature 101, and p 2 is used to form feature 101. It is equal to the mass density of the material and C p2 is equal to the heat capacity of the material used to form the feature 101.

[0086]図6Aは、基板100の表面102に均質層120を形成するために使用可能な一連の方法ステップを図示している。図6Aおよび6Bに示されているステップ190において、均質層120は、化学気相堆積法(CVD)、プラズマCVD、原子層堆積法(ALD)、プラズマALDまたはスピンコーティングタイプ堆積プロセスなどの従来の堆積プロセスを使用して基板100の表面102(例えば、特徴部101)に堆積される。図6Aおよび6Cに示されているステップ192において、均質層120を含有する基板100の表面102は化学的機械的研磨(CMP)プロセスを使用して平坦化される。図6Aおよび6Dに示されているステップ194において、均質層は次いで、所望の厚さdが達成されるまで、ウェットエッチングまたはドライエッチングタイププロセスなどの選択的材料除去プロセスを使用して選択的にエッチングされる。次に、多量の入射電磁エネルギーが、溶解領域113に含有されている材料の均一なアニーリング/溶解をもたらすように基板表面に送出可能である。 FIG. 6A illustrates a series of method steps that can be used to form a homogeneous layer 120 on the surface 102 of the substrate 100. In step 190 shown in FIGS. 6A and 6B, the homogeneous layer 120 is formed by conventional vapor deposition (CVD), plasma CVD, atomic layer deposition (ALD), plasma ALD or spin coating type deposition processes, such as. A deposition process is used to deposit on surface 102 (eg, feature 101) of substrate 100. In step 192 shown in FIGS. 6A and 6C, the surface 102 of the substrate 100 containing the homogeneous layer 120 is planarized using a chemical mechanical polishing (CMP) process. In step 194 shown in FIGS. 6A and 6D, the homogeneous layer is then selectively used using a selective material removal process such as a wet etch or dry etch type process until the desired thickness d 1 is achieved. Is etched. Next, a large amount of incident electromagnetic energy can be delivered to the substrate surface to provide uniform annealing / dissolution of the material contained in the dissolution region 113.

均質層上の吸収層
[0087]図5Cは、基板の表面上の種々の領域の光学特性を調整するために、追加層125をこの上に堆積している図5Bに図示されているデバイスを含有する基板100の断面図である。一態様では、層125は、基板100の種々の領域に送出された電磁エネルギー150の吸収を改良するために付加される。一実施形態では、層125は上記のコーティング225または層226と同じである。図5Cに示されているように、層125は、シリコン領域112に送出されたエネルギーの選択率を改良するために均質層120上に優先的に形成される。層125の所望の厚さは、送出された電磁エネルギー150の波長が変化するのに伴って変化することがある。
Absorbing layer on homogeneous layer
[0087] FIG. 5C is a cross-section of substrate 100 containing the device illustrated in FIG. 5B with additional layer 125 deposited thereon to adjust the optical properties of various regions on the surface of the substrate. FIG. In one aspect, layer 125 is added to improve the absorption of electromagnetic energy 150 delivered to various regions of substrate 100. In one embodiment, layer 125 is the same as coating 225 or layer 226 described above. As shown in FIG. 5C, the layer 125 is preferentially formed on the homogeneous layer 120 to improve the selectivity of the energy delivered to the silicon region 112. The desired thickness of the layer 125 may change as the wavelength of the transmitted electromagnetic energy 150 changes.

[0088]図6A〜図6Gを参照すると、一実施形態では、ステップ190〜194を実行した後、ステップ196および198は、選択的に堆積された吸収層125を形成するために使用可能である。図6Eおよび6Fに示されているステップ196において、層125は、上記のステップ190〜194で形成された特徴部101および均質層120上に堆積される。図6Eおよび6Gに示されているステップ198において、層125は、化学的機械的研磨(CMP)プロセスを使用して通常は完了される平坦化プロセスなどの材料除去ステップを実行して特徴部101の上部表面から除去される。一態様では、堆積層125は、溶解領域103と溶解領域間の領域に異なる量の熱を吸収および透過させることによって基板表面上の所望の1つ以上の領域間の融点コントラストを変えるために使用され、これらの領域は層125および均質層120と直接接触していない。   [0088] Referring to FIGS. 6A-6G, in one embodiment, after performing steps 190-194, steps 196 and 198 can be used to form a selectively deposited absorber layer 125. . In step 196 shown in FIGS. 6E and 6F, layer 125 is deposited on feature 101 and homogeneous layer 120 formed in steps 190-194 above. In step 198 shown in FIGS. 6E and 6G, layer 125 is subjected to a material removal step, such as a planarization process, which is typically completed using a chemical mechanical polishing (CMP) process. Removed from the upper surface of the substrate. In one aspect, the deposited layer 125 is used to change the melting point contrast between the desired region or regions on the substrate surface by absorbing and transmitting different amounts of heat to the region between the dissolution region 103 and the dissolution region. These regions are not in direct contact with the layer 125 and the homogeneous layer 120.

回折格子
[0089]異なるサイズ、形状および間隔の特徴部が電磁放射に曝される場合に生じる問題は、電磁放射の波長に応じて特徴部に印加されるエネルギー量が、所望の領域に送出されるエネルギー量やエネルギー密度(例えば、Watts/m)を望ましくなく変化させる回折効果ゆえに構成的または破壊的干渉を経験し得るということである。図7を参照すると、特徴部101の間隔は、入射放射の波長が表面全体で変化して、基板100の表面102全体に送出されるエネルギー密度の変動をもたらすように異なる場合がある。
Diffraction grating
[0089] The problem that arises when features of different sizes, shapes, and spacings are exposed to electromagnetic radiation is that the amount of energy applied to the feature, depending on the wavelength of the electromagnetic radiation, is the energy delivered to the desired region That is, constitutive or destructive interference can be experienced due to diffraction effects that undesirably change the amount or energy density (eg, Watts / m 2 ). Referring to FIG. 7, the spacing of the features 101 may be different so that the wavelength of incident radiation varies across the surface, resulting in a variation in energy density delivered across the surface 102 of the substrate 100.

[0090]一実施形態では、図7に示されているように、層726が、特徴部101のすべての高さを超える厚さに成長されて、基板の表面に形成されたデバイス(例えば、特徴部101)間の不規則な間隔で作成される回折効果を削減する。一態様では、図示されていないが、層726の表面720はさらに平坦化されて(例えば、CMPプロセス)、基板10の表面720の内在的形状変動を削減する。一般的に、基板の表面の形状変動を削減して、アニーリングプロセス中に送出されたエネルギーの波長の約4分の1未満(<1/4λ)の基板の表面全体のピーク/バリー変動(図7の「PV」参照)を有することが望ましい。アニーリングプロセス中に送出されたエネルギーの波長の約5倍より大きな(例えば、>5λ)基板の表面全体のピーク間の平均周期(図7の「PP」を参照)を有することも望ましい。一例では、800nm波長のレーザー源を使用する場合、表面720の内在的形状変動を、約200nm未満のピーク/バリー変動および約4000nmより大きなピーク変動間の周期に削減することが望ましい。一態様では、層726は、CVD堆積プロセスによって堆積された炭素層や、上記の層125、コーティング225および層226と関連して論じられている材料である。   [0090] In one embodiment, as shown in FIG. 7, a layer 726 is grown to a thickness that exceeds all the height of the feature 101 to form a device (eg, Reduce diffraction effects created at irregular intervals between features 101). In one aspect, although not shown, the surface 720 of the layer 726 is further planarized (eg, a CMP process) to reduce intrinsic shape variations of the surface 720 of the substrate 10. Generally, the peak / valley variation across the surface of the substrate (<1 / 4λ) less than about a quarter of the wavelength of energy delivered during the annealing process (see FIG. 7 "PV"). It is also desirable to have an average period (see “PP” in FIG. 7) between peaks across the surface of the substrate that is greater than about 5 times the wavelength of energy delivered during the annealing process (eg,> 5λ). In one example, when using an 800 nm wavelength laser source, it is desirable to reduce the intrinsic shape variation of surface 720 to a period between peak / valley variation of less than about 200 nm and peak variation of greater than about 4000 nm. In one aspect, layer 726 is a carbon layer deposited by a CVD deposition process, or the materials discussed in connection with layer 125, coating 225, and layer 226 described above.

[0091]一実施形態では、入射電磁放射に曝された基板の表面に形成されたデバイスの設計は、所望の回折パターンが異なるゾーン間の融点コントラストを改良するために作成されるように、具体的に設計および配列される。種々の特徴部の物理的配列は従って、基板の表面をアニーリングするために使用される入射放射「B」(図7)の1つまたは複数の所望の波長に対して調整される。   [0091] In one embodiment, the design of the device formed on the surface of the substrate exposed to incident electromagnetic radiation is such that the desired diffraction pattern is created to improve the melting point contrast between different zones. Designed and arranged. The physical arrangement of the various features is thus adjusted for one or more desired wavelengths of the incident radiation “B” (FIG. 7) used to anneal the surface of the substrate.

基板へのアモルファス領域の形成
[0092]一実施形態では、1つ以上の処理ステップが実行されて、オリジナルの単結晶または多結晶材料でアモルファス領域140を選択的に形成して、後続の注入処理ステップ中に作成されるダメージ量を削減し、かつ基板の他のエリアに対するアモルファス領域140の融点コントラストを増大させる。アモルファスシリコン層などのアモルファス領域へのドーパント注入は、結晶格子構造(例えば、単結晶シリコン)に見られる種々の平面全体の密度変動の欠如ゆえに、固定イオンエネルギーで所望のドーパントの注入深度を均質化する傾向がある。アモルファス層の注入は、結晶構造における従来の注入プロセスに普通は見られる結晶ダメージを削減する傾向がある。従って、アモルファス領域140が上記のようにアニーリングタイププロセスを使用して引き続き再溶解される場合、形成された領域は、より均質なドーピングプロファイルおよび少数の欠陥となるように再結晶化可能である。再溶解プロセスはまた、注入プロセスから作成されたダメージを除去する。アモルファス領域140の形成はまた影響を受けた領域の融点を低下させ、これは従って、アモルファス領域140と隣接単結晶領域141間の融点コントラストを改良することができる。
Formation of amorphous regions on the substrate
[0092] In one embodiment, one or more processing steps are performed to selectively form amorphous regions 140 with the original single crystal or polycrystalline material to create damage during subsequent implantation processing steps. Reduce the amount and increase the melting point contrast of the amorphous region 140 relative to other areas of the substrate. Dopant implantation into amorphous regions such as amorphous silicon layers homogenizes the desired dopant implantation depth with fixed ion energy because of the lack of density variation across the various planes found in crystal lattice structures (eg single crystal silicon) Tend to. Amorphous layer implantation tends to reduce the crystal damage normally seen in conventional implantation processes in crystalline structures. Thus, if the amorphous region 140 is subsequently redissolved using an annealing type process as described above, the formed region can be recrystallized to have a more homogeneous doping profile and fewer defects. The remelting process also removes the damage created from the injection process. Formation of the amorphous region 140 also reduces the melting point of the affected region, which can therefore improve the melting point contrast between the amorphous region 140 and the adjacent single crystal region 141.

[0093]一実施形態では、少用量のエネルギー(図8の項目「B」)が基板10に送出されて、所望の領域(例えば、アモルファス領域140)のアモルファスシリコン層を選択的に修正および形成する。一態様では、1パルスつまり1用量の電磁エネルギーがかなり短い周期で所望の領域に送出されて、影響を受けたアモルファス領域140の急速溶解および冷却をもたらし、基板にアモルファス領域を生成する。この場合、1パルスのエネルギーは、加熱領域の高い再成長速度を生成してアモルファス領域を生成できる程度の短い期間である。一態様では、加熱領域の再成長速度は約12m/秒より速い。   [0093] In one embodiment, a small dose of energy (item “B” in FIG. 8) is delivered to the substrate 10 to selectively modify and form an amorphous silicon layer in a desired region (eg, amorphous region 140). To do. In one aspect, a pulse or dose of electromagnetic energy is delivered to the desired region with a fairly short period of time, resulting in rapid dissolution and cooling of the affected amorphous region 140, creating an amorphous region in the substrate. In this case, the energy of one pulse is a short period that can generate an amorphous region by generating a high regrowth rate of the heating region. In one aspect, the regrowth rate of the heated region is greater than about 12 m / sec.

[0094]一態様では、1パルスのエネルギーが、約10−8秒未満の周期でシリコン基板の所望の領域に送出される。本態様では、1パルスのエネルギーは、10W/cmより大きく、かつ好ましくは約10−8秒未満の周期で約10〜約1010W/cmの範囲のピーク電力を送出するレーザーから送出されてもよい。一態様では、アモルファスシリコン層を作成するための送出用量の電力、パルス期間および形状は、所望のサイズ、形状および深度のアモルファス領域140を達成するために変更されてもよい。一態様では、送出されたエネルギー用量の波長は所望の溶解プロファイルを達成するように選択または変更される。一態様では、波長はUVまたはIR波長であってもよい。一態様では、レーザーの波長は約800nm未満であってもよい。別の態様では、波長は約532nmまたは193nmであってもよい。 [0094] In one aspect, a pulse of energy is delivered to a desired region of the silicon substrate with a period of less than about 10-8 seconds. In this aspect, the energy of one pulse delivers a peak power in the range of about 10 9 to about 10 10 W / cm 2 with a period greater than 10 9 W / cm 2 and preferably less than about 10 −8 seconds. It may be delivered from a laser. In one aspect, the delivered dose power, pulse duration and shape to create the amorphous silicon layer may be varied to achieve an amorphous region 140 of the desired size, shape and depth. In one aspect, the wavelength of the delivered energy dose is selected or changed to achieve a desired dissolution profile. In one aspect, the wavelength may be a UV or IR wavelength. In one aspect, the wavelength of the laser may be less than about 800 nm. In another aspect, the wavelength may be about 532 nm or 193 nm.

[0095]一実施形態では、マスクが、基板表面の種々の領域にアモルファスエリアを優先的に形成するために使用される。   [0095] In one embodiment, a mask is used to preferentially form amorphous areas in various regions of the substrate surface.

電磁放射送出
[0096]図9は、エネルギー源20が裏側表面901から基板10のアニーリング領域12に多量のエネルギーを送出してアニーリング領域12内のある所望の領域を優先的に溶解するように適合されている一実施形態を図示する処理チャンバの領域の断面図である。一態様では、アニーリング領域12などの、基板の1つ以上の画成領域が、所与の時間にエネルギー源20からの放射に曝される。一態様では、基板10の複数のエリアが、エネルギー源20から裏側表面901を介して送出された所望量のエネルギーに順次曝されて、基板の所望の領域の優先的溶解をもたらす。一態様では、アニーリング領域12は、基板10の上部表面902に形成されているダイ(例えば、図1の項目番号13)や半導体デバイスのサイズに一致するようにサイズ設定されている。一態様では、アニーリング領域12の境界は、各ダイの境界を画成する「カーフ」または「スクライブ」ライン内に嵌合するように整列およびサイズ設定されている。従って、エネルギー源20からのエネルギーへの様々な量の暴露によるプロセス変動量は最小化されるが、これは、順次配置されるアニーリング領域12間の重複が最小化可能であるためである。一例では、アニーリング領域12は、約22mm×約33mmのサイズの矩形領域である。
Electromagnetic radiation transmission
[0096] FIG. 9 is adapted such that the energy source 20 delivers a large amount of energy from the back surface 901 to the annealing region 12 of the substrate 10 to preferentially dissolve certain desired regions within the annealing region 12. 2 is a cross-sectional view of a region of a processing chamber illustrating one embodiment. FIG. In one aspect, one or more defined regions of the substrate, such as annealing region 12, are exposed to radiation from energy source 20 at a given time. In one aspect, multiple areas of the substrate 10 are sequentially exposed to a desired amount of energy delivered from the energy source 20 via the backside surface 901 to provide preferential dissolution of desired regions of the substrate. In one aspect, the annealing region 12 is sized to match the size of a die (eg, item number 13 in FIG. 1) or semiconductor device formed on the top surface 902 of the substrate 10. In one aspect, the boundaries of the annealing region 12 are aligned and sized to fit within the “kerf” or “scribe” lines that define the boundaries of each die. Accordingly, the amount of process variation due to different amounts of exposure to energy from the energy source 20 is minimized because the overlap between the sequentially disposed annealing regions 12 can be minimized. In one example, the annealing region 12 is a rectangular region having a size of about 22 mm × about 33 mm.

[0097]一実施形態では、基板10は、基板10の裏側表面901にエネルギー源20から送出されたエネルギーを受け取らせる開口912を有する基板サポート910に形成されている基板サポート領域911に位置決めされている。この構成では、領域903を加熱するためにエネルギー源20から放出された放射「B」は、放射エネルギーの一部を吸収するように適合されている。エネルギー源20は概して、電磁エネルギーを送出して基板表面のある所望の領域を優先的に溶解するように適合されている。通常の電磁エネルギー源は、光学放射源(例えば、レーザー)、電子ビーム源、イオンビーム源および/またはマイクロ波エネルギー源を含むがこれらに制限されない。一態様では、基板10は、所望の期間に1つ以上の適切な波長で放射するレーザーからのエネルギーパルスに曝される。一態様では、エネルギー源20からのエネルギーパルスは、アニーリング領域12全体に送出されたエネルギー量および/またはパルス周期にわたって送出されたエネルギー量が最適化されて、ある所望のエリアの優先的溶解を高めるように調整される。一態様では、レーザーの波長は、放射の相当部分が基板10に配置されているシリコン層で吸収されるように調節される。シリコン含有基板で実行されたレーザーアニーリングプロセスについて、放射の波長は通常約800nm未満であるが、遠紫外線(UV)、赤外線(IR)または他の望ましい波長で送出可能である。いずれの場合も、アニーリングプロセスは概して、例えば約1秒未満の程度の比較的短時間に基板の所与の領域で生じる。   [0097] In one embodiment, the substrate 10 is positioned in a substrate support region 911 formed in the substrate support 910 having an opening 912 that causes the back surface 901 of the substrate 10 to receive energy delivered from the energy source 20. Yes. In this configuration, the radiation “B” emitted from the energy source 20 to heat the region 903 is adapted to absorb a portion of the radiant energy. The energy source 20 is generally adapted to deliver electromagnetic energy to preferentially dissolve certain desired areas of the substrate surface. Typical electromagnetic energy sources include, but are not limited to, optical radiation sources (eg, lasers), electron beam sources, ion beam sources, and / or microwave energy sources. In one aspect, the substrate 10 is exposed to energy pulses from a laser that emits at one or more suitable wavelengths for a desired period of time. In one aspect, the energy pulses from the energy source 20 are optimized for the amount of energy delivered across the annealing region 12 and / or the amount of energy delivered over the pulse period to enhance preferential dissolution of certain desired areas. To be adjusted. In one aspect, the wavelength of the laser is adjusted so that a substantial portion of the radiation is absorbed by the silicon layer disposed on the substrate 10. For laser annealing processes performed on silicon-containing substrates, the wavelength of radiation is typically less than about 800 nm, but can be transmitted at deep ultraviolet (UV), infrared (IR), or other desirable wavelengths. In either case, the annealing process generally occurs in a given area of the substrate in a relatively short time, such as on the order of less than about 1 second.

[0098]一態様では、エネルギー源20からの放射の波長は、基板が形成されるバルク材料が、入射放射の暴露によって優先的に溶解される上部表面902付近のエリアよりも入射放射に対してより透過的であるように選択される。一態様では、優先的に溶解される領域は、注入プロセス中に作成されるドーパント材料やイオン化結晶ダメージ(例えば、結晶欠陥、フレンケル欠陥、空乏)などの、基板の裏側を介して送出された多量のエネルギーを吸収する材料を含有する。一般的に、ドーパント材料はホウ素、リン、または半導体処理で使用された他の汎用ドーパント材料であってもよい。一実施形態では、基板が形成されるバルク材料はシリコン含有材料であり、放射される放射線の波長は約1マイクロメーターより大きい。別の態様では、エネルギー源20は、およそ9.4〜10.6マイクロメーターに集中する主要波長帯域幅を放射するように適合されているCOレーザーを含有する。さらに別の態様では、エネルギー源20は赤外線領域に波長を送出するように適合されており、これは概して約750nm〜約1mmである。 [0098] In one aspect, the wavelength of radiation from the energy source 20 is relative to incident radiation rather than the area near the upper surface 902 where the bulk material from which the substrate is formed is preferentially dissolved by exposure to incident radiation. Selected to be more transparent. In one aspect, the preferentially dissolved region is a high volume delivered through the back side of the substrate, such as dopant material or ionized crystal damage (eg, crystal defects, Frenkel defects, depletion) created during the implantation process. It contains materials that absorb the energy. In general, the dopant material may be boron, phosphorus, or other general purpose dopant materials used in semiconductor processing. In one embodiment, the bulk material from which the substrate is formed is a silicon-containing material and the wavelength of emitted radiation is greater than about 1 micrometer. In another aspect, the energy source 20 contains a CO 2 laser is adapted to emit principal wavelength band to concentrate to approximately 9.4 to 10.6 micrometers. In yet another aspect, the energy source 20 is adapted to deliver a wavelength in the infrared region, which is generally between about 750 nm and about 1 mm.

[0099]一実施形態では、吸収コーティング(図示せず)が基板10のアニーリング領域に配置されているため、基板の裏側を介して送出される入射放射は、基板を通過する前に吸収されることが可能である。一態様では、吸収コーティングは、チタン、窒化チタン、タンタルまたは他の適切な金属材料などの金属である。別の態様では、吸収コーティングはシリコンカーバイド材料、アモルファス炭素材料、または半導体デバイス製造で普通使用される他の適切な材料である。   [0099] In one embodiment, an absorbing coating (not shown) is disposed in the annealing region of the substrate 10, so that incident radiation transmitted through the back side of the substrate is absorbed before passing through the substrate. It is possible. In one aspect, the absorbent coating is a metal, such as titanium, titanium nitride, tantalum, or other suitable metallic material. In another aspect, the absorbent coating is a silicon carbide material, an amorphous carbon material, or other suitable material commonly used in semiconductor device manufacturing.

[0100]一実施形態では、光の2つの波長が基板の所望の領域に送出されるため、光の第1の波長は所望のアニーリング領域に見られるドーパントや他のイオン化結晶ダメージから基板の自由キャリア(例えば、電子や正孔)を生成するために使用され、この生成された自由キャリアは、第2の波長で基板の裏側を介して送出されたエネルギーを吸収する。一態様では、第1の波長は「緑色光」(例えば、約490nm〜約570nm)の波長および/またはより短い波長である。一実施形態では、第1の波長は所望の電力密度(W/cm)で、図9に示されているエネルギー源20から基板の反対側にある第2の源920から基板の所望の領域に送出される。別の実施形態では、2つの波長(例えば、第1および第2の波長)は基板の裏側を介して源20から送出される。さらに別の実施形態では、所望の電力密度(W/cm)の2つの波長(例えば、第1および第2の波長)は基板の裏側を介して2つの個別電磁放射源(図示せず)から送出される。 [0100] In one embodiment, since the two wavelengths of light are delivered to the desired region of the substrate, the first wavelength of light is free of the substrate from dopants and other ionized crystal damage found in the desired annealing region. Used to generate carriers (eg, electrons and holes), the generated free carriers absorb energy transmitted through the back side of the substrate at the second wavelength. In one aspect, the first wavelength is a “green light” (eg, from about 490 nm to about 570 nm) wavelength and / or a shorter wavelength. In one embodiment, the first wavelength is the desired power density (W / cm 2 ) and the desired area of the substrate from the second source 920 on the opposite side of the substrate from the energy source 20 shown in FIG. Is sent out. In another embodiment, two wavelengths (eg, first and second wavelengths) are emitted from source 20 through the back side of the substrate. In yet another embodiment, two wavelengths (eg, first and second wavelengths) of the desired power density (W / cm 2 ) are passed through the back side of the substrate through two separate electromagnetic radiation sources (not shown). Is sent from.

[0101]上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態はこの基本的範囲から逸脱することなく考案可能であり、またこの範囲は以下の請求項で判断される。   [0101] While the above is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, which scope is determined by the following claims. The

本明細書の実施形態に説明されている基板の画成領域に多量のエネルギーを投与するように適合されているエネルギー源の等尺図を図示している。FIG. 4 illustrates an isometric view of an energy source that is adapted to deliver a large amount of energy to a defined area of the substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態内の、図2Aに図示されている基板の領域への濃度対深度のグラフを図示している。FIG. 2D illustrates a concentration versus depth graph for the region of the substrate illustrated in FIG. 2A within an embodiment herein. 本明細書の実施形態内の、図2Bに図示されている基板の領域への濃度対深度のグラフを図示している。FIG. 2D illustrates a concentration versus depth graph for the region of the substrate illustrated in FIG. 2B within an embodiment herein. 本明細書の実施形態内の、図2Cに図示されている基板の領域への濃度対深度のグラフを図示している。FIG. 2D illustrates a concentration versus depth graph for a region of the substrate illustrated in FIG. 2C within an embodiment herein. 本明細書の実施形態に説明されている電磁エネルギーパルスの概略図である。FIG. 3 is a schematic diagram of electromagnetic energy pulses described in the embodiments herein. 本明細書の実施形態に説明されている電磁エネルギーパルスの概略図である。FIG. 3 is a schematic diagram of electromagnetic energy pulses described in the embodiments herein. 本明細書の実施形態に説明されている電磁エネルギーパルスの概略図である。FIG. 3 is a schematic diagram of electromagnetic energy pulses described in the embodiments herein. 本明細書の実施形態に説明されている電磁エネルギーパルスの概略図である。FIG. 3 is a schematic diagram of electromagnetic energy pulses described in the embodiments herein. 本明細書の実施形態に説明されている電磁エネルギーパルスの概略図である。FIG. 3 is a schematic diagram of electromagnetic energy pulses described in the embodiments herein. 本明細書の実施形態に説明されている電磁エネルギーパルスの概略図である。FIG. 3 is a schematic diagram of electromagnetic energy pulses described in the embodiments herein. 本明細書の実施形態に説明されている電磁エネルギーパルスの概略図である。FIG. 3 is a schematic diagram of electromagnetic energy pulses described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書に含有されている実施形態に説明されている基板の表面上に1つ以上の所望の層を形成する方法を図示している。FIG. 2 illustrates a method of forming one or more desired layers on the surface of a substrate described in the embodiments contained herein. 本明細書に説明されている実施形態内の、図6Aに図示されている方法(ステップ190)と関連して説明されている基板の領域の概略側面図を図示している。FIG. 6D illustrates a schematic side view of a region of the substrate described in connection with the method illustrated in FIG. 6A (step 190) within the embodiments described herein. 本明細書に説明されている実施形態内の、図6Aに図示されている方法(ステップ192)と関連して説明されている基板の領域の概略側面図を図示している。FIG. 6D illustrates a schematic side view of a region of the substrate described in connection with the method illustrated in FIG. 6A (step 192) within the embodiments described herein. 本明細書に説明されている実施形態内の、図6Aに図示されている方法(ステップ194)と関連して説明されている基板の領域の概略側面図を図示している。FIG. 6D illustrates a schematic side view of a region of the substrate described in connection with the method illustrated in FIG. 6A (step 194) within the embodiments described herein. 本明細書に含有されている実施形態に説明されている基板の表面上に1つ以上の所望の層を形成する方法を図示している。FIG. 2 illustrates a method of forming one or more desired layers on the surface of a substrate described in the embodiments contained herein. 本明細書に説明されている実施形態内の、図6Eに図示されている方法(ステップ196)と関連して説明されている基板の領域の概略側面図を図示している。FIG. 7D illustrates a schematic side view of a region of the substrate described in connection with the method illustrated in FIG. 6E (step 196) within the embodiments described herein. 本明細書に説明されている実施形態内の、図6Eに図示されている方法(ステップ198)と関連して説明されている基板の領域の概略側面図を図示している。FIG. 6D illustrates a schematic side view of a region of the substrate described in connection with the method illustrated in FIG. 6E (step 198) within the embodiments described herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の表面上の領域の概略側面図を図示している。FIG. 4 illustrates a schematic side view of a region on the surface of a substrate described in the embodiments herein. 本明細書の実施形態に説明されている基板の画成領域に多量のエネルギーを投与するように適合されているエネルギー源を有するシステムの概略側面図を図示している。FIG. 6 illustrates a schematic side view of a system having an energy source adapted to dispense a large amount of energy to a defined area of a substrate as described in embodiments herein.

符号の説明Explanation of symbols

10…基板、12…アニーリング領域、13…ダイ、15…熱交換デバイス、15A…抵抗加熱要素、15B…流体チャネル、15C…温度コントローラー、15D…低温冷却器、17…電気アクチュエーター、20…エネルギー源、21…コントローラー、100…基板、102…表面、112…シリコン領域、113…溶解領域、120…均質層、125…層、140…アモルファス領域、150…電磁エネルギー、200…電子デバイス、201…ドープ領域、202…領域、203…経路、205…表面、210…修正エリア、211…未修正エリア、215…ゲート、216…ゲート酸化膜層、221…基板バルク材料、224…埋め込み領域、225、226…コーティング、401…パルス、720…表面、726…層、910…基板サポート、911…基板サポート領域、912…開口 DESCRIPTION OF SYMBOLS 10 ... Board | substrate, 12 ... Annealing area | region, 13 ... Die, 15 ... Heat exchange device, 15A ... Resistance heating element, 15B ... Fluid channel, 15C ... Temperature controller, 15D ... Cryogenic cooler, 17 ... Electric actuator, 20 ... Energy source 21 ... Controller, 100 ... Substrate, 102 ... Surface, 112 ... Silicon region, 113 ... Dissolving region, 120 ... Homogeneous layer, 125 ... Layer, 140 ... Amorphous region, 150 ... Electromagnetic energy, 200 ... Electronic device, 201 ... Dope Region 202 202 region 203 path 205 surface modified 210 211 unmodified area 215 gate 216 gate oxide layer 221 substrate bulk material 224 buried region 225 226 ... coating, 401 ... pulse, 720 ... surface, 726 ... layer, 91 ... substrate support, 911 ... substrate supporting area, 912 ... opening

Claims (15)

基板を熱処理する方法であって、
1つ以上の領域内に第2の材料を配置することによって第1の材料から形成された基板の前記1つ以上の領域を修正するステップであって、前記第2の材料によって基板の1つ以上の領域を修正するステップが、前記1つ以上の領域に含有されている前記第1の材料の融点を低下させるように適合されているステップと、
前記基板の前記1つ以上の領域内に第3の材料を配置するステップと、
前記1つ以上の領域と熱連通している基板の表面に多量の電磁エネルギーを送出するステップであって、前記多量の電磁エネルギーが前記1つ以上の領域内の前記第1の材料を溶解させるように適合されているステップと、を備える方法。
A method of heat treating a substrate,
Modifying the one or more regions of the substrate formed from the first material by disposing a second material in the one or more regions, wherein the one of the substrates by the second material; Modifying the above regions is adapted to reduce the melting point of the first material contained in the one or more regions;
Disposing a third material in the one or more regions of the substrate;
Delivering a large amount of electromagnetic energy to a surface of a substrate in thermal communication with the one or more regions, wherein the large amount of electromagnetic energy dissolves the first material in the one or more regions. A step adapted to:
前記第1の材料が、シリコン、ゲルマニウム、ガリウムヒ素、ガリウムリンおよび窒化ガリウムからなる群より選択される、請求項1に記載の方法。   The method of claim 1, wherein the first material is selected from the group consisting of silicon, germanium, gallium arsenide, gallium phosphide, and gallium nitride. 前記第1の材料がシリコン含有材料であり、前記第2の材料が、ゲルマニウム、ヒ素、ガリウム、炭素、錫およびアンチモンからなる群より選択される、請求項1に記載の方法。   The method of claim 1, wherein the first material is a silicon-containing material and the second material is selected from the group consisting of germanium, arsenic, gallium, carbon, tin, and antimony. 前記第3の材料が、ヒ素、リン、アンチモン、ホウ素、アルミニウムおよびインジウムからなる群より選択される、請求項1に記載の方法。   The method of claim 1, wherein the third material is selected from the group consisting of arsenic, phosphorus, antimony, boron, aluminum, and indium. 前記第2の材料が、アルゴン、クリプトン、キセノンおよび窒素からなる群より選択される、請求項1に記載の方法。   The method of claim 1, wherein the second material is selected from the group consisting of argon, krypton, xenon and nitrogen. 基板を熱処理する方法であって、
修正されている1つ以上の第1の領域を有する基板を提供して、前記第1の領域の各々に含有されている前記材料の融点が前記基板の第2の領域に含有されている前記材料より低い温度で溶解するステップであって、前記第2の領域および前記第1の領域の各々は概して前記基板の表面に隣接しているステップと、
前記基板の前記表面にコーティングを堆積するステップであって、前記コーティングが前記基板の前記表面と異なる吸収および反射係数を有するステップと、
概して前記第1の領域の各々または前記第2の領域に隣接している前記基板の前記表面から前記コーティングの一部を除去するステップと、
前記1つ以上の第1の領域および前記第2の領域を含有する前記基板の前記表面上のエリアに多量の電磁エネルギーを送出するステップであって、前記多量の電磁エネルギーが前記1つ以上の第1の領域内の前記材料を優先的に溶解するステップと、を備える方法。
A method of heat treating a substrate,
Providing a substrate having one or more first regions that have been modified, wherein the melting point of the material contained in each of the first regions is contained in a second region of the substrate; Melting at a lower temperature than the material, wherein each of the second region and the first region is generally adjacent to the surface of the substrate;
Depositing a coating on the surface of the substrate, the coating having a different absorption and reflection coefficient than the surface of the substrate;
Removing a portion of the coating from the surface of the substrate generally adjacent to each of the first regions or the second region;
Delivering a large amount of electromagnetic energy to an area on the surface of the substrate containing the one or more first regions and the second region, wherein the large amount of electromagnetic energy is the one or more of the one or more regions. Preferentially dissolving the material in the first region.
前記第1の領域を修正するステップが、前記1つ以上の第1の領域の前記材料内に合金材料を配置する工程を含んでおり、前記合金材料が、ゲルマニウム、ヒ素、ガリウム、炭素、錫およびアンチモンからなる群より選択される、請求項6に記載の方法。   Modifying the first region includes disposing an alloy material within the material of the one or more first regions, the alloy material comprising germanium, arsenic, gallium, carbon, tin 7. The method of claim 6, wherein the method is selected from the group consisting of and antimony. 基板を熱処理する方法であって、
基板サポートに基板を位置決めするステップであって、前記基板が、第1の領域および第2の領域を含有する前記基板の表面に形成されている複数の特徴部を有するステップと、
前記第1および第2の領域にコーティングを堆積するステップであって、前記コーティングが形成される前記材料が所望の熱容量を有するステップと、
前記第1の領域の前記コーティングの厚さが所望の厚さを有するように前記コーティングの一部を除去するステップであって、前記コーティングの一部を除去した後の前記基板表面全体の平均熱容量が概して均一であるステップと、
前記第1の領域および前記第2の領域を含有するエリアに多量の電磁エネルギーを送出するステップであって、前記多量の電磁エネルギーが前記第1の領域内の前記材料を溶解させるステップと、を備える方法。
A method of heat treating a substrate,
Positioning the substrate on a substrate support, the substrate having a plurality of features formed on a surface of the substrate containing a first region and a second region;
Depositing a coating on the first and second regions, wherein the material from which the coating is formed has a desired heat capacity;
Removing a portion of the coating such that the thickness of the coating in the first region has a desired thickness, the average heat capacity of the entire substrate surface after removing the portion of the coating Are generally uniform steps;
Delivering a large amount of electromagnetic energy to an area containing the first region and the second region, the large amount of electromagnetic energy dissolving the material in the first region; and How to prepare.
前記第1の領域を修正するステップが、前記1つ以上の第1の領域の前記材料内に合金材料を配置する工程を含んでおり、前記合金材料が、ゲルマニウム、ヒ素、ガリウム、炭素、錫およびアンチモンからなる群より選択される、請求項8に記載の方法。   Modifying the first region includes disposing an alloy material within the material of the one or more first regions, the alloy material comprising germanium, arsenic, gallium, carbon, tin 9. The method of claim 8, wherein the method is selected from the group consisting of: and antimony. 基板を熱処理する方法であって、
前記基板の表面に形成されている第1の特徴部および第2の特徴部を有する前記基板を提供するステップであって、前記第2の特徴部が第1の領域および第2の領域を含有するステップと、
基板サポートに前記基板を位置決めするステップと、
前記第1および第2の特徴部にコーティングを堆積するステップと、
前記コーティングが前記第2の領域に配置され、かつ前記第1の特徴部の表面が曝されるように前記コーティングの一部を除去するステップと、
前記第1の特徴部および前記第2の特徴部を含有するエリアに多量の電磁エネルギーを送出するステップであって、前記多量の電磁エネルギーが前記第2の特徴部の前記第1の領域内の前記材料を溶解させるステップと、を備える方法。
A method of heat treating a substrate,
Providing the substrate having a first feature and a second feature formed on a surface of the substrate, wherein the second feature includes a first region and a second region. And steps to
Positioning the substrate on a substrate support;
Depositing a coating on the first and second features;
Removing a portion of the coating such that the coating is disposed in the second region and the surface of the first feature is exposed;
Delivering a large amount of electromagnetic energy to an area containing the first feature and the second feature, wherein the large amount of electromagnetic energy is within the first region of the second feature. Dissolving the material.
前記第1の領域を修正するステップが、前記1つ以上の第1の領域の前記材料内に合金材料を配置する工程を含んでおり、前記合金材料が、ゲルマニウム、ヒ素、ガリウム、炭素、錫およびアンチモンからなる群より選択される、請求項10に記載の方法。   Modifying the first region includes disposing an alloy material within the material of the one or more first regions, the alloy material comprising germanium, arsenic, gallium, carbon, tin 11. The method of claim 10, wherein the method is selected from the group consisting of and antimony. 前記コーティングの少なくとも一部が、ケイフッ化ガラス(FSG)、アモルファス炭素、二酸化シリコン、シリコンカーバイド、シリコン炭素ゲルマニウム合金(SiCGe)、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、コバルト(Co)、ルテニウム(Ru)または炭窒化シリコン(SiCN)を含有する、請求項10に記載の方法。   At least a portion of the coating is composed of fluorosilicate glass (FSG), amorphous carbon, silicon dioxide, silicon carbide, silicon carbon germanium alloy (SiCGe), titanium (Ti), titanium nitride (TiN), tantalum (Ta), cobalt ( The method according to claim 10, comprising Co), ruthenium (Ru) or silicon carbonitride (SiCN). 基板を熱処理する方法であって、
基板の表面上の第1の領域に第1の量の電磁エネルギーを送出するステップであって、前記第1の量の電磁エネルギーが前記第1の領域内の前記基板材料を溶解させ、かつ前記結晶基板材料をアモルファスにするステップと、
前記アモルファスの第1の領域内に第2の材料を注入するステップと、
前記第1の領域に第2の量の電磁エネルギーを送出するステップであって、前記第2の量の電磁エネルギーが前記第1の領域内の前記材料を溶解させるステップと、を備える方法。
A method of heat treating a substrate,
Delivering a first amount of electromagnetic energy to a first region on the surface of the substrate, wherein the first amount of electromagnetic energy dissolves the substrate material in the first region; and Making the crystal substrate material amorphous;
Injecting a second material into the amorphous first region;
Delivering a second amount of electromagnetic energy to the first region, the second amount of electromagnetic energy dissolving the material in the first region.
基板サポートを加熱して、この上に位置決めされている前記基板が、前記第2の電磁エネルギーが前記基板の前記表面に送出される前に約20℃〜約600℃の温度になるステップをさらに備える、請求項1、6、8、10および13のいずれか1つに記載の方法。   Further heating the substrate support so that the substrate positioned thereon is brought to a temperature of about 20 ° C. to about 600 ° C. before the second electromagnetic energy is delivered to the surface of the substrate. 14. A method according to any one of claims 1, 6, 8, 10 and 13 comprising. 基板サポートを冷却して、この上に位置決めされている前記基板が、前記第2の電磁エネルギーが前記基板の前記表面に送出される前に約−240℃〜約20℃の温度になるステップをさらに備える、請求項13に記載の方法。   Cooling the substrate support so that the substrate positioned thereon is brought to a temperature of about −240 ° C. to about 20 ° C. before the second electromagnetic energy is delivered to the surface of the substrate. The method of claim 13, further comprising:
JP2008558449A 2006-03-08 2007-02-23 Method and apparatus for heat treatment structure formed on a substrate Expired - Fee Related JP5558006B2 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US78074506P 2006-03-08 2006-03-08
US60/780,745 2006-03-08
US11/459,847 2006-07-25
US11/459,847 US7569463B2 (en) 2006-03-08 2006-07-25 Method of thermal processing structures formed on a substrate
US11/459,852 US20070221640A1 (en) 2006-03-08 2006-07-25 Apparatus for thermal processing structures formed on a substrate
US11/459,852 2006-07-25
US11/459,856 US20070212859A1 (en) 2006-03-08 2006-07-25 Method of thermal processing structures formed on a substrate
US11/459,856 2006-07-25
PCT/US2007/062672 WO2007103643A2 (en) 2006-03-08 2007-02-23 Method and apparatus for thermal processing structures formed on a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013231220A Division JP5931039B2 (en) 2006-03-08 2013-11-07 Method and apparatus for heat treatment structure formed on a substrate

Publications (3)

Publication Number Publication Date
JP2009529245A true JP2009529245A (en) 2009-08-13
JP2009529245A5 JP2009529245A5 (en) 2013-01-24
JP5558006B2 JP5558006B2 (en) 2014-07-23

Family

ID=38475646

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008558449A Expired - Fee Related JP5558006B2 (en) 2006-03-08 2007-02-23 Method and apparatus for heat treatment structure formed on a substrate

Country Status (4)

Country Link
EP (1) EP1992013A2 (en)
JP (1) JP5558006B2 (en)
KR (2) KR101323222B1 (en)
WO (1) WO2007103643A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016149573A (en) * 2007-11-08 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Pulse train annealing method and apparatus
US11040415B2 (en) 2007-11-08 2021-06-22 Applied Materials, Inc. Pulse train annealing method and apparatus

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012315B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
KR102216675B1 (en) * 2014-06-12 2021-02-18 삼성디스플레이 주식회사 Repairing apparatus for display apparatus and repairing method for disaplay apparatus
EP3611757A1 (en) * 2018-08-16 2020-02-19 Laser Systems & Solutions of Europe Method for forming a doped region on a semiconductor material

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5696835A (en) * 1979-12-29 1981-08-05 Fujitsu Ltd Manufacture of semiconductor device
JPS5727035A (en) * 1980-07-25 1982-02-13 Hitachi Ltd Manufacture of semiconductor device
JPH0823105A (en) * 1994-05-02 1996-01-23 Sony Corp Manufacture of semiconductor chip for display
JP2002524846A (en) * 1998-08-27 2002-08-06 ウルトラテック ステッパー インコーポレイテッド Gas immersion laser annealing method suitable for applications in the fabrication of small integrated circuits
JP2003229568A (en) * 2002-02-04 2003-08-15 Hitachi Ltd Manufacturing method for semiconductor device and semiconductor device
JP2004363355A (en) * 2003-06-05 2004-12-24 Hitachi Ltd Semiconductor device and method of manufacturing the same
JP2005510871A (en) * 2001-11-30 2005-04-21 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Manufacturing method of semiconductor device
JP2005129930A (en) * 2003-10-17 2005-05-19 Interuniv Micro Electronica Centrum Vzw Method for providing hierarchical structure of activated impurities on semiconductor substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8515814D0 (en) * 1985-06-21 1985-07-24 British Telecomm Fabrication of optical waveguides
US4849371A (en) * 1986-12-22 1989-07-18 Motorola Inc. Monocrystalline semiconductor buried layers for electrical contacts to semiconductor devices
US5182170A (en) * 1989-09-05 1993-01-26 Board Of Regents, The University Of Texas System Method of producing parts by selective beam interaction of powder with gas phase reactant
KR20000048110A (en) * 1998-12-15 2000-07-25 카네코 히사시 Solid imaging device and methdod of manufacturing the same
KR100338768B1 (en) 1999-10-25 2002-05-30 윤종용 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
CN1194380C (en) * 2000-04-24 2005-03-23 北京师范大学 Mfg. method of monocrystal silicon (SOI) on insulator
US6486066B2 (en) * 2001-02-02 2002-11-26 Matrix Semiconductor, Inc. Method of generating integrated circuit feature layout for improved chemical mechanical polishing
US6902966B2 (en) * 2001-10-25 2005-06-07 Advanced Micro Devices, Inc. Low-temperature post-dopant activation process
US7154066B2 (en) 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
US7098155B2 (en) 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
JP4700324B2 (en) * 2003-12-25 2011-06-15 シルトロニック・ジャパン株式会社 Manufacturing method of semiconductor substrate
JP2007535163A (en) * 2004-04-27 2007-11-29 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method of forming an organic semiconductor device by a melting technique

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5696835A (en) * 1979-12-29 1981-08-05 Fujitsu Ltd Manufacture of semiconductor device
JPS5727035A (en) * 1980-07-25 1982-02-13 Hitachi Ltd Manufacture of semiconductor device
JPH0823105A (en) * 1994-05-02 1996-01-23 Sony Corp Manufacture of semiconductor chip for display
JP2002524846A (en) * 1998-08-27 2002-08-06 ウルトラテック ステッパー インコーポレイテッド Gas immersion laser annealing method suitable for applications in the fabrication of small integrated circuits
JP2005510871A (en) * 2001-11-30 2005-04-21 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Manufacturing method of semiconductor device
JP2003229568A (en) * 2002-02-04 2003-08-15 Hitachi Ltd Manufacturing method for semiconductor device and semiconductor device
JP2004363355A (en) * 2003-06-05 2004-12-24 Hitachi Ltd Semiconductor device and method of manufacturing the same
JP2005129930A (en) * 2003-10-17 2005-05-19 Interuniv Micro Electronica Centrum Vzw Method for providing hierarchical structure of activated impurities on semiconductor substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016149573A (en) * 2007-11-08 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Pulse train annealing method and apparatus
US11040415B2 (en) 2007-11-08 2021-06-22 Applied Materials, Inc. Pulse train annealing method and apparatus

Also Published As

Publication number Publication date
EP1992013A2 (en) 2008-11-19
JP5558006B2 (en) 2014-07-23
KR20100133454A (en) 2010-12-21
KR20080104183A (en) 2008-12-01
WO2007103643B1 (en) 2008-06-26
WO2007103643A3 (en) 2008-05-08
KR101113533B1 (en) 2012-02-29
WO2007103643A2 (en) 2007-09-13
KR101323222B1 (en) 2013-10-30

Similar Documents

Publication Publication Date Title
JP5931039B2 (en) Method and apparatus for heat treatment structure formed on a substrate
KR100696921B1 (en) Method for controlling the amount of heat transferred to a process region of a semiconductor workpiece when exposed to laser radiation
JP5273894B2 (en) Thermally induced phase switching for laser thermal processing
US8247317B2 (en) Methods of solid phase recrystallization of thin film using pulse train annealing method
JP2017212450A (en) Pulse train annealing method and apparatus
JP2004503938A (en) Heat-induced reflectance switch for laser heat treatment
JP5558006B2 (en) Method and apparatus for heat treatment structure formed on a substrate
US11195732B2 (en) Low thermal budget annealing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100223

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120816

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121127

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20121128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131008

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131016

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140604

R150 Certificate of patent or registration of utility model

Ref document number: 5558006

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees