WO2007103643A2 - Method and apparatus for thermal processing structures formed on a substrate - Google Patents

Method and apparatus for thermal processing structures formed on a substrate Download PDF

Info

Publication number
WO2007103643A2
WO2007103643A2 PCT/US2007/062672 US2007062672W WO2007103643A2 WO 2007103643 A2 WO2007103643 A2 WO 2007103643A2 US 2007062672 W US2007062672 W US 2007062672W WO 2007103643 A2 WO2007103643 A2 WO 2007103643A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
region
electromagnetic energy
regions
amount
Prior art date
Application number
PCT/US2007/062672
Other languages
French (fr)
Other versions
WO2007103643B1 (en
WO2007103643A3 (en
Inventor
Abhilash Mayur
Mark Yam
Ajit Balakrishna
Paul Carey
Dean Jennings
Stephen Moffatt
William Schaffer
Alexander N. Lerner
Timothy N. Thomas
Aaron Muir Hunter
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/459,856 external-priority patent/US20070212859A1/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN2007800081420A priority Critical patent/CN101395712B/en
Priority to EP07757396A priority patent/EP1992013A2/en
Priority to JP2008558449A priority patent/JP5558006B2/en
Priority to KR1020087024646A priority patent/KR101113533B1/en
Priority to KR1020107024018A priority patent/KR101323222B1/en
Publication of WO2007103643A2 publication Critical patent/WO2007103643A2/en
Publication of WO2007103643A3 publication Critical patent/WO2007103643A3/en
Publication of WO2007103643B1 publication Critical patent/WO2007103643B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Definitions

  • Embodiments of the present invention generally relate to a method of manufacturing a semiconductor device. More particularly, the invention is directed to a method of thermally processing a substrate.
  • the wafer is typically heated to high temperatures so that various chemical and physical reactions can take place in multiple IC devices defined in the wafer.
  • favorable electrical performance of the IC devices requires implanted regions to be annealed.
  • Annealing recreates a more crystalline structure from regions of the wafer that were previously made amorphous, and activates dopants by incorporating their atoms into the crystalline lattice of the substrate, or wafer.
  • Thermal processes such as annealing, require providing a relatively large amount of thermal energy to the wafer in a short amount of time, and thereafter rapidly cooling the wafer to terminate the thermal process. Examples of thermal processes currently in use include Rapid Thermal Processing (RTP) and impulse (spike) annealing.
  • RTP Rapid Thermal Processing
  • impulse spike
  • thermal processes heat the substrates under controlled conditions according to a predetermined thermal recipe.
  • These thermal recipes fundamentally consist of a temperature that the semiconductor substrate must be heated to the rate of change of temperature, i.e., the temperature ramp-up and ramp-down rates and the time that the thermal processing system remains at a particular temperature.
  • thermal recipes may require the substrate to be heated from room temperature to distinct temperatures of 1200 0 C or more, for processing times at each distinct temperature ranging up to 60 seconds, or more.
  • the amount of time that each semiconductor substrate is subjected to high temperatures must be restricted.
  • the temperature ramp rates are preferably high. In other words, it is desirable to be able to adjust the temperature of the substrate from a low to a high temperature, or visa versa, in as short a time as possible.
  • RTP Rapid Thermal Processing
  • typical temperature ramp-up rates range from 200 to 40O 0 CVs, as compared to 5-15°C/minute for conventional furnaces.
  • Typical ramp-down rates are in the range of 80-150°C/s.
  • a drawback of RTP is that it heats the entire wafer even though the IC devices reside only in the top few microns of the silicon wafer. This limits how fast one can heat up and cool down the wafer.
  • heat can only dissipate into the surrounding space or structures.
  • today's state of the art RTP systems struggle to achieve a 400°C/s ramp-up rate and a 150°C/s ramp- down rate.
  • the present invention generally provide a method of thermally processing a substrate, comprising modifying one or more regions in a substrate formed from a first material by disposing a second material within the one or more regions, wherein modifying one or more regions in a substrate with the second material is adapted to lower the melting point of the first material contained within the one or more regions, disposing a third material within the one or more regions in the substrate, and delivering an amount of electromagnetic energy to a surface of a substrate which is in thermal communication with the one or more regions, wherein the amount of electromagnetic energy is adapted to cause the first material within the one or more regions to melt.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising providing a substrate that has one or more first regions that have been modified so that the melting point of the material contained within each of the first regions melts at a lower temperature than the material contained within a second region of the substrate, wherein the second region and each of the first regions are generally adjacent to a surface of the substrate, depositing a coating over the surface of the substrate, wherein the coating has a different absorption and reflection coefficient than that surface of the substrate, removing a portion of the coating from the surface of the substrate that is generally adjacent to each of the first regions or the second region, and delivering an amount of electromagnetic energy to an area on the surface of the substrate that contains the one or more first regions and the second region, wherein the amount of electromagnetic energy preferentially melts the material within the one or more first regions.
  • Embodiments of the invention further provide a method of thermally processing a semiconductor substrate, comprising providing a substrate formed from a substrate material, forming a buried region made of a first material on a surface of the substrate, wherein the first material has a first thermal conductivity, depositing a second layer made of a second material over the buried region, wherein the second material has a second thermal conductivity, forming a semiconductor device on the surface of the substrate, wherein a portion of the formed semiconductor device contains a portion of the second layer, and delivering an amount of electromagnetic energy to a surface of a substrate which is in thermal communication with the second layer, wherein the amount of electromagnetic energy is adapted to cause a portion of the second material in thermal communication with the buried region to reach its melting point.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, wherein the substrate has a plurality of features formed on a surface of the substrate that contain a first region and a second region, depositing a coating over the first and second regions, wherein the material from which the coating is formed has a desired heat capacity, removing a portion of the coating so that the thickness of the coating over the first region has a desired thickness, wherein the average heat capacity across the substrate surface after removing a portion of the coating is generally uniform, and delivering an amount of electromagnetic energy to an area that contains the first region and the second region, wherein the amount of electromagnetic energy causes the material within the first region to melt.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising providing a substrate that has a first feature and a second feature formed on a surface of the substrate, wherein the second feature contains a first region and a second region, positioning the substrate on a substrate support, depositing a coating over the first and second features, removing a portion of the coating so that the coating is disposed over the second region and a surface of the first feature is exposed, and delivering an amount of electromagnetic energy to an area that contains the first feature and the second feature, wherein the amount of electromagnetic energy causes the material within the first region of the second feature to melt.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising delivering a first amount of electromagnetic energy at one or more desired wavelengths to a rear surface of the substrate to cause a material in one or more regions generally adjacent to a front surface of the substrate to melt, wherein the rear surface and the front surface are on opposite sides of the substrate and the front surface of the substrate contains one or more semiconductor devices formed thereon.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising delivering a first amount of electromagnetic energy to a first region on a surface of a substrate, wherein the first amount of electromagnetic energy causes the substrate material within the first region to melt and cause the crystalline substrate material to become amorphous, implanting a second material within the amorphous first region, and delivering a second amount of electromagnetic energy to the first region, wherein the second amount of electromagnetic energy causes the material within the first regions to melt.
  • Embodiments of the invention further provide an apparatus for thermally processing a semiconductor substrate, comprising a substrate support having a substrate supporting surface, a heating element that is adapted to heat a substrate disposed on the substrate support, and an intense light source that is adapted to deliver an amount of radiation to a region on a surface of the substrate disposed on the substrate supporting surface.
  • Embodiments of the invention further provide an apparatus for thermally processing a semiconductor substrate, comprising an first intense light source that is adapted to deliver a first amount of energy to a region on a surface of the substrate disposed on a substrate supporting surface, a second intense light source that is adapted to deliver a second amount of energy to the region on the surface of the substrate disposed on the substrate supporting surface, and a controller that is adapted to monitor the first amount of energy delivered to the region on the surface of the substrate and control the time between the delivery of the first amount and second amount of energy and the magnitude of the second amount of energy to achieve a desired temperature in the region.
  • Embodiments of the invention further provide an apparatus for thermally processing a semiconductor substrate, comprising a substrate support having a substrate supporting surface and an aperture formed in the substrate support, and a first light source that is adapted to deliver an amount of radiation to a first area of the substrate through the aperture formed in the substrate support and a rear surface of the substrate which is opposite to a front surface of the substrate, wherein the front surface of the substrate contains one or more semiconductor devices formed thereon and the amount of radiation is adapted to melt a region contained within the first area.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, and delivering a plurality of electromagnetic energy pulses to first area on a surface of a substrate that is in thermal communication with a first region of the substrate, wherein delivering a plurality of electromagnetic energy pulses comprises delivering a first pulse of electromagnetic energy to the surface of the substrate, delivering a second pulse of electromagnetic energy to the surface of the substrate, and adjusting the time between the start of the first pulse and the start of the second pulse so that the material contained in the first region melts.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, and delivering electromagnetic energy to a surface of a substrate that is in thermal communication with a first region and a second region of the substrate, wherein delivering electromagnetic energy comprises delivering a first amount of electromagnetic energy at a first wavelength to preferentially melt a material contained in the first region rather than the second region, and delivering a second amount of electromagnetic energy at a second wavelength to preferentially melt the material contained in the first region rather than the second region, wherein the delivering a second amount of electromagnetic energy and the delivering a first amount of electromagnetic energy overlap in time.
  • Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, and delivering electromagnetic energy to a surface of a substrate that is in thermal communication with a first region and second region of the substrate, wherein delivering electromagnetic energy comprises adjusting the shape of a pulse of electromagnetic energy as a function of time to preferentially melt the material contained in the first region.
  • Figure 1 illustrates an isometric view of an energy source that is adapted to project an amount of energy on a defined region of the substrate described within an embodiment herein;
  • Figures 2A-2F illustrate a schematic side view of a region on a surface of a substrate described within an embodiment herein;
  • Figure 3A illustrate a graph of concentration versus depth into a region of a substrate illustrated in Figure 2A that is within an embodiment herein;
  • Figure 3B illustrate a graph of concentration versus depth into a region of a substrate illustrated in Figure 2B that is within an embodiment herein
  • Figure 3C illustrate a graph of concentration versus depth into a region of a substrate illustrated in Figure 2C that is within an embodiment herein;
  • FIGS 4A-4G schematic diagrams of electromagnetic energy pulses described within an embodiment herein;
  • Figures 5A-5C illustrate a schematic side view of a region on a surface of a substrate described within an embodiment herein;
  • Figure 6A illustrate methods of forming one or more desired layers on a surface of the substrate described within an embodiment contained herein;
  • Figures 6B-6D illustrate schematic side views of a region of a substrate described in conjunction with the method illustrated in Figure 6A that is within an embodiment described herein;
  • Figure 6E illustrate methods of forming one or more desired layers on a surface of the substrate described within an embodiment contained herein;
  • Figures 6F-6G illustrate schematic side views of a region of a substrate described in conjunction with the method illustrated in Figure 6E that is within an embodiment described herein;
  • Figure 7 illustrates a schematic side view of a region on the surface of a substrate described within an embodiment herein;
  • Figure 8 illustrates a schematic side view of a region on the surface of a substrate described within an embodiment herein.
  • Figure 9 illustrates a schematic side view of system that has an energy source that is adapted to project an amount of energy on a defined region of the substrate described within an embodiment herein;.
  • the present invention generally improves the performance of the implant anneal steps used in the process of manufacturing a semiconductor devices on a substrate.
  • the methods of the present invention may be used to preferentially anneal selected regions of a substrate by delivering enough energy to the selected regions to cause them to re-melt and solidify.
  • substrate materials include, but are not limited to semiconductors, such as silicon (Si) and germanium (Ge), as well as other compounds that exhibit semiconducting properties.
  • semiconductor compounds generally include group IM-V and group M-VI compounds.
  • IM-V semiconductor compounds include, but are not limited to, gallium arsenide (GaAs), gallium phosphide (GaP), and gallium nitride (GaN).
  • semiconductor substrates include bulk semiconductor substrates as well as substrates having deposited layers disposed thereon.
  • the deposited layers in some semiconductor substrates processed by the methods of the present invention are formed by either homoepitaxial (e.g., silicon on silicon) or heteroepitaxial ⁇ e.g., GaAs on silicon) growth.
  • the methods of the present invention may be used with gallium arsenide and gallium nitride substrates formed by heteroepitaxial methods.
  • the invented methods can also be applied to form integrated devices, such as thin-film transistors (TFTs), on relatively thin crystalline silicon layers formed on insulating substrates ⁇ e.g., silicon-on- insulator [SOI] substrates).
  • TFTs thin-film transistors
  • an amount of energy is delivered to the surface of the substrate to preferentially melt certain desired regions of the substrate to remove unwanted damage created from prior processing steps (e.g., crystal damage from implant processes), more evenly distribute dopants in various regions of the substrate, and/or activate various regions of the substrate.
  • the preferential melting processes will allow more uniform distribution of the dopants in the melted region, due to the increased diffusion rate and solubility of the dopant atoms in the moltent region of the substrate.
  • the creation of a melted region thus allows: 1 ) the dopant atoms to redistribute more uniformly, 2) defects created in prior processing steps to be removed, and 3) regions that have hyper-abrupt dopant concentrations to be formed.
  • the gradient in dopant concentration in a region that has a hyper- abrupt dopant concentrations is very large (e.g., ⁇ 2 nm/decade of concentration) as the concentration rapidly changes from one region to another in the device.
  • Use of the techniques described herein allows junctions to be formed that contain higher dopant concentrations than conventional devices, since the common negative attributes of the formed junctions, such as an increase in the concentration of defects in the substrate material by the increase in doping level, can be easily reduced to an acceptable level by use of the processing techniques described herein.
  • the higher dopant levels and abrupt changes in the dopant concentration can thus increase the conductivity of various regions of the substrate, thus improving device speed without negatively affecting device yield, while minimizing the diffusion of dopants into various regions of the substrate.
  • the resultant higher dopant concentration increases the conductivity of the formed device and improves its performance.
  • devices that are formed using an RTP process will not use a dopant concentration greater than about 1 x 10 15 atoms/cm 2 , since the higher dopant concentrations cannot readily diffuse into the bulk material of the substrate during typical RTP processes and will instead result in clusters of dopant atoms and other types of defects.
  • dopant up to 5-10 times more dopant, i.e., 1 X 10 16 atoms/cm 2
  • dopant may be successfully incorporated into the desired substrate surface, since regions of the substrate are preferentially melted so that the dopants will become more evenly distributed throughout the liquid before the liquefied regions solidify.
  • Figure 1 illustrates an isometric view of one embodiment of the invention where an energy source 20 is adapted to project an amount of energy on a defined region, or a anneal region 12, of the substrate 10 to preferentially melt certain desired regions within the anneal region 12.
  • an energy source 20 is adapted to project an amount of energy on a defined region, or a anneal region 12, of the substrate 10 to preferentially melt certain desired regions within the anneal region 12.
  • only one or more defined regions of the substrate, such as anneal region 12 are exposed to the radiation from the energy source 20 at any given time.
  • multiple areas of the substrate 10 are sequentially exposed to a desired amount of energy delivered from the energy source 20 to cause the preferential melting of desired regions of the substrate.
  • the areas on the surface of the substrate may be sequentially exposed by translating the substrate relative to the output of the electromagnetic radiation source ⁇ e.g., conventional X/Y stage, precision stages) and/or translating the output of the radiation source relative to the substrate.
  • one or more conventional electrical actuators 17 e.g., linear motor, lead screw and servo motor
  • Conventional precision stages that may be used to support and position the substrate 10, and heat exchanging device 15, may be purchased from Parker Hannifin Corporation, of Rohnert Park, California.
  • the anneal region 12 is sized to match the size of the die 13 ⁇ e.g., 40 "die” are shown in Figure 1), or semiconductor devices (e.g., memory chip), that are formed on the surface of the substrate.
  • the boundary of the anneal region 12 is aligned and sized to fit within the "kurf" or "scribe" lines 10A that define the boundary of each die 13.
  • the substrate prior to performing the annealing process the substrate is aligned to the output of the energy source 20 using alignment marks typically found on the surface of the substrate and other conventional techniques so that the anneal region 12 can be adequately aligned to the die 13.
  • This technique has advantages over conventional processes that sweep the laser energy across the surface of the substrate, since the need to tightly control the overlap between adjacently scanned regions to assure uniform annealing across the desired regions of the substrate is not an issue due to the confinement of the overlap to the unused space between die 13. Confining the overlap to the unused space/boundary between die 13 also improves process uniformity results versus conventional scanning anneal type methods that utilize adjacent overlapping regions that traverse all areas of the substrate.
  • each of the sequentially placed anneal regions 12 are a rectangular region that is about
  • the area of each of the sequentially placed anneal regions 12 formed on the surface of the substrate is between about 4 mm 2 (e.g., 2mm x 2mm) and about 1000 mm 2 (e.g., 25mm x 40mm).
  • the energy source 20 is generally adapted to deliver electromagnetic energy to preferentially melt certain desired regions of the substrate surface.
  • Typical sources of electromagnetic energy include, but are not limited to an optical radiation source (e.g., laser), an electron beam source, an ion beam source, and/or a microwave energy source.
  • the substrate 10 is exposed to a pulse of energy from a laser that emits radiation at one or more appropriate wavelengths for a desired period of time.
  • pulse of energy from the energy source 20 is tailored so that the amount of energy delivered across the anneal region 12 and/or the amount of energy delivered over the period of the pulse is optimized to enhance preferential melting of certain desired areas.
  • the wavelength of the laser is tuned so that a significant portion of the radiation is absorbed by a silicon layer disposed on the substrate 10.
  • the wavelength of the radiation is typically less than about 800 nm, and can be delivered at deep ultraviolet (UV), infrared (IR) or other desirable wavelengths.
  • the energy source 20 is an intense light source, such as a laser, that is adapted to deliver radiation at a wavelength between about 500 nm and about 11 micrometers. In either case, the anneal process generally takes place on a given region of the substrate for a relatively short time, such as on the order of about one second or less.
  • the amount of energy delivered to the surface of the substrate is configured so that the melt depth does not extend beyond the amorphous depth defined by the amorphization implant step. Deeper melt depths facilitate the diffusion of dopant from the doped amorphous layers into the undoped molten layers. Such undesirable diffusion would sharply and deleteriously alter the electrical characteristics of the circuits on the semiconductor substrate.
  • energy is delivered to the surface of a substrate for a very short time in order to melt the surface of the substrate to a sharply defined depth, for example less than 0.5 micrometers. The exact depth is determined by the size of the electronic device being manufactured.
  • the thermally substrate may be desirable to control the temperature of the thermally substrate during thermal processing by placing a surface of the substrate 10, illustrated in Figure 1 , in thermal contact with a substrate supporting surface 16 of a heat exchanging device 15.
  • the heat exchanging device 15 is generally adapted to heat and/or cool the substrate prior to or during the annealing process.
  • the heat exchanging device 15, such as a conventional substrate heater available from Applied Materials Inc., Santa Clara, California, may be used to improve the post-processing properties of the annealed regions of the substrate.
  • the substrate 10 is placed within an enclosed processing environment (not shown) of a processing chamber (not shown) that contains the heat exchanging device 15.
  • the processing environment within which the substrate resides during processing may be evacuated or contain an inert gas that has a low partial pressure of undesirable gases during processing, such as oxygen.
  • the substrate may be preheated prior to performing the annealing process so that the energy required to reach the melting temperature is minimized, which may reduce any induced stress due to the rapid heating and cooling of the substrate and also possibly reduce the defect density in the resolidified areas of the substrate.
  • the heat exchanging device 15 contains resistive heating elements 15A and a temperature controller 15C that are adapted to heat a substrate disposed on a substrate supporting surface 16.
  • the temperature controller 15C is in communication with the controller 21 (discussed below).
  • it may be desirable to preheat the substrate to a temperature between about 20 0 C and about 750 0 C.
  • the substrate is formed from a silicon containing material it may be desirable to preheat the substrate to a temperature between about 20 0 C and about 500 °C.
  • the heat exchanging device 15 contains one or more fluid channels 15B and a cryogenic chiller 15D that are adapted to cool a substrate disposed on a substrate supporting surface 16.
  • a conventional cryogenic chiller 15D which is in communication with the controller 21 , is adapted to deliver a cooling fluid through the one or more fluid channels 15B.
  • the controller 21 ( Figure 1 ) is generally designed to facilitate the control and automation of the thermal processing techniques described herein and typically may includes a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown).
  • the CPU may be one of any form of computer processors that are used in industrial settings for controlling various processes and hardware (e.g., conventional electromagnetic radiation detectors, motors, laser hardware) and monitor the processes ⁇ e.g., substrate temperature, substrate support temperature, amount of energy from the pulsed laser, detector signal).
  • the memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include conventional cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a program (or computer instructions) readable by the controller determines which tasks are performable on a substrate.
  • the program is software readable by the controller and includes code to monitor and control the substrate position, the amount of energy delivered in each electromagnetic pulse, the timing of one or more electromagnetic pulses, the intensity and wavelength as a function of time for each pulse, the temperature of various regions of the substrate, and any combination thereof.
  • one or more processing steps are performed on various regions of the substrate to cause them to preferentially remelt when exposed to energy delivered from an energy source during the anneal process.
  • the process of modifying the properties of a first region of the substrate so that it will preferentially melt rather than a second region of the substrate, when they are both exposed to about the same amount energy during the annealing process, is hereafter described as creating a melting point contrast between these two regions.
  • the substrate properties that can be modified to allow preferential melting of desired regions of the substrate include implanting, driving-in and/or co-depositing one or more elements within a desired regions of the substrate, creating physical damage to desired regions of the substrate, and optimizing the formed device structure to create the melting point contrast in desired regions of the substrate.
  • implanting driving-in and/or co-depositing one or more elements within a desired regions of the substrate, creating physical damage to desired regions of the substrate, and optimizing the formed device structure to create the melting point contrast in desired regions of the substrate.
  • Figures 2A-2C illustrate cross-sectional views of an electronic device 200 at different stages of a device fabrication sequence incorporating one embodiment of the invention.
  • Figure 2A illustrates a side view of typical electronic device 200 formed on a surface 205 of a substrate 10 that has two doped regions 201 (e.g., doped regions 201A-201 B), such as a source and drain region of a MOS device, a gate 215, and a gate oxide layer 216.
  • the doped regions 201A-201 B are generally formed by implanting a desired dopant material into the surface 205 of the substrate 10.
  • typical n-type dopants may include arsenic (As), phosphorus (P), and antimony (Sb), and typical p-type dopants (acceptor type species) may include boron (B), aluminum (Al), and indium (In) that are introduced into the semiconductor substrate 10 to form the doped regions 201A-201 B.
  • Figure 3A illustrates an example of the concentration of the dopant material as a function of depth (e.g., curve Ci), from the surface 205 and into the substrate 10 along a path 203 extending through the doped region 201 A.
  • the doped region 201 A has a junction depth D 1 after the implant process, which may be defined as a point where the dopant concentration drops off to a negligible amount.
  • Figures 2A-2F are only intended to illustrate some of the various aspects of the invention and is not intended to be limiting as to the type of device, type of structure, or regions of a device that may be formed using the various embodiments of the invention described herein.
  • the doped regions 201 ⁇ e.g., source or drain regions in a MOS device
  • the gate 215 e.g., gate in a MOS device
  • Figure 2B illustrates a side view of the electronic device 200 shown in Figure 2A during a process step that is adapted to selectively modify the properties of a discrete region ⁇ e.g., modified area 210) of the substrate 10, which in this case is a region containing a single doped region 201 A, to create a melting point contrast.
  • a melting point contrast will be created between the modified area 210 and unmodified areas 211.
  • the modification process includes the step(s) of adding a material to a layer as it is being deposited on the surface of the substrate, where the incorporated material is adapted to form an alloy with the substrate material to lower the melting point of a region 202 within the modified area 210.
  • the incorporated material is added to the deposited layer during an epitaxial layer deposition process.
  • the modification process includes the step of implanting (see “A" in Figure 2B) a material that is adapted to form an alloy with the substrate material to lower the melting point of a region 202 within the modified area 210.
  • the modification process is adapted to implant the alloying material to a depth D 2 , as shown in Figure 2B.
  • Figure 3B illustrates an example of the concentration of the dopant material (e.g., curve Ci) and implanted alloying material (e.g., curve C 2 ) as a function of depth, from the surface 205 and through the substrate 10 along a path 203.
  • the substrate 10 is formed from a silicon containing material and the implanted alloying materials that may be used include, for example, germanium (Ge), arsenic (As), gallium (Ga), carbon (C), tin (Sn), and antimony (Sb).
  • the alloying material can be any material that when heated in the presence of the substrate base material causes the melting point of the region 202 in the modified area 210 to be lowered relative to the unmodified areas 211.
  • a region of a silicon substrate is modified by the addition of between about 1% and about 20% of germanium to reduce the melting point between the modified and un-modified area.
  • the addition of germanium in these concentrations will lower the melting point of the modified areas versus the un-modified areas by about 300 °C.
  • the region 202 formed in a silicon substrate contains germanium (Ge) and carbon (C), so that a Si x Ge y C z alloy will form to lower the melting point of the region 202 relative to the unmodified areas 211.
  • a region of a silicon substrate is modified by the addition of about 1% or less of arsenic to reduce the melting point between the modified and un-modified area.
  • the modification process includes the step of inducing some damage to the substrate 10 material in the various modified areas ⁇ e.g., modified area 210) to damage the crystal structure of the substrate, and thus make these regions more amorphous. Inducing damage to the crystal structure of the substrate, such as damaging a single crystal silicon substrate, will reduce the melting point of this region relative to an undamaged region due to the change in the bonding structure of atoms in the substrate and thus induce thermodynamic property differences between the two regions.
  • damage to the modified area 210 in Figure 2B is performed by bombarding the surface 205 of the substrate 10 (see “A" in Figure 2B) with a projectile that can create damage to the surface of the substrate.
  • the projectile is a silicon (Si) atom that is implanted into a silicon containing substrate to induce damage to the region 202 within the modified area 210.
  • the damage to the substrate material is created by bombarding the surface with gas atoms, such as argon (Ar), krypton (Kr), xenon
  • the modification process is adapted to create a region 202 that has induced damage to a depth D 2 , as shown in Figure 2B. It is believed that a dislocation or vacancy density of between about 5 x 10 14 and about 1 x 10 16 /cm 2 may be useful to create the melting point contrast between a modified area 210 versus an unmodified area 211.
  • Figure 3B illustrates an example of the concentration of the dopant material (e.g., curve C 1 ) and defects density (e.g., curve C 2 ) as a function of depth, from the surface 205 and through the substrate 10 along a path 203.
  • the dopant material e.g., curve C 1
  • defects density e.g., curve C 2
  • Figures 2A-2B illustrate a process sequence in which the modification process is performed after the doping process
  • this process sequence is not intended to be limiting as to the scope of the invention described herein.
  • Figure 2C illustrates a side view of the electronic device 200 shown in Figure 2B that is exposed to radiation "B" emitted from the an energy source, such as optical radiation from a laser.
  • the modified area(s) e.g., modified area 210
  • unmodified areas e.g., 211
  • the amount of energy, the energy density and the duration that the radiation "B” is applied can be set to preferentially melt the regions 202 by knowing the desired depth of the region 202, the materials used to create the region 202, the other materials used to form the electronic device 200, and the heat transfer characteristics of the components within the formed electronic device 200. As shown in Figures 2C and 3C, upon exposure to the radiation "B” the remelting and solidification of the region 202 causes the concentration of the dopant atoms (e.g., curve Ci) and alloying atoms (e.g., curve C 2 ) is more uniformly redistributed in the region 202.
  • the dopant atoms e.g., curve Ci
  • alloying atoms e.g., curve C 2
  • the dopant concentration between the region 202 and the substrate bulk material 221 has a sharply defined boundary (i.e., a "hyper-abrupt" junction) and thus minimizes the unwanted diffusion into the substrate bulk material 221.
  • a sharply defined boundary i.e., a "hyper-abrupt" junction
  • the concentration of defects ⁇ e.g., curve C 2 ) after resolidification will preferably drop to a negligible level.
  • the various thermal properties of different regions of the formed device are tailored to preferentially cause the melting in one region versus another region.
  • the melting point contrast is created by forming different regions of the device with materials that have different thermal conductivities (k). It should be noted that heat transferred by conduction is governed by the equation:
  • k is the conductivity constant dependent on the nature of the material and the material temperature
  • A is the area through which the heat flows
  • ⁇ x is the thickness of the body of matter through which the heat is passing
  • ⁇ T is the temperature difference through which the heat is being transferred. Therefore, since k is a property of the material the selection or modification of the material in various regions of the substrate can allow one to control the heat flow into and out-of the different regions of the substrate to increase the melting point contrast for the various regions.
  • the material in a region of a substrate has a higher thermal conductivity than the material in other regions, it will lose more thermal energy via conductive losses during a laser anneal process, and, hence, will not reach the same temperatures that another region that has a lower thermal conductivity will reach.
  • the regions in intimate contact with the higher thermally conductive regions can be prevented from melting, while other regions in intimate contact with lower thermal conductivity regions will reach their melting point during the laser anneal process.
  • the thermal conductivity of the various regions of the electronic device 200 the melting point contrast can be increased.
  • the creation of regions having varying thermal conductivities may be performed by performing conventional deposition, patterning and etching techniques in various underlying layers of the electronic device 200 to create these regions having different thermal conductivities.
  • the underlying layers having differing thermal conductivities may be formed by use of conventional chemical vapor deposition (CVD) processes, atomic layer deposition (ALD) processes, implant processes, and epitaxial deposition techniques.
  • Figure 2D illustrates a side view of the electronic device 200 that is has a buried region 224 that has a lower thermal conductivity than the substrate bulk material 221.
  • the radiation "B" emitted from an energy source is absorbed at the surface 205 of the substrate and is conducted through the substrate 10, so that the heat flow (Q 1 ) in the region above (e.g., doped region 201A) the buried region 224 is less than the heat flow (Q 2 ) from an area that doesn't have the lower conductivity buried layer. Therefore, since the heat lost from the region above the buried region 224 is less than the other regions of the substrate, this area will reach a higher temperature than the other regions of the device.
  • the buried region 224 is made of an insulative material, such as a silicon dioxide (SiO 2 ), silicon nitride (SiN), germanium (Ge), gallium arsenide (GaAs), combinations thereof or derivatives thereof. So although the actual melting point of the substrate material in the region that is to be melted is not altered, there is still a quantifiable and repeatable contrast in thermal behavior from other regions of the substrate surface that allows it to be selectively melted.
  • the buried region 224 may have a higher conductivity than the substrate bulk material 221 , which may then allow the areas that do not have the buried layer to preferentially melt versus the regions above the buried layer.
  • the properties of the surface over the various regions 202 of the substrate 10 are altered to change the melting point contrast between one or more desired regions.
  • the emissivity of the surface of the substrate in a desired region is altered to change the amount of energy transferred from the substrate surface during processing. In this case, a region that has a lower emissivity than another region will achieve a higher processing temperature due to its inability to reradiate the absorbed energy received from the energy source 20.
  • the processing temperatures achieved at the surface of the substrate can be quite high ⁇ e.g., -1414 0 C for silicon), and thus the effect of varying the emissivity can have a dramatic effect on the melting point contrast, since radiative heat transfer is the primary heat loss mechanism. Therefore, variations in the emissivity of different regions of the substrate surface may have a significant impact on the ultimate temperatures reached by the various regions of the substrate. Regions with low emissivity may be elevated above the melting point during the annealing process, while regions with high emissivity that have absorbed the same amount of energy may remain substantially below the melting point.
  • Varying the emissivity of the various surfaces, or emissivity contrast may be accomplished via selective deposition of a low- or high-emissivity coating onto the substrate surface, and/or modifying the surface of the substrate ⁇ e.g., surface oxidation, surface roughening).
  • the reflectivity of the surface of the substrate in one or more regions is altered to change the amount of energy absorbed when the substrate 10 is exposed to energy from the energy source.
  • the amount of energy absorbed and thus the maximum temperature achieved by the substrate in a region at and below the substrate surface will differ based on the reflectivity. In this case a surface having a lower reflectivity will more likely melt than another region that has a higher reflectivity.
  • Varying the reflectivity of the surface of the substrate may be accomplished via selective deposition of a low- or high-reflectance coating onto the substrate surface, and/or modifying the surface of the substrate (e.g., surface oxidation, surface roughening).
  • FIG. 2E illustrates one embodiment in which a coating 225 is selectively deposited, or uniformly deposited and then selectively removed, to leave a layer that has a different emissivity and/or reflectivity than the other regions on the surface 205 of the substrate 10.
  • the heat flow (Qi) in the doped region 201 A, below the coating 225 can be adjusted based on the properties of the coating versus the energy absorbed (Q 2 ) in other regions of the substrate.
  • a carbon containing coating is deposited on the substrate surface by use of a CVD deposition process.
  • Figure 2F illustrates one embodiment in which a coating 226 that alters the optical properties of the surface of the substrate (e.g., emissivity, reflectivity) is deposited over the surface of the substrate, for example over the device shown in Figure 2A, and then an amount of material is removed to create regions that have differing optical properties.
  • the coating 226 has been removed from the surface of the gate 215, thus leaving the surface of the coating 226 and the surface 205 of the gate exposed to the incident radiation "B.”
  • the coating 226 and the surface 205 of the gate have different optical properties, such as a different emissivity and/or a different reflectivity.
  • the removal process used to expose or create regions that have differing optical properties may be performed by use of a conventional material removal process, such as a wet etch or chemical mechanical polishing (CMP) process.
  • a conventional material removal process such as a wet etch or chemical mechanical polishing (CMP) process.
  • the absorption and heat flow (Q 1 ) in the doped regions 201A-201 B, below the coating 226, can be adjusted based on the properties of the coating versus the absorption and heat flow (Q 2 ) in gate 215 region of the substrate.
  • the heat loss (Q 3 ) or reflected from the coating 226 can be varied versus the heat loss (Q 4 ) or reflected from the gate 215 region.
  • the coating 226 contains one or more deposited layers of a desired thickness that either by themselves or in combination modify the optical properties (e.g., emissivity, absorbance, reflectivity) of various regions of the substrate that are exposed to one or more wavelengths of incident radiation.
  • optical properties e.g., emissivity, absorbance, reflectivity
  • the coating 226 contains layers that either by themselves or in combination preferentially absorb or reflect one or more wavelengths of the incident radiation "B.”
  • the coating 226 contains a dielectic material, such as fluorosilicate glass (FSG), amorphous carbon, silicon dioxide, silicon carbide, silicon carbon germanium alloys (SiCGe), nitrogen containing silicon carbide (SiCN), a BLOkTM dielectric material made by a process that is commercially available from Applied Materials, Inc., of Santa Clara, or a carbon containing coating that is deposited on the substrate surface by use of a chemical vapor deposition (CVD) process or atomic layer deposition process (ALD) process.
  • coating 226 contains a metal, such as but not limited to titanium (Ti), titanium nitride (TiN), tantalum (Ta), cobalt (Co), or ruthenium (Ru).
  • a selectively deposited, light absorbing coating may be used in conjunction with doping of certain defined regions to broaden the process window of the anneal process.
  • the energy source 20 is generally adapted to deliver electromagnetic energy to preferentially melt certain desired regions of the substrate 10.
  • Typical sources of electromagnetic energy include, but are not limited to an optical radiation source ⁇ e.g., laser (UV, IR, etc. wavelengths)), an electron beam source, an ion beam source, and/or a microwave energy source.
  • the energy source 20 is adapted to deliver optical radiation, such as a laser, to selectively heat desired regions of a substrate to the melting point.
  • the substrate 10 is exposed to a pulse of energy from a laser that emits radiation at one or more appropriate wavelengths, and the emitted radiation has a desired energy density (W/cm 2 ) and/or pulse duration to enhance preferential melting of certain desired regions.
  • the wavelength of the radiation is typically less than about 800 nm. In either case, the anneal process generally takes place on a given region of the substrate for a relatively short time, such as on the order of about one second or less.
  • the desired wavelength and pulse profile used in an annealing process may be determined based on optical and thermal modeling of the laser anneal process in light of the material properties of the substrate.
  • Figures 4A-4D illustrate various embodiments in which the various attributes of the pulse of energy delivered from an energy source 20 to an anneal region 12 (Figure 1) is adjusted as a function of time to achieve improved melting point contrast, and improve the anneal process results.
  • Figure 4A graphically illustrates a plot of delivered energy versus time of a single pulse of electromagnetic radiation (e.g., pulse 401 ) that may be delivered from the energy source 20 to the substrate 10 (see Figure 1).
  • the pulse illustrated in Figure 4A is generally a rectangular pulse that delivers a constant amount of energy (E 1 ) for the complete pulse duration (ti).
  • the shape of the pulse 401 may be varied as a function of time as it is delivered to the substrate 10.
  • Figure 4B graphically illustrates a plot of two pulses 401 A, 401 B of electromagnetic radiation that may be delivered from one energy source 20 to the substrate 10 that have a different shape.
  • each pulse may contain the same total energy output, as represented by the area under each curve, but the effect of exposing regions of the substrate 10 to one pulse versus another pulse may improve the melting point contrast experienced during the anneal process. Therefore, by tailoring the shape, peak power level and/or amount of energy delivered in each pulse the anneal process may be improved.
  • the pulse is gaussian shaped.
  • Figure 4C graphically illustrates a pulse of electromagnetic radiation ⁇ e.g., pulse 401 ) that is trapezoidal in shape.
  • pulse 401 a pulse of electromagnetic radiation
  • two different segments e.g., 402 and 404
  • the energy delivered is varied as a function of time.
  • Figure 4C illustrates a pulse 401 profile, or shape, in which the energy versus time varies in a linear fashion, this is not intended to be limiting as to the scope of the invention since the time variation of the energy delivered in a pulse may, for example, have a second degree, third degree, or fourth degree shaped curve.
  • the profile, or shape, of the energy delivered in a pulse as a function of time may be a second order, a third order, or exponential-shaped curve.
  • it may be advantageous to use a pulse having different shapes e.g., rectangular and triangular modulation pulse, sinusoidal and rectangular modulation pulse, rectangular, triangular and sinusoidal modulation pulse, etc.
  • shapes e.g., rectangular and triangular modulation pulse, sinusoidal and rectangular modulation pulse, rectangular, triangular and sinusoidal modulation pulse, etc.
  • the shape of the delivered pulse of electromagnetic radiation may be tailored to improve the anneal process results.
  • a pulse having a shape similar to pulse 401 B may be advantageous.
  • a pulse having a longer duration may be advantageous, since the more thermally conductive material regions of the substrate will have more time to dissipate the heat by conduction, while the regions that are to be melted are more thermally isolated thus allowing the temperature in the regions that are to be melted to rise to a melting point temperature.
  • the duration, peak power level and total energy output of the pulse can be appropriately selected, so that the areas that are not intended to melt will not reach their melting point.
  • the process of tailoring the shape of the pulse may also be advantageous when surfaces of varying emissivity are used to create a melting point contrast.
  • the slope of the segment 401 , the shape of the segment 401 , the shape of the segment 403, the time at a power level [e.g., segment 403 at the energy level Ei), the slope of the segment 404, and/or the shape of the segment 404 are adjusted to control the annealing process. It should be noted that it is generally not desirable to cause the material within the annealed regions to vaporize during processing due to particle and process result variability concerns. It is therefore desirable to adjust the shape of the pulse of energy to rapidly bring the temperature of the annealed region to it melting point without superheating the region and causing vaporization of the material.
  • the shape of the pulse 401 may adjusted so that it has multiple segments (i.e., segments 402, 403A, 403B, 403C, and 404) are used to rapidly bring the anneal region to its melting point and then hold the material in a molten state for a desired period of time (e.g., ti), while preventing vaporization of material within the annealing region.
  • the length of time, the shape of the segments and the duration of each of the pulse segments may vary as the size, melt depth, and the material contained within the annealing regions is varied.
  • multiple wavelengths of radiant energy may be combined to improve the energy transfer to the desired regions of the substrate to achieve an improved melting point contrast, and/or improve the anneal process results.
  • the amount of energy delivered by each of the combined wavelengths is varied to improve the melting point contrast, and improve the anneal process results.
  • Figure 4D illustrates one example in which a pulse 401 contains two wavelengths that may deliver differing amounts of energy per unit time to a substrate 10 in order to improve the melting point contrast and/or improve the anneal process results.
  • a frequency F1 is applied to the substrate at a constant level over the period of the pulse and another frequency F2 is applied to the substrate 10 at a constant level for most of the period except for a portion that peaks for a period of time during the period of the pulse.
  • Figure 4E graphically illustrates a plot of a pulse 401 that has two sequential segments that deliver energy at two different frequencies F3 and F4. Therefore, since various regions of the substrate may absorb energy at different rates at different wavelengths the use of pulse that contains multiple wavelengths that can deliver variable amounts of energy, as shown in Figure 4D and 4E, may be advantageous to achieve desirable annealing process results.
  • two or more pulses of electromagnetic radiation are delivered to a region of the substrates at differing times so that the temperature of regions on the substrate surface can be easily controlled.
  • Figure 4F graphically illustrates a plot of two pulses 401 A and 401 B that are delivered a varying distance in time apart, or period (t), to selectively melt certain regions on the surface of a substrate. In this configuration, by adjusting the period (t) between the subsequent pulses, the peak temperature reached by regions on the substrate surface can be easily controlled.
  • the heat delivered in the first pulse 401 A has less time to dissipate the heat before the second pulse 401 B is delivered, which will cause the peak temperature achieved in the substrate to be higher than when the period between pulses is increased.
  • the energy and melt temperature can be easily controlled.
  • This process of delivering multiple pulses, such as two or more pulses will tend to reduce the thermal shock experienced by the substrate material versus delivering a single pulse of energy. Thermal shock can lead to damage of the substrate and generate particles that will create defects in subsequent processing steps performed on the substrate.
  • two or more energy sources are operated in sequence so as to shape the thermal profile of the surface of a substrate as a function of time.
  • one laser or an array of lasers may deliver a pulse 401 A that elevates the surface of the substrate to a temperature T 0 for a time ti.
  • a second pulse 402B is delivered from a second laser, or from multiple lasers operating in tandem, that brings the substrate temperature to a temperature T 1 for a time X 2 .
  • the thermal profile can thus be shaped by controlling the sequencing pulses of energy delivered from the multiple lasers. This process may have thermal processing benefits, such as but not limited to the application of controlling dopant diffusion and the direction of the dopant diffusion.
  • Electromagnetic Radiation Pulses For the purpose of delivering sufficient electromagnetic radiation (light) to the surface of a silicon containing substrate, or substrate comprised of another material requiring thermal processing, the following a process controls may be used.
  • two or more electromagnetic energy sources such as lasers
  • the source 20, schematically illustrated in Figures 1 and 9 contains two or more electromagnetic energy sources, such as but not limited to an optical radiation source (e.g., laser), an electron beam source, an ion beam source, and/or a microwave energy source.
  • the pulse-to-pulse energy from a device such as a pulsed laser may have a percent variation of each pulse. The variation in pulse energy may be unacceptable for the substrate thermal process.
  • one or more laser(s) deliver a pulse that elevates the substrate temperature.
  • an electronic controller ⁇ e.g., controller 21 in Figure 1
  • controller 21 in Figure 1 which is adapted to monitor the pulses delivered and the energy, or rise time, of the pulse that is in delivery, then is used to calculate the amount of energy required to "trim” or adjust the thermal profile (e.g., temperature of a region of the substrate as a function of time) so that it is within process targets and command a second smaller laser or series of smaller lasers to deliver the final energy to complete the thermal processing.
  • the electronic controller generally uses one or more conventional radiation detectors to monitor the energy and/or wavelength of pulses delivered to the substrate.
  • the smaller lasers may also have peak-to-peak variation in pulse output energy, but because they deliver substantially less energy per pulse than the initial pulse (or pulses) at the start of the surface treatment this error will generally be within process limits.
  • the electronic controller is thus adapted to compensate for the variation in energy delivered by a pulse, and thus assure that a desired energy level is delivered during the thermal process.
  • the two or more energy sources may also be implemented using a single color (wavelength) of laser light with a bandwidth of color frequency, multiple wavelengths, single or multiple temporal and spatial laser modes, and polarization states.
  • the output of the laser or lasers will likely not have the correct spatial and temporal energy profile for delivery to the substrate surface. Therefore, a system using microlenses to shape the output of the lasers is used to create a uniform spatial energy distribution at the substrate surface. Selection of glass types and geometry of the microlenses may compensate for thermal lensing effects in the optical train necessary for delivering the pulsed laser energy to the substrate surface.
  • Speckle compensation may include the following: a surface acoustic wave device for rapidly varying the phase at the substrate such that this rapid variation is substantially faster than the thermal processing time of the laser pulse or pulses; pulse addition of laser pulses; alternating polarization of laser pulses for example, delivery of multiple simultaneous or delayed pulses that are linearly polarized but have their polarization states (e- vectors) in a nonparallel condition.
  • a homogenizing layer (item 110 in Figure 5B) is deposited on a surface of the substrate to reduce the variations in the depth, or volume, of the silicon region 112 melted when surface of the substrate is exposed to electromagnetic energy 150 delivered from an electromagnetic radiation source (not shown).
  • the variation in the depth, or volume, of the region melted is affected by the variations in the mass density of the various regions of the patterned substrate, the absorption coefficient of the material on which the radiant energy impinges, and the various physical and thermal properties of the material (e.g., thermal conductivity, heat capacity, thickness of the material).
  • the electromagnetic radiation source is designed to deliver electromagnetic energy to the surface of substrate to thermally process or anneal portions of the substrate surface.
  • Typical electromagnetic radiation sources may include, but are not limited to optical radiation sources ⁇ e.g., lasers), electron beams, ion beams, or microwave sources.
  • the device structure formed on a surface 102 of the substrate 100 illustrated in Figures 5A-5C and 6A-6C are not intended to be limiting as to the scope of the invention described herein, since, for example, the silicon region 112 (e.g., source or drain regions in a MOS device) can be a raised or lowered relative to the position of the features 101 (e.g., gate in a MOS device) without varying from the scope of the invention described herein. As semiconductor device sizes decrease the position and geometry of structural elements of the devices formed on the surface of a substrate vary to improve device manufacturability or device performance.
  • the silicon region 112 e.g., source or drain regions in a MOS device
  • Figure 5A illustrates a cross-sectional view of a substrate 100 that has a plurality of features 101 and silicon regions 112 formed on a surface 102 of the substrate 100.
  • the surface 102 has multiple features 101 that are laterally spaced a varying distance apart.
  • the features 101 are "gates” and the silicon regions 112 are "source and drain regions” used to form a metal oxide semiconductor (MOS) device on the substrate surface.
  • MOS metal oxide semiconductor
  • the incident electromagnetic energy 150 impinges the surface 102 causing the some regions of the surface 102 of the substrate to absorb the incident energy and possibly form melt regions 113.
  • the physical, thermal and optical properties of the various materials exposed to the incident electromagnetic energy 150 will determine whether the various areas on the surface 102 will melt upon exposure to the delivered energy. It is believed that when the features 101 are polysilicon gates the absorption energy from a laser, at wavelengths ⁇ 800 nm, will be significantly less than the energy absorbed by the silicon regions 112 that contain N-type or P-type doped silicon, such as found in a source or drain region of a MOS device. Therefore, it is believed that due to the heat capacity and thermal mass of the features 101 , and their relative position to the silicon regions 112, the delivered electromagnetic energy 150 in the areas adjacent to the features 101 will remain cooler due to the diffusion of heat away from the melt region 113. The loss of heat to the features 101 will reduce the energy available to form the melt region 113 and thus affect the depth and/or volume, of the melt region 113. Therefore, there is a need for a way to reduce the variation in pattern density on the surface of the substrate.
  • Figure 5B illustrates a cross-sectional view of a substrate 100 that has a plurality of features 101 , silicon regions 112 and a homogenizing layer 120 formed on a surface 102 of the substrate 100.
  • Figure 5B is similar to Figure 5A except the addition of the homogenizing layer 120.
  • the homogenizing layer 120 is used to make the heat capacity of the surface 102 of the substrate 100 more uniform.
  • the thickness and material from which the homogenizing layer 120 is formed is selected to balance the heat capacity of the surface of the substrate to reduce the effect of a varying mass density across the substrate surface and thus reduce the variation in the depth and/or volume of the melt region 113.
  • the homogenizing layer 120 material is selected so that it will not melt during the subsequent annealing process and it can be selectively removed from the surface of the substrate after the annealing processes have been performed.
  • the homogenizing layer 120 is a material that is similar in composition to the material that the features 101 are made from, such as, for example, a polysilicon containing material.
  • the homogenizing layer 120 is a silicon carbide containing material or a metal ⁇ e.g., titanium, titanium nitride, tantalum, tungsten).
  • the thickness of the homogenizing layer 120 (e.g., di) is selected so that the heat capacity of the device structure is uniform.
  • the thickness, d- ⁇ of the homogenizing layer 120 is governed by:
  • K 1 equals the thermal conductivity of the material used to form the homogenizing layer
  • P 1 equals the mass density of the material used to form the homogenizing layer 120
  • C p1 equals heat capacity of the material used to form the homogenizing layer 120
  • K 2 equals the thermal conductivity of the material used to form the features 101
  • p 2 equals the mass density of the material used to form the features 101
  • C p2 equals the heat capacity of the material used to form the features 101.
  • Figure 6A Illustrates a series of method steps that may be used to form the homogenizing layer 120 on a surface 102 of the substrateiOO.
  • step 190 shown in Figures 6A and 6B, the homogenizing layer 120 is deposited over the surface 102 ⁇ e.g., features 101) of the substrate 100 by use of a conventional deposition process, such as a chemical vapor deposition (CVD), plasma enhanced CVD, atomic layer deposition (ALD), plasma enhanced ALD, or spin coating type deposition process.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • plasma enhanced ALD plasma enhanced ALD
  • spin coating type deposition process spin coating type deposition process.
  • step 192 shown in Figures 6A and 6C, the surface 102 of the substrate 100 that contains the homogenizing layer 120 is planarized using a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • the homogenizing layer is then selectively etched using a selective material removal process, such as a wet etch or dry etch type process until a desired thickness di is achieved.
  • a selective material removal process such as a wet etch or dry etch type process.
  • an amount of incident electromagnetic energy can be delivered to the surface of the substrate surface to cause the uniform annealing/melting of the material contained in the melt regions 113.
  • Figure 5C is a cross-sectional view of a substrate 100 that contains the device illustrated in Figure 5B with an added layer 125 deposited thereon to adjust the optical properties of various regions on the surface of the substrate.
  • the layer 125 is added to improve the absorption of the electromagnetic energy 150 delivered to various regions of the substrateiOO.
  • the layer 125 is the same as the coating 225 or the layer 226 described above.
  • the layer 125 is preferentially formed on the homogenizing layer 120 to improve the selectivity of energy delivered to the silicon regions 112.
  • the desired thickness of the layer 125 may vary as the wavelength of the delivered electromagnetic energy 150 varies.
  • the steps 196 and 198 may be used to form a selectively deposited absorbing layer 125.
  • the layer 125 is deposited over the features 101 and the homogenizing layer 120 formed in steps 190-194, discussed above.
  • the layer 125 is removed from the top surface of the features 101 by performing a material removal step, such as a planarization process typically completed by use of a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • the deposited layer 125 is used to alter the melting point contrast between one or more desired regions on the substrate surface by allowing a differing amount of heat to be absorbed and transmitted to the melt regions 103 versus the regions between the melt regions, which are not in direct contact with the layer 125 and the homogenizing layer 120.
  • One issue that arises when features of different sizes, shapes and distances apart are exposed to electromagnetic radiation is that depending on the wavelength of the electromagnetic radiation the amount of energy applied to the features may experience constructive or destructive interference due to diffraction effects that undesirably vary the amount of energy, or energy density ⁇ e.g., Watts/m 2 ), delivered to a desired region.
  • the spacing of the features 101 may differ such that the wavelength of the incident radiation varies across the surface causing a variation in energy density delivered across the surface 102 of the substrate 100.
  • a layer 726 is grown to a thickness that exceeds the height of all of features 101 to reduce the diffraction effect created by the irregular spacing between devices ⁇ e.g., features 101) formed on the surface of the substrate.
  • the surface 720 of the layer 726 is further planarized ⁇ e.g., CMP process) to reduce any inherent topographical variation in the surface 720 of the substrate 10.
  • the layer 726 is a carbon layer deposited by a CVD deposition process or a material discussed in conjunction with layer 125, coating 225, and layer 226 discussed above.
  • the design of the devices formed on the surface of a substrate that is exposed to incident electromagnetic radiation is specifically designed and arranged so that a desired diffraction pattern is created to improve the melting point contrast between different zones.
  • the physical arrangement of the various features are thus tailored for a desired wavelength, or wavelengths, of the incident radiation "B" ( Figure 7) used to anneal the surface of the substrate.
  • one or more processing steps are performed to selectively form an amorphous region 140 in an originally single crystal or polycrystalline material to reduce the amount of damage created during subsequent implantation processing steps and increase the melting point contrast of the amorphous region 140 relative to other areas of the substrate.
  • Implanting dopants in an amorphous region, such as an amorphous silicon layer will tend to homogenize the implantation depth of the desired dopant at a fixed ion energy, due to lack of density variation across the various planes found in crystalline lattice structures (e.g., single crystal silicon).
  • the implantation in an amorphous layer will tend to reduce the crystalline damage commonly found in traditional implantation processes in crystalline structures.
  • a short dose of energy (item "B" in Figure 8) is delivered to a substrate 10 to selectively modify and form an amorphous silicon layer in a desired region ⁇ e.g., amorphous region 140).
  • a pulse, or dose, of electromagnetic energy is delivered to the desired region for a sufficiently short period of time to cause rapid melting and cooling of the affected amorphous region 140 to produce an amorphous region in the substrate.
  • the pulse of energy is for such a short duration that it produce a high regrowth velocity in the heated region to produce an amorphous region.
  • the re-growth velocity in the heated region is greater than about 12 m/sec.
  • a pulse of energy is delivered to a desired region of a silicon substrate for period of less than about 10 "8 seconds.
  • the pulse of energy may be delivered from a laser that delivers a peak power greater than 10 9 W/cm 2 , and preferably in a range between about 10 9 and about 10 10 W/cm 2 for a period of less than about 10 ⁇ 8 seconds.
  • the power, pulse duration, shape of the delivered dose to create the amorphous silicon layer may be varied to achieve an amorphous region 140 of a desired size, shape and depth.
  • the wavelength of the delivered dose of energy is selected or varied to achieve a desired melt profile.
  • the wavelength may be in the UV or IR wavelengths.
  • the wavelength of the laser may be less than about 800 nm.
  • the wavelength may be about 532 nm or about 193 nm.
  • a mask is used to preferentially form the amorphous areas in various regions of the substrate surface.
  • Figure 9 is a cross-sectional view of a region of a processing chamber that illustrates one embodiment in which an energy source 20 is adapted to deliver an amount of energy to an anneal region 12 of the substrate 10 from the backside surface 901 to preferentially melt certain desired regions within the anneal region 12.
  • an energy source 20 is adapted to deliver an amount of energy to an anneal region 12 of the substrate 10 from the backside surface 901 to preferentially melt certain desired regions within the anneal region 12.
  • one or more defined regions of the substrate such as anneal region 12 are exposed to the radiation from the energy source 20 at any given time.
  • multiple areas of the substrate 10 are sequentially exposed to a desired amount of energy delivered through the backside surface 901 from the energy source 20 to cause the preferential melting of desired regions of the substrate.
  • the anneal region 12 is sized to match the size of the die (e.g., item # 13 in Figure 1), or semiconductor devices, that are formed on the top surface 902 of the substrate 10.
  • the boundary of the anneal region 12 is aligned and sized to fit within the "kurf" or "scribe" lines that define the boundary of each die. Therefore, the amount of process variation, due to the varying amount of exposure to the energy from the energy source 20 is minimized, since any overlap between the sequentially placed anneal regions 12 can be minimized.
  • the anneal region 12 is a rectangular region that is about 22 mm by about 33 mm in size.
  • the substrate 10 is positioned in a substrate supporting region 911 formed on a substrate support 910 that has an opening 912 that allows the backside surface 901 of the substrate 10 to receive energy delivered from the energy source 20.
  • the radiation "B" emitted from the energy source 20 to heat regions 903 that are adapted to absorb a portion of the emitted energy.
  • the energy source 20 is generally adapted to deliver electromagnetic energy to preferentially melt certain desired regions of the substrate surface.
  • Typical sources of electromagnetic energy include, but are not limited to an optical radiation source ⁇ e.g., laser), an electron beam source, an ion beam source, and/or a microwave energy source.
  • the substrate 10 is exposed to a pulse of energy from a laser that emits radiation at one or more appropriate wavelengths for a desired period of time.
  • pulse of energy from the energy source 20 is tailored so that the amount of energy delivered across the anneal region 12 and/or the amount of energy delivered over the period of the pulse is optimized to enhance preferential melting of certain desired areas.
  • the wavelength of the laser is tuned so that a significant portion of the radiation is absorbed by a silicon layer disposed on the substrate 10.
  • the wavelength of the radiation is typically less than about 800 nm, and can be delivered at deep ultraviolet (UV), infrared (IR) or other desirable wavelengths.
  • the anneal process generally takes place on a given region of the substrate for a relatively short time, such as on the order of about one second or less.
  • the wavelength of the emitted radiation from the energy source 20 is selected so that the bulk material from which the substrate is formed is more transparent to the incident radiation than the areas near the top surface 902 that are to be preferentially melted by the exposure of the incident emitted radiation.
  • the regions that are to be preferentially melted contain a material that absorbs an amount of the energy delivered through the backside of the substrate, such as a dopant material or ionizing crystal damage ⁇ e.g., crystal defects, Frenkel defects, vacancies) created during the implantation process.
  • the dopant materials may be boron, phosphorous, or other commonly used dopant material used in semiconductor processing.
  • the bulk material from which the substrate is formed is a silicon containing material and the wavelength of the emitted radiation is greater than about 1 micrometer.
  • the energy source 20 contains a CO 2 laser that is adapted to emit principal wavelength bands centering around 9.4 and 10.6 micrometers.
  • the energy source 20 is adapted to deliver wavelengths in the infrared region, which is generally between about 750 nm and about 1 mm.
  • an absorbing coating (not shown) is disposed over the anneal region 12 on the substrate 10 so that the incident radiation delivered through the back of the substrate can be absorbed before it passes through the substrate.
  • the absorbing coating is a metal, such as titanium, titanium nitride, tantalum, or other suitable metal material.
  • the absorbing coating is a silicon carbide material, amorphous carbon material, or other suitable material that is commonly used in semiconductor device manufacturing.
  • the first wavelength of light is used to generate free carriers ⁇ e.g., electrons or holes) in the substrate from dopants or other ionizing crystal damage found in the desired annealing regions, so that the generated free carriers will absorb the energy delivered through the back of the substrate at a second wavelength.
  • the first wavelength is the wavelength of "green light" ⁇ e.g., about 490 nm to about 570nm) and/or shorter wavelengths.
  • the first wavelength is delivered at a desirable power density (W/cm 2 ) to the desired region of the substrate from a second source 920 that is on the opposite side of the substrate from the energy source 20, shown in Figure 9.
  • the two wavelengths e.g., first and second wavelengths
  • the two wavelengths are delivered through the backside of the substrate from the source 20.
  • the two wavelengths e.g., first and second wavelengths

Abstract

The present invention generally describes one ore more apparatuses and various methods that are used to perform an annealing process on desired regions of a substrate. In one embodiment, an amount of energy is delivered to the surface of the substrate to preferentially melt certain desired regions of the substrate to remove unwanted damage created from prior processing steps, more evenly distribute dopants in various regions of the substrate, and/or activate various regions of the substrate. The preferential melting processes will allow more uniform distribution of the dopants in the melted region, due to the increased diffusion rate and solubility of the dopant atoms in the molten region of the substrate. The creation of a melted region thus allows: 1) the dopant atoms to redistribute more uniformly, 2) defects created in prior processing steps to be removed, and 3) regions that have hyper-abrupt dopant concentrations to be formed.

Description

METHOD AND APPARATUS FOR THERMAL PROCESSING STRUCTURES FORMED ON A SUBSTRATE
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the present invention generally relate to a method of manufacturing a semiconductor device. More particularly, the invention is directed to a method of thermally processing a substrate.
Description of the Related Art
[0002] The integrated circuit (IC) market is continually demanding greater memory capacity, faster switching speeds, and smaller feature sizes. One of the major steps the industry has taken to address these demands is to change from batch processing silicon wafers in large furnaces to single wafer processing in a small chamber.
[0003] During such single wafer processing the wafer is typically heated to high temperatures so that various chemical and physical reactions can take place in multiple IC devices defined in the wafer. Of particular interest, favorable electrical performance of the IC devices requires implanted regions to be annealed. Annealing recreates a more crystalline structure from regions of the wafer that were previously made amorphous, and activates dopants by incorporating their atoms into the crystalline lattice of the substrate, or wafer. Thermal processes, such as annealing, require providing a relatively large amount of thermal energy to the wafer in a short amount of time, and thereafter rapidly cooling the wafer to terminate the thermal process. Examples of thermal processes currently in use include Rapid Thermal Processing (RTP) and impulse (spike) annealing. While such processes are widely used, current technology is not ideal. It tends to ramp the temperature of the wafer too slowly and expose the wafer to elevated temperatures for too long. These problems become more severe with increasing wafer sizes, increasing switching speeds, and/or decreasing feature sizes. [0004] In general, these thermal processes heat the substrates under controlled conditions according to a predetermined thermal recipe. These thermal recipes fundamentally consist of a temperature that the semiconductor substrate must be heated to the rate of change of temperature, i.e., the temperature ramp-up and ramp-down rates and the time that the thermal processing system remains at a particular temperature. For example, thermal recipes may require the substrate to be heated from room temperature to distinct temperatures of 12000C or more, for processing times at each distinct temperature ranging up to 60 seconds, or more.
[0005] Moreover, to meet certain objectives, such as minimal inter-diffusion of materials between different regions of a semiconductor substrate, the amount of time that each semiconductor substrate is subjected to high temperatures must be restricted. To accomplish this, the temperature ramp rates, both up and down, are preferably high. In other words, it is desirable to be able to adjust the temperature of the substrate from a low to a high temperature, or visa versa, in as short a time as possible.
[0006] The requirement for high temperature ramp rates led to the development of Rapid Thermal Processing (RTP), where typical temperature ramp-up rates range from 200 to 40O0CVs, as compared to 5-15°C/minute for conventional furnaces. Typical ramp-down rates are in the range of 80-150°C/s. A drawback of RTP is that it heats the entire wafer even though the IC devices reside only in the top few microns of the silicon wafer. This limits how fast one can heat up and cool down the wafer. Moreover, once the entire wafer is at an elevated temperature, heat can only dissipate into the surrounding space or structures. As a result, today's state of the art RTP systems struggle to achieve a 400°C/s ramp-up rate and a 150°C/s ramp- down rate.
[0007] To resolve some of the problems raised in conventional RTP type processes various scanning laser anneal techniques have been used to anneal the surface(s) of the substrate. In general, these techniques deliver a constant energy flux to a small region on the surface of the substrate while the substrate is translated, or scanned, relative to the energy delivered to the small region. Due to the stringent uniformity requirements and the complexity of minimizing the overlap of scanned regions across the substrate surface these types of processes are not effective for thermal processing contact level devices formed on the surface of the substrate.
[0008] In view of the above, there is a need for an method for annealing a semiconductor substrate with high ramp-up and ramp-down rates. This will offer greater control over the fabrication of smaller devices leading to increased performance.
SUMMARY OF THE INVENTION
[0009] The present invention generally provide a method of thermally processing a substrate, comprising modifying one or more regions in a substrate formed from a first material by disposing a second material within the one or more regions, wherein modifying one or more regions in a substrate with the second material is adapted to lower the melting point of the first material contained within the one or more regions, disposing a third material within the one or more regions in the substrate, and delivering an amount of electromagnetic energy to a surface of a substrate which is in thermal communication with the one or more regions, wherein the amount of electromagnetic energy is adapted to cause the first material within the one or more regions to melt.
[0010] Embodiments of the invention further provide a method of thermally processing a substrate, comprising providing a substrate that has one or more first regions that have been modified so that the melting point of the material contained within each of the first regions melts at a lower temperature than the material contained within a second region of the substrate, wherein the second region and each of the first regions are generally adjacent to a surface of the substrate, depositing a coating over the surface of the substrate, wherein the coating has a different absorption and reflection coefficient than that surface of the substrate, removing a portion of the coating from the surface of the substrate that is generally adjacent to each of the first regions or the second region, and delivering an amount of electromagnetic energy to an area on the surface of the substrate that contains the one or more first regions and the second region, wherein the amount of electromagnetic energy preferentially melts the material within the one or more first regions.
[0011] Embodiments of the invention further provide a method of thermally processing a semiconductor substrate, comprising providing a substrate formed from a substrate material, forming a buried region made of a first material on a surface of the substrate, wherein the first material has a first thermal conductivity, depositing a second layer made of a second material over the buried region, wherein the second material has a second thermal conductivity, forming a semiconductor device on the surface of the substrate, wherein a portion of the formed semiconductor device contains a portion of the second layer, and delivering an amount of electromagnetic energy to a surface of a substrate which is in thermal communication with the second layer, wherein the amount of electromagnetic energy is adapted to cause a portion of the second material in thermal communication with the buried region to reach its melting point.
[0012] Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, wherein the substrate has a plurality of features formed on a surface of the substrate that contain a first region and a second region, depositing a coating over the first and second regions, wherein the material from which the coating is formed has a desired heat capacity, removing a portion of the coating so that the thickness of the coating over the first region has a desired thickness, wherein the average heat capacity across the substrate surface after removing a portion of the coating is generally uniform, and delivering an amount of electromagnetic energy to an area that contains the first region and the second region, wherein the amount of electromagnetic energy causes the material within the first region to melt.
[0013] Embodiments of the invention further provide a method of thermally processing a substrate, comprising providing a substrate that has a first feature and a second feature formed on a surface of the substrate, wherein the second feature contains a first region and a second region, positioning the substrate on a substrate support, depositing a coating over the first and second features, removing a portion of the coating so that the coating is disposed over the second region and a surface of the first feature is exposed, and delivering an amount of electromagnetic energy to an area that contains the first feature and the second feature, wherein the amount of electromagnetic energy causes the material within the first region of the second feature to melt.
[0014] Embodiments of the invention further provide a method of thermally processing a substrate, comprising delivering a first amount of electromagnetic energy at one or more desired wavelengths to a rear surface of the substrate to cause a material in one or more regions generally adjacent to a front surface of the substrate to melt, wherein the rear surface and the front surface are on opposite sides of the substrate and the front surface of the substrate contains one or more semiconductor devices formed thereon.
[0015] Embodiments of the invention further provide a method of thermally processing a substrate, comprising delivering a first amount of electromagnetic energy to a first region on a surface of a substrate, wherein the first amount of electromagnetic energy causes the substrate material within the first region to melt and cause the crystalline substrate material to become amorphous, implanting a second material within the amorphous first region, and delivering a second amount of electromagnetic energy to the first region, wherein the second amount of electromagnetic energy causes the material within the first regions to melt.
[0016] Embodiments of the invention further provide an apparatus for thermally processing a semiconductor substrate, comprising a substrate support having a substrate supporting surface, a heating element that is adapted to heat a substrate disposed on the substrate support, and an intense light source that is adapted to deliver an amount of radiation to a region on a surface of the substrate disposed on the substrate supporting surface.
[0017] Embodiments of the invention further provide an apparatus for thermally processing a semiconductor substrate, comprising an first intense light source that is adapted to deliver a first amount of energy to a region on a surface of the substrate disposed on a substrate supporting surface, a second intense light source that is adapted to deliver a second amount of energy to the region on the surface of the substrate disposed on the substrate supporting surface, and a controller that is adapted to monitor the first amount of energy delivered to the region on the surface of the substrate and control the time between the delivery of the first amount and second amount of energy and the magnitude of the second amount of energy to achieve a desired temperature in the region.
[0018] Embodiments of the invention further provide an apparatus for thermally processing a semiconductor substrate, comprising a substrate support having a substrate supporting surface and an aperture formed in the substrate support, and a first light source that is adapted to deliver an amount of radiation to a first area of the substrate through the aperture formed in the substrate support and a rear surface of the substrate which is opposite to a front surface of the substrate, wherein the front surface of the substrate contains one or more semiconductor devices formed thereon and the amount of radiation is adapted to melt a region contained within the first area.
[0019] Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, and delivering a plurality of electromagnetic energy pulses to first area on a surface of a substrate that is in thermal communication with a first region of the substrate, wherein delivering a plurality of electromagnetic energy pulses comprises delivering a first pulse of electromagnetic energy to the surface of the substrate, delivering a second pulse of electromagnetic energy to the surface of the substrate, and adjusting the time between the start of the first pulse and the start of the second pulse so that the material contained in the first region melts.
[0020] Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, and delivering electromagnetic energy to a surface of a substrate that is in thermal communication with a first region and a second region of the substrate, wherein delivering electromagnetic energy comprises delivering a first amount of electromagnetic energy at a first wavelength to preferentially melt a material contained in the first region rather than the second region, and delivering a second amount of electromagnetic energy at a second wavelength to preferentially melt the material contained in the first region rather than the second region, wherein the delivering a second amount of electromagnetic energy and the delivering a first amount of electromagnetic energy overlap in time.
[0021] Embodiments of the invention further provide a method of thermally processing a substrate, comprising positioning a substrate on a substrate support, and delivering electromagnetic energy to a surface of a substrate that is in thermal communication with a first region and second region of the substrate, wherein delivering electromagnetic energy comprises adjusting the shape of a pulse of electromagnetic energy as a function of time to preferentially melt the material contained in the first region.
BRIEF DESCRIPTION OF THE DRAWINGS
[0022] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0023] Figure 1 illustrates an isometric view of an energy source that is adapted to project an amount of energy on a defined region of the substrate described within an embodiment herein;
[0024] Figures 2A-2F illustrate a schematic side view of a region on a surface of a substrate described within an embodiment herein;
[0025] Figure 3A illustrate a graph of concentration versus depth into a region of a substrate illustrated in Figure 2A that is within an embodiment herein;
[0026] Figure 3B illustrate a graph of concentration versus depth into a region of a substrate illustrated in Figure 2B that is within an embodiment herein; [0027] Figure 3C illustrate a graph of concentration versus depth into a region of a substrate illustrated in Figure 2C that is within an embodiment herein;
[0028] Figures 4A-4G schematic diagrams of electromagnetic energy pulses described within an embodiment herein;
[0029] Figures 5A-5C illustrate a schematic side view of a region on a surface of a substrate described within an embodiment herein;
[0030] Figure 6A illustrate methods of forming one or more desired layers on a surface of the substrate described within an embodiment contained herein;
[0031] Figures 6B-6D illustrate schematic side views of a region of a substrate described in conjunction with the method illustrated in Figure 6A that is within an embodiment described herein;
[0032] Figure 6E illustrate methods of forming one or more desired layers on a surface of the substrate described within an embodiment contained herein;
[0033] Figures 6F-6G illustrate schematic side views of a region of a substrate described in conjunction with the method illustrated in Figure 6E that is within an embodiment described herein;
[0034] Figure 7 illustrates a schematic side view of a region on the surface of a substrate described within an embodiment herein;
[0035] Figure 8 illustrates a schematic side view of a region on the surface of a substrate described within an embodiment herein.
[0036] Figure 9 illustrates a schematic side view of system that has an energy source that is adapted to project an amount of energy on a defined region of the substrate described within an embodiment herein;.
DETAILED DESCRIPTION
[0037] The present invention generally improves the performance of the implant anneal steps used in the process of manufacturing a semiconductor devices on a substrate. Generally, the methods of the present invention may be used to preferentially anneal selected regions of a substrate by delivering enough energy to the selected regions to cause them to re-melt and solidify. [0038] In general the term "substrates" as used herein can be formed from any material that has some natural electrical conducting ability or a material that can be modified to provide the ability to conduct electricity. Typical substrate materials include, but are not limited to semiconductors, such as silicon (Si) and germanium (Ge), as well as other compounds that exhibit semiconducting properties. Such semiconductor compounds generally include group IM-V and group M-VI compounds. Representative group IM-V semiconductor compounds include, but are not limited to, gallium arsenide (GaAs), gallium phosphide (GaP), and gallium nitride (GaN). Generally, the term semiconductor substrates include bulk semiconductor substrates as well as substrates having deposited layers disposed thereon. To this end, the deposited layers in some semiconductor substrates processed by the methods of the present invention are formed by either homoepitaxial (e.g., silicon on silicon) or heteroepitaxial {e.g., GaAs on silicon) growth. For example, the methods of the present invention may be used with gallium arsenide and gallium nitride substrates formed by heteroepitaxial methods. Similarly, the invented methods can also be applied to form integrated devices, such as thin-film transistors (TFTs), on relatively thin crystalline silicon layers formed on insulating substrates {e.g., silicon-on- insulator [SOI] substrates).
[0039] In one embodiment of the invention, an amount of energy is delivered to the surface of the substrate to preferentially melt certain desired regions of the substrate to remove unwanted damage created from prior processing steps (e.g., crystal damage from implant processes), more evenly distribute dopants in various regions of the substrate, and/or activate various regions of the substrate. The preferential melting processes will allow more uniform distribution of the dopants in the melted region, due to the increased diffusion rate and solubility of the dopant atoms in the moltent region of the substrate. The creation of a melted region thus allows: 1 ) the dopant atoms to redistribute more uniformly, 2) defects created in prior processing steps to be removed, and 3) regions that have hyper-abrupt dopant concentrations to be formed. The gradient in dopant concentration in a region that has a hyper- abrupt dopant concentrations is very large (e.g., < 2 nm/decade of concentration) as the concentration rapidly changes from one region to another in the device. [0040] Use of the techniques described herein allows junctions to be formed that contain higher dopant concentrations than conventional devices, since the common negative attributes of the formed junctions, such as an increase in the concentration of defects in the substrate material by the increase in doping level, can be easily reduced to an acceptable level by use of the processing techniques described herein. The higher dopant levels and abrupt changes in the dopant concentration can thus increase the conductivity of various regions of the substrate, thus improving device speed without negatively affecting device yield, while minimizing the diffusion of dopants into various regions of the substrate. The resultant higher dopant concentration increases the conductivity of the formed device and improves its performance. Typically, devices that are formed using an RTP process, will not use a dopant concentration greater than about 1 x 1015 atoms/cm2, since the higher dopant concentrations cannot readily diffuse into the bulk material of the substrate during typical RTP processes and will instead result in clusters of dopant atoms and other types of defects. Using one or more of the embodiments of the anneal process described herein, much more dopant (up to 5-10 times more dopant, i.e., 1 X 1016 atoms/cm2) may be successfully incorporated into the desired substrate surface, since regions of the substrate are preferentially melted so that the dopants will become more evenly distributed throughout the liquid before the liquefied regions solidify.
[0041] Figure 1 illustrates an isometric view of one embodiment of the invention where an energy source 20 is adapted to project an amount of energy on a defined region, or a anneal region 12, of the substrate 10 to preferentially melt certain desired regions within the anneal region 12. In one example, as shown in Figure 1 , only one or more defined regions of the substrate, such as anneal region 12, are exposed to the radiation from the energy source 20 at any given time. In one aspect of the invention, multiple areas of the substrate 10 are sequentially exposed to a desired amount of energy delivered from the energy source 20 to cause the preferential melting of desired regions of the substrate. In general, the areas on the surface of the substrate may be sequentially exposed by translating the substrate relative to the output of the electromagnetic radiation source {e.g., conventional X/Y stage, precision stages) and/or translating the output of the radiation source relative to the substrate. Typically, one or more conventional electrical actuators 17 {e.g., linear motor, lead screw and servo motor), which may be part of a separate precision stage (not shown), are used to control the movement and position of substrate 10. Conventional precision stages that may be used to support and position the substrate 10, and heat exchanging device 15, may be purchased from Parker Hannifin Corporation, of Rohnert Park, California.
[0042] In one aspect, the anneal region 12 is sized to match the size of the die 13 {e.g., 40 "die" are shown in Figure 1), or semiconductor devices (e.g., memory chip), that are formed on the surface of the substrate. In one aspect, the boundary of the anneal region 12 is aligned and sized to fit within the "kurf" or "scribe" lines 10A that define the boundary of each die 13. In one embodiment, prior to performing the annealing process the substrate is aligned to the output of the energy source 20 using alignment marks typically found on the surface of the substrate and other conventional techniques so that the anneal region 12 can be adequately aligned to the die 13. Sequentially placing anneal regions 12 so that they only overlap in the naturally occurring unused space/boundaries between die 13, such as the scribe or kurf lines, reduces the need to overlap the energy in the areas where the devices are formed on the substrate and thus reduces the variation in the process results between the overlapping anneal regions. This technique has advantages over conventional processes that sweep the laser energy across the surface of the substrate, since the need to tightly control the overlap between adjacently scanned regions to assure uniform annealing across the desired regions of the substrate is not an issue due to the confinement of the overlap to the unused space between die 13. Confining the overlap to the unused space/boundary between die 13 also improves process uniformity results versus conventional scanning anneal type methods that utilize adjacent overlapping regions that traverse all areas of the substrate. Therefore, the amount of process variation, due to the varying amounts of exposure to the energy delivered from the energy source 20 to process critical regions of the substrate is minimized, since any overlap of delivered energy between the sequentially placed anneal regions 12 can be minimized. In one example, each of the sequentially placed anneal regions 12 are a rectangular region that is about
22 mm by about 33 mm in size {e.g., area of 726 square millimeters (mm2)). In one aspect, the area of each of the sequentially placed anneal regions 12 formed on the surface of the substrate is between about 4 mm2 (e.g., 2mm x 2mm) and about 1000 mm2 (e.g., 25mm x 40mm).
[0043] The energy source 20 is generally adapted to deliver electromagnetic energy to preferentially melt certain desired regions of the substrate surface. Typical sources of electromagnetic energy include, but are not limited to an optical radiation source (e.g., laser), an electron beam source, an ion beam source, and/or a microwave energy source. In one aspect, the substrate 10 is exposed to a pulse of energy from a laser that emits radiation at one or more appropriate wavelengths for a desired period of time. In one aspect, pulse of energy from the energy source 20 is tailored so that the amount of energy delivered across the anneal region 12 and/or the amount of energy delivered over the period of the pulse is optimized to enhance preferential melting of certain desired areas. In one aspect, the wavelength of the laser is tuned so that a significant portion of the radiation is absorbed by a silicon layer disposed on the substrate 10. For laser anneal process performed on a silicon containing substrate, the wavelength of the radiation is typically less than about 800 nm, and can be delivered at deep ultraviolet (UV), infrared (IR) or other desirable wavelengths. In one embodiment, the energy source 20 is an intense light source, such as a laser, that is adapted to deliver radiation at a wavelength between about 500 nm and about 11 micrometers. In either case, the anneal process generally takes place on a given region of the substrate for a relatively short time, such as on the order of about one second or less.
[0044] In one aspect, the amount of energy delivered to the surface of the substrate is configured so that the melt depth does not extend beyond the amorphous depth defined by the amorphization implant step. Deeper melt depths facilitate the diffusion of dopant from the doped amorphous layers into the undoped molten layers. Such undesirable diffusion would sharply and deleteriously alter the electrical characteristics of the circuits on the semiconductor substrate. In some anneal processes, energy is delivered to the surface of a substrate for a very short time in order to melt the surface of the substrate to a sharply defined depth, for example less than 0.5 micrometers. The exact depth is determined by the size of the electronic device being manufactured.
Temperature Control of the Substrate During the Anneal Process
[0045] In one embodiment, it may be desirable to control the temperature of the thermally substrate during thermal processing by placing a surface of the substrate 10, illustrated in Figure 1 , in thermal contact with a substrate supporting surface 16 of a heat exchanging device 15. The heat exchanging device 15 is generally adapted to heat and/or cool the substrate prior to or during the annealing process. In this configuration, the heat exchanging device 15, such as a conventional substrate heater available from Applied Materials Inc., Santa Clara, California, may be used to improve the post-processing properties of the annealed regions of the substrate. In general, the substrate 10 is placed within an enclosed processing environment (not shown) of a processing chamber (not shown) that contains the heat exchanging device 15. The processing environment within which the substrate resides during processing may be evacuated or contain an inert gas that has a low partial pressure of undesirable gases during processing, such as oxygen.
[0046] In one embodiment, the substrate may be preheated prior to performing the annealing process so that the energy required to reach the melting temperature is minimized, which may reduce any induced stress due to the rapid heating and cooling of the substrate and also possibly reduce the defect density in the resolidified areas of the substrate. In one aspect, the heat exchanging device 15 contains resistive heating elements 15A and a temperature controller 15C that are adapted to heat a substrate disposed on a substrate supporting surface 16. The temperature controller 15C is in communication with the controller 21 (discussed below). In one aspect, it may be desirable to preheat the substrate to a temperature between about 20 0C and about 750 0C. In one aspect, where the substrate is formed from a silicon containing material it may be desirable to preheat the substrate to a temperature between about 20 0C and about 500 °C.
[0047] In another embodiment, it may be desirable to cool the substrate during processing to reduce any interdiffusion due to the energy added to substrate during the annealing process and/or increase the regrowth velocity after melting to increase the amorphization of the various regions during processing, such as described in conjunction with Figure 8. In one configuration, the heat exchanging device 15 contains one or more fluid channels 15B and a cryogenic chiller 15D that are adapted to cool a substrate disposed on a substrate supporting surface 16. In one aspect, a conventional cryogenic chiller 15D, which is in communication with the controller 21 , is adapted to deliver a cooling fluid through the one or more fluid channels 15B. In one aspect, it may be desirable to cool the substrate to a temperature between about -240 °C and about 20 °C.
[0048] The controller 21 (Figure 1 ) is generally designed to facilitate the control and automation of the thermal processing techniques described herein and typically may includes a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown). The CPU may be one of any form of computer processors that are used in industrial settings for controlling various processes and hardware (e.g., conventional electromagnetic radiation detectors, motors, laser hardware) and monitor the processes {e.g., substrate temperature, substrate support temperature, amount of energy from the pulsed laser, detector signal). The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include conventional cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like. A program (or computer instructions) readable by the controller determines which tasks are performable on a substrate. Preferably, the program is software readable by the controller and includes code to monitor and control the substrate position, the amount of energy delivered in each electromagnetic pulse, the timing of one or more electromagnetic pulses, the intensity and wavelength as a function of time for each pulse, the temperature of various regions of the substrate, and any combination thereof. Selective Melting
[0049] In an effort to minimize inter-diffusion between various regions of a formed device, remove defects in the substrate material, and more evenly distribute dopants in various regions of the substrate, one or more processing steps are performed on various regions of the substrate to cause them to preferentially remelt when exposed to energy delivered from an energy source during the anneal process. The process of modifying the properties of a first region of the substrate so that it will preferentially melt rather than a second region of the substrate, when they are both exposed to about the same amount energy during the annealing process, is hereafter described as creating a melting point contrast between these two regions. In general, the substrate properties that can be modified to allow preferential melting of desired regions of the substrate include implanting, driving-in and/or co-depositing one or more elements within a desired regions of the substrate, creating physical damage to desired regions of the substrate, and optimizing the formed device structure to create the melting point contrast in desired regions of the substrate. Each of these modification processes will be reviewed in turn.
[0050] Figures 2A-2C illustrate cross-sectional views of an electronic device 200 at different stages of a device fabrication sequence incorporating one embodiment of the invention. Figure 2A illustrates a side view of typical electronic device 200 formed on a surface 205 of a substrate 10 that has two doped regions 201 (e.g., doped regions 201A-201 B), such as a source and drain region of a MOS device, a gate 215, and a gate oxide layer 216. The doped regions 201A-201 B are generally formed by implanting a desired dopant material into the surface 205 of the substrate 10. In general, typical n-type dopants (donor type species) may include arsenic (As), phosphorus (P), and antimony (Sb), and typical p-type dopants (acceptor type species) may include boron (B), aluminum (Al), and indium (In) that are introduced into the semiconductor substrate 10 to form the doped regions 201A-201 B. Figure 3A illustrates an example of the concentration of the dopant material as a function of depth (e.g., curve Ci), from the surface 205 and into the substrate 10 along a path 203 extending through the doped region 201 A. The doped region 201 A has a junction depth D1 after the implant process, which may be defined as a point where the dopant concentration drops off to a negligible amount. It should be noted that Figures 2A-2F are only intended to illustrate some of the various aspects of the invention and is not intended to be limiting as to the type of device, type of structure, or regions of a device that may be formed using the various embodiments of the invention described herein. In one example, the doped regions 201 {e.g., source or drain regions in a MOS device) can be a raised or lowered relative to the position of the gate 215 (e.g., gate in a MOS device) without varying from the scope of the invention described herein. As semiconductor device sizes decrease the position and geometry of structural elements of the electronic devices 200 formed on the surface 205 of a substrate 10 may vary to improve device manufacturability or device performance. It should also be noted that the modification of only a single doped region 201 A, as shown in Figures 2A-2E, is not intended to be limiting as to the scope of the invention described herein and is only meant to illustrate how embodiments of the invention can be used to manufacture a semiconductor device.
[0051] Figure 2B illustrates a side view of the electronic device 200 shown in Figure 2A during a process step that is adapted to selectively modify the properties of a discrete region {e.g., modified area 210) of the substrate 10, which in this case is a region containing a single doped region 201 A, to create a melting point contrast. After performing the modification process a melting point contrast will be created between the modified area 210 and unmodified areas 211. In one embodiment, the modification process includes the step(s) of adding a material to a layer as it is being deposited on the surface of the substrate, where the incorporated material is adapted to form an alloy with the substrate material to lower the melting point of a region 202 within the modified area 210. In one aspect, the incorporated material is added to the deposited layer during an epitaxial layer deposition process.
[0052] In another embodiment, the modification process includes the step of implanting (see "A" in Figure 2B) a material that is adapted to form an alloy with the substrate material to lower the melting point of a region 202 within the modified area 210. In one aspect, the modification process is adapted to implant the alloying material to a depth D2, as shown in Figure 2B. Figure 3B illustrates an example of the concentration of the dopant material (e.g., curve Ci) and implanted alloying material (e.g., curve C2) as a function of depth, from the surface 205 and through the substrate 10 along a path 203. In one aspect, where the substrate 10 is formed from a silicon containing material and the implanted alloying materials that may be used include, for example, germanium (Ge), arsenic (As), gallium (Ga), carbon (C), tin (Sn), and antimony (Sb). In general, the alloying material can be any material that when heated in the presence of the substrate base material causes the melting point of the region 202 in the modified area 210 to be lowered relative to the unmodified areas 211. In one aspect, a region of a silicon substrate is modified by the addition of between about 1% and about 20% of germanium to reduce the melting point between the modified and un-modified area. It is believed that the addition of germanium in these concentrations will lower the melting point of the modified areas versus the un-modified areas by about 300 °C. In one aspect, the region 202 formed in a silicon substrate contains germanium (Ge) and carbon (C), so that a SixGeyCz alloy will form to lower the melting point of the region 202 relative to the unmodified areas 211. In another aspect, a region of a silicon substrate is modified by the addition of about 1% or less of arsenic to reduce the melting point between the modified and un-modified area.
[0053] In another embodiment, the modification process includes the step of inducing some damage to the substrate 10 material in the various modified areas {e.g., modified area 210) to damage the crystal structure of the substrate, and thus make these regions more amorphous. Inducing damage to the crystal structure of the substrate, such as damaging a single crystal silicon substrate, will reduce the melting point of this region relative to an undamaged region due to the change in the bonding structure of atoms in the substrate and thus induce thermodynamic property differences between the two regions. In one aspect, damage to the modified area 210 in Figure 2B is performed by bombarding the surface 205 of the substrate 10 (see "A" in Figure 2B) with a projectile that can create damage to the surface of the substrate. In one aspect, the projectile is a silicon (Si) atom that is implanted into a silicon containing substrate to induce damage to the region 202 within the modified area 210. In another aspect, the damage to the substrate material is created by bombarding the surface with gas atoms, such as argon (Ar), krypton (Kr), xenon
(Xe) or even nitrogen (N2), using an implant process, an ion beam or biased plasma to induce damage to region 202 of the modified area 210. In one aspect, the modification process is adapted to create a region 202 that has induced damage to a depth D2, as shown in Figure 2B. It is believed that a dislocation or vacancy density of between about 5 x 1014 and about 1 x 1016 /cm2 may be useful to create the melting point contrast between a modified area 210 versus an unmodified area 211. In one aspect, Figure 3B illustrates an example of the concentration of the dopant material (e.g., curve C1) and defects density (e.g., curve C2) as a function of depth, from the surface 205 and through the substrate 10 along a path 203.
[0054] It should be noted that while Figures 2A-2B illustrate a process sequence in which the modification process is performed after the doping process, this process sequence is not intended to be limiting as to the scope of the invention described herein. For example, in one embodiment, it is desirable to perform the modification process described in Figure 2B prior to performing the doping process described in Figure 2A.
[0055] Figure 2C illustrates a side view of the electronic device 200 shown in Figure 2B that is exposed to radiation "B" emitted from the an energy source, such as optical radiation from a laser. During this step the modified area(s) (e.g., modified area 210) and unmodified areas (e.g., 211) disposed across the substrate 10 are exposed to an amount of energy which causes the region 202 in the modified area(s) 210 to selectively melt and resolidify after the pulse of radiation "B" has been applied, while the unmodified areas 211 remain in a solid state. The amount of energy, the energy density and the duration that the radiation "B" is applied can be set to preferentially melt the regions 202 by knowing the desired depth of the region 202, the materials used to create the region 202, the other materials used to form the electronic device 200, and the heat transfer characteristics of the components within the formed electronic device 200. As shown in Figures 2C and 3C, upon exposure to the radiation "B" the remelting and solidification of the region 202 causes the concentration of the dopant atoms (e.g., curve Ci) and alloying atoms (e.g., curve C2) is more uniformly redistributed in the region 202. Also, the dopant concentration between the region 202 and the substrate bulk material 221 has a sharply defined boundary (i.e., a "hyper-abrupt" junction) and thus minimizes the unwanted diffusion into the substrate bulk material 221. In the embodiment, discussed above, in which damage is induced into the substrate 10 to improve the melting point contrast the concentration of defects {e.g., curve C2) after resolidification will preferably drop to a negligible level.
Thermal Isolation Techniques
[0056] In another embodiment, the various thermal properties of different regions of the formed device are tailored to preferentially cause the melting in one region versus another region. In one aspect, the melting point contrast is created by forming different regions of the device with materials that have different thermal conductivities (k). It should be noted that heat transferred by conduction is governed by the equation:
Q = kA ΔT/Δx
in which Q is the time rate of heat flow through a body, k is the conductivity constant dependent on the nature of the material and the material temperature, A is the area through which the heat flows, Δx is the thickness of the body of matter through which the heat is passing, and ΔT is the temperature difference through which the heat is being transferred. Therefore, since k is a property of the material the selection or modification of the material in various regions of the substrate can allow one to control the heat flow into and out-of the different regions of the substrate to increase the melting point contrast for the various regions. In other words, where the material in a region of a substrate has a higher thermal conductivity than the material in other regions, it will lose more thermal energy via conductive losses during a laser anneal process, and, hence, will not reach the same temperatures that another region that has a lower thermal conductivity will reach. The regions in intimate contact with the higher thermally conductive regions can be prevented from melting, while other regions in intimate contact with lower thermal conductivity regions will reach their melting point during the laser anneal process. By controlling the thermal conductivity of the various regions of the electronic device 200 the melting point contrast can be increased. The creation of regions having varying thermal conductivities may be performed by performing conventional deposition, patterning and etching techniques in various underlying layers of the electronic device 200 to create these regions having different thermal conductivities. The underlying layers having differing thermal conductivities may be formed by use of conventional chemical vapor deposition (CVD) processes, atomic layer deposition (ALD) processes, implant processes, and epitaxial deposition techniques.
[0057] Figure 2D illustrates a side view of the electronic device 200 that is has a buried region 224 that has a lower thermal conductivity than the substrate bulk material 221. In this case the radiation "B" emitted from an energy source, is absorbed at the surface 205 of the substrate and is conducted through the substrate 10, so that the heat flow (Q1) in the region above (e.g., doped region 201A) the buried region 224 is less than the heat flow (Q2) from an area that doesn't have the lower conductivity buried layer. Therefore, since the heat lost from the region above the buried region 224 is less than the other regions of the substrate, this area will reach a higher temperature than the other regions of the device. By controlling the amount of energy delivered by the energy source 20 the temperature in the regions above the buried layer can be raised to a level that will cause it to preferentially melt versus the other regions. In one aspect, the buried region 224 is made of an insulative material, such as a silicon dioxide (SiO2), silicon nitride (SiN), germanium (Ge), gallium arsenide (GaAs), combinations thereof or derivatives thereof. So although the actual melting point of the substrate material in the region that is to be melted is not altered, there is still a quantifiable and repeatable contrast in thermal behavior from other regions of the substrate surface that allows it to be selectively melted. In another embodiment, the buried region 224 may have a higher conductivity than the substrate bulk material 221 , which may then allow the areas that do not have the buried layer to preferentially melt versus the regions above the buried layer.
Modification of Surface Properties
[0058] In one embodiment, the properties of the surface over the various regions 202 of the substrate 10 are altered to change the melting point contrast between one or more desired regions. In one aspect, the emissivity of the surface of the substrate in a desired region is altered to change the amount of energy transferred from the substrate surface during processing. In this case, a region that has a lower emissivity than another region will achieve a higher processing temperature due to its inability to reradiate the absorbed energy received from the energy source 20. When performing an anneal process that involves the melting of the surface of a substrate, the processing temperatures achieved at the surface of the substrate can be quite high {e.g., -1414 0C for silicon), and thus the effect of varying the emissivity can have a dramatic effect on the melting point contrast, since radiative heat transfer is the primary heat loss mechanism. Therefore, variations in the emissivity of different regions of the substrate surface may have a significant impact on the ultimate temperatures reached by the various regions of the substrate. Regions with low emissivity may be elevated above the melting point during the annealing process, while regions with high emissivity that have absorbed the same amount of energy may remain substantially below the melting point. Varying the emissivity of the various surfaces, or emissivity contrast, may be accomplished via selective deposition of a low- or high-emissivity coating onto the substrate surface, and/or modifying the surface of the substrate {e.g., surface oxidation, surface roughening).
[0059] In one embodiment, the reflectivity of the surface of the substrate in one or more regions is altered to change the amount of energy absorbed when the substrate 10 is exposed to energy from the energy source. By varying the reflectivity of the surface of the substrate the amount of energy absorbed and thus the maximum temperature achieved by the substrate in a region at and below the substrate surface will differ based on the reflectivity. In this case a surface having a lower reflectivity will more likely melt than another region that has a higher reflectivity. Varying the reflectivity of the surface of the substrate may be accomplished via selective deposition of a low- or high-reflectance coating onto the substrate surface, and/or modifying the surface of the substrate (e.g., surface oxidation, surface roughening). A highly absorbing (non-reflective) coating may be selectively applied to regions that are intended to be melted during the anneal process. [0060] Figures 2E illustrates one embodiment in which a coating 225 is selectively deposited, or uniformly deposited and then selectively removed, to leave a layer that has a different emissivity and/or reflectivity than the other regions on the surface 205 of the substrate 10. In this case the heat flow (Qi) in the doped region 201 A, below the coating 225, can be adjusted based on the properties of the coating versus the energy absorbed (Q2) in other regions of the substrate. In this way the heat loss (Q3) or reflected from the coating 225 can be varied versus the heat lost (Q4) from the other regions. In one aspect, a carbon containing coating is deposited on the substrate surface by use of a CVD deposition process.
[0061] Figure 2F illustrates one embodiment in which a coating 226 that alters the optical properties of the surface of the substrate (e.g., emissivity, reflectivity) is deposited over the surface of the substrate, for example over the device shown in Figure 2A, and then an amount of material is removed to create regions that have differing optical properties. For example, as shown in Figure 2F, the coating 226 has been removed from the surface of the gate 215, thus leaving the surface of the coating 226 and the surface 205 of the gate exposed to the incident radiation "B." In this case, the coating 226 and the surface 205 of the gate have different optical properties, such as a different emissivity and/or a different reflectivity. The removal process used to expose or create regions that have differing optical properties may be performed by use of a conventional material removal process, such as a wet etch or chemical mechanical polishing (CMP) process. In this case the absorption and heat flow (Q1) in the doped regions 201A-201 B, below the coating 226, can be adjusted based on the properties of the coating versus the absorption and heat flow (Q2) in gate 215 region of the substrate. In this way the heat loss (Q3) or reflected from the coating 226 can be varied versus the heat loss (Q4) or reflected from the gate 215 region.
[0062] In one embodiment, the coating 226 contains one or more deposited layers of a desired thickness that either by themselves or in combination modify the optical properties (e.g., emissivity, absorbance, reflectivity) of various regions of the substrate that are exposed to one or more wavelengths of incident radiation. In one aspect, the coating 226 contains layers that either by themselves or in combination preferentially absorb or reflect one or more wavelengths of the incident radiation "B." In one embodiment, the coating 226 contains a dielectic material, such as fluorosilicate glass (FSG), amorphous carbon, silicon dioxide, silicon carbide, silicon carbon germanium alloys (SiCGe), nitrogen containing silicon carbide (SiCN), a BLOk™ dielectric material made by a process that is commercially available from Applied Materials, Inc., of Santa Clara, or a carbon containing coating that is deposited on the substrate surface by use of a chemical vapor deposition (CVD) process or atomic layer deposition process (ALD) process. In one aspect, coating 226 contains a metal, such as but not limited to titanium (Ti), titanium nitride (TiN), tantalum (Ta), cobalt (Co), or ruthenium (Ru).
[0063] It should be noted that one or more of the various embodiments, discussed herein, may be used in conjunction with each other in order to further increase process window. For example, a selectively deposited, light absorbing coating may be used in conjunction with doping of certain defined regions to broaden the process window of the anneal process.
Tuning the Energy Source Output to Achieve Preferential Melting
[0064] As noted above, the energy source 20 is generally adapted to deliver electromagnetic energy to preferentially melt certain desired regions of the substrate 10. Typical sources of electromagnetic energy include, but are not limited to an optical radiation source {e.g., laser (UV, IR, etc. wavelengths)), an electron beam source, an ion beam source, and/or a microwave energy source. In one embodiment of the invention, the energy source 20 is adapted to deliver optical radiation, such as a laser, to selectively heat desired regions of a substrate to the melting point.
[0065] In one aspect, the substrate 10 is exposed to a pulse of energy from a laser that emits radiation at one or more appropriate wavelengths, and the emitted radiation has a desired energy density (W/cm2) and/or pulse duration to enhance preferential melting of certain desired regions. For laser annealing processes performed on a silicon containing substrate, the wavelength of the radiation is typically less than about 800 nm. In either case, the anneal process generally takes place on a given region of the substrate for a relatively short time, such as on the order of about one second or less. The desired wavelength and pulse profile used in an annealing process may be determined based on optical and thermal modeling of the laser anneal process in light of the material properties of the substrate.
[0066] Figures 4A-4D illustrate various embodiments in which the various attributes of the pulse of energy delivered from an energy source 20 to an anneal region 12 (Figure 1) is adjusted as a function of time to achieve improved melting point contrast, and improve the anneal process results. In one embodiment, it is desirable to vary the shape of a laser pulse as a function of time, and/or vary the wavelengths of the delivered energy to enhance the heat input into regions of the substrate intended to be melted and minimize the heat input into other regions. In one aspect, it may also be desirable to vary the energy delivered to the substrate.
[0067] Figure 4A graphically illustrates a plot of delivered energy versus time of a single pulse of electromagnetic radiation (e.g., pulse 401 ) that may be delivered from the energy source 20 to the substrate 10 (see Figure 1). The pulse illustrated in Figure 4A is generally a rectangular pulse that delivers a constant amount of energy (E1) for the complete pulse duration (ti).
[0068] In one aspect, the shape of the pulse 401 may be varied as a function of time as it is delivered to the substrate 10. Figure 4B graphically illustrates a plot of two pulses 401 A, 401 B of electromagnetic radiation that may be delivered from one energy source 20 to the substrate 10 that have a different shape. In this example, each pulse may contain the same total energy output, as represented by the area under each curve, but the effect of exposing regions of the substrate 10 to one pulse versus another pulse may improve the melting point contrast experienced during the anneal process. Therefore, by tailoring the shape, peak power level and/or amount of energy delivered in each pulse the anneal process may be improved. In one aspect, the pulse is gaussian shaped.
[0069] Figure 4C graphically illustrates a pulse of electromagnetic radiation {e.g., pulse 401 ) that is trapezoidal in shape. In this case, in two different segments (e.g., 402 and 404) of the pulse 401 the energy delivered is varied as a function of time. While Figure 4C illustrates a pulse 401 profile, or shape, in which the energy versus time varies in a linear fashion, this is not intended to be limiting as to the scope of the invention since the time variation of the energy delivered in a pulse may, for example, have a second degree, third degree, or fourth degree shaped curve. In another aspect, the profile, or shape, of the energy delivered in a pulse as a function of time may be a second order, a third order, or exponential-shaped curve. In another embodiment, it may be advantageous to use a pulse having different shapes (e.g., rectangular and triangular modulation pulse, sinusoidal and rectangular modulation pulse, rectangular, triangular and sinusoidal modulation pulse, etc.) during processing to achieve the desired annealing results.
[0070] Depending on the properties of the various regions of the device the shape of the delivered pulse of electromagnetic radiation may be tailored to improve the anneal process results. Referring to Figure 4B, for example, in some situations in which various regions of a substrate that are to be melted during the anneal process are thermally isolated from other regions of the device by areas that have a low thermal conductivity, use of a pulse having a shape similar to pulse 401 B may be advantageous. A pulse having a longer duration may be advantageous, since the more thermally conductive material regions of the substrate will have more time to dissipate the heat by conduction, while the regions that are to be melted are more thermally isolated thus allowing the temperature in the regions that are to be melted to rise to a melting point temperature. In this case the duration, peak power level and total energy output of the pulse can be appropriately selected, so that the areas that are not intended to melt will not reach their melting point. The process of tailoring the shape of the pulse may also be advantageous when surfaces of varying emissivity are used to create a melting point contrast.
[0071] Referring to Figure 4C, in one embodiment, the slope of the segment 401 , the shape of the segment 401 , the shape of the segment 403, the time at a power level [e.g., segment 403 at the energy level Ei), the slope of the segment 404, and/or the shape of the segment 404 are adjusted to control the annealing process. It should be noted that it is generally not desirable to cause the material within the annealed regions to vaporize during processing due to particle and process result variability concerns. It is therefore desirable to adjust the shape of the pulse of energy to rapidly bring the temperature of the annealed region to it melting point without superheating the region and causing vaporization of the material. In one embodiment, as shown Figure 4G, the shape of the pulse 401 may adjusted so that it has multiple segments (i.e., segments 402, 403A, 403B, 403C, and 404) are used to rapidly bring the anneal region to its melting point and then hold the material in a molten state for a desired period of time (e.g., ti), while preventing vaporization of material within the annealing region. The length of time, the shape of the segments and the duration of each of the pulse segments may vary as the size, melt depth, and the material contained within the annealing regions is varied.
[0072] In another aspect, multiple wavelengths of radiant energy may be combined to improve the energy transfer to the desired regions of the substrate to achieve an improved melting point contrast, and/or improve the anneal process results. In one aspect, the amount of energy delivered by each of the combined wavelengths is varied to improve the melting point contrast, and improve the anneal process results. Figure 4D illustrates one example in which a pulse 401 contains two wavelengths that may deliver differing amounts of energy per unit time to a substrate 10 in order to improve the melting point contrast and/or improve the anneal process results. In this example, a frequency F1 is applied to the substrate at a constant level over the period of the pulse and another frequency F2 is applied to the substrate 10 at a constant level for most of the period except for a portion that peaks for a period of time during the period of the pulse.
[0073] Figure 4E graphically illustrates a plot of a pulse 401 that has two sequential segments that deliver energy at two different frequencies F3 and F4. Therefore, since various regions of the substrate may absorb energy at different rates at different wavelengths the use of pulse that contains multiple wavelengths that can deliver variable amounts of energy, as shown in Figure 4D and 4E, may be advantageous to achieve desirable annealing process results.
[0074] In one embodiment, two or more pulses of electromagnetic radiation are delivered to a region of the substrates at differing times so that the temperature of regions on the substrate surface can be easily controlled. Figure 4F graphically illustrates a plot of two pulses 401 A and 401 B that are delivered a varying distance in time apart, or period (t), to selectively melt certain regions on the surface of a substrate. In this configuration, by adjusting the period (t) between the subsequent pulses, the peak temperature reached by regions on the substrate surface can be easily controlled. For example, by reducing the period (t), or frequency, between pulses the heat delivered in the first pulse 401 A has less time to dissipate the heat before the second pulse 401 B is delivered, which will cause the peak temperature achieved in the substrate to be higher than when the period between pulses is increased. In this way by adjusting the period the energy and melt temperature can be easily controlled. In one aspect, it may desirable to assure that each pulse by itself does not contain enough energy to cause the substrate to reach the melt temperature, but the combination of the pulses causes the regions 202 to reach the melt temperature. This process of delivering multiple pulses, such as two or more pulses, will tend to reduce the thermal shock experienced by the substrate material versus delivering a single pulse of energy. Thermal shock can lead to damage of the substrate and generate particles that will create defects in subsequent processing steps performed on the substrate.
[0075] Referring to Figure 4F, in one embodiment, two or more energy sources, such as lasers, are operated in sequence so as to shape the thermal profile of the surface of a substrate as a function of time. For example, one laser or an array of lasers may deliver a pulse 401 A that elevates the surface of the substrate to a temperature T0 for a time ti. Prior to or at the end of ti, a second pulse 402B is delivered from a second laser, or from multiple lasers operating in tandem, that brings the substrate temperature to a temperature T1 for a time X2. The thermal profile can thus be shaped by controlling the sequencing pulses of energy delivered from the multiple lasers. This process may have thermal processing benefits, such as but not limited to the application of controlling dopant diffusion and the direction of the dopant diffusion.
Electromagnetic Radiation Pulses [0076] For the purpose of delivering sufficient electromagnetic radiation (light) to the surface of a silicon containing substrate, or substrate comprised of another material requiring thermal processing, the following a process controls may be used.
[0077] In one embodiment, two or more electromagnetic energy sources, such as lasers, are operated in sequence so as to shape the thermal profile of the surface being thermally processed and where the lasers are operated in such a manner as to correct for pulse-to-pulse energy variations. In one aspect, the source 20, schematically illustrated in Figures 1 and 9, contains two or more electromagnetic energy sources, such as but not limited to an optical radiation source (e.g., laser), an electron beam source, an ion beam source, and/or a microwave energy source. The pulse-to-pulse energy from a device such as a pulsed laser may have a percent variation of each pulse. The variation in pulse energy may be unacceptable for the substrate thermal process. To correct for this pulse variation, one or more laser(s) deliver a pulse that elevates the substrate temperature. Then an electronic controller {e.g., controller 21 in Figure 1 ), which is adapted to monitor the pulses delivered and the energy, or rise time, of the pulse that is in delivery, then is used to calculate the amount of energy required to "trim" or adjust the thermal profile (e.g., temperature of a region of the substrate as a function of time) so that it is within process targets and command a second smaller laser or series of smaller lasers to deliver the final energy to complete the thermal processing. The electronic controller generally uses one or more conventional radiation detectors to monitor the energy and/or wavelength of pulses delivered to the substrate. The smaller lasers may also have peak-to-peak variation in pulse output energy, but because they deliver substantially less energy per pulse than the initial pulse (or pulses) at the start of the surface treatment this error will generally be within process limits. The electronic controller is thus adapted to compensate for the variation in energy delivered by a pulse, and thus assure that a desired energy level is delivered during the thermal process.
[0078] In one aspect, the two or more energy sources, discussed above, may also be implemented using a single color (wavelength) of laser light with a bandwidth of color frequency, multiple wavelengths, single or multiple temporal and spatial laser modes, and polarization states.
[0079] The output of the laser or lasers will likely not have the correct spatial and temporal energy profile for delivery to the substrate surface. Therefore, a system using microlenses to shape the output of the lasers is used to create a uniform spatial energy distribution at the substrate surface. Selection of glass types and geometry of the microlenses may compensate for thermal lensing effects in the optical train necessary for delivering the pulsed laser energy to the substrate surface.
[0080] High frequency variations in pulse energy at the substrate surface, known as speckle, is created by neighboring regions of constructive and destructive phase interference of the incident energy. Speckle compensation may include the following: a surface acoustic wave device for rapidly varying the phase at the substrate such that this rapid variation is substantially faster than the thermal processing time of the laser pulse or pulses; pulse addition of laser pulses; alternating polarization of laser pulses for example, delivery of multiple simultaneous or delayed pulses that are linearly polarized but have their polarization states (e- vectors) in a nonparallel condition.
Thermal Stabilizing Structures Formed On A Patterned Substrate
[0081] In one embodiment, as shown in Figures 5A-5C, a homogenizing layer (item 110 in Figure 5B) is deposited on a surface of the substrate to reduce the variations in the depth, or volume, of the silicon region 112 melted when surface of the substrate is exposed to electromagnetic energy 150 delivered from an electromagnetic radiation source (not shown). The variation in the depth, or volume, of the region melted is affected by the variations in the mass density of the various regions of the patterned substrate, the absorption coefficient of the material on which the radiant energy impinges, and the various physical and thermal properties of the material (e.g., thermal conductivity, heat capacity, thickness of the material). In general the electromagnetic radiation source is designed to deliver electromagnetic energy to the surface of substrate to thermally process or anneal portions of the substrate surface. Typical electromagnetic radiation sources may include, but are not limited to optical radiation sources {e.g., lasers), electron beams, ion beams, or microwave sources.
[0082] The device structure formed on a surface 102 of the substrate 100 illustrated in Figures 5A-5C and 6A-6C are not intended to be limiting as to the scope of the invention described herein, since, for example, the silicon region 112 (e.g., source or drain regions in a MOS device) can be a raised or lowered relative to the position of the features 101 (e.g., gate in a MOS device) without varying from the scope of the invention described herein. As semiconductor device sizes decrease the position and geometry of structural elements of the devices formed on the surface of a substrate vary to improve device manufacturability or device performance.
[0083] Figure 5A illustrates a cross-sectional view of a substrate 100 that has a plurality of features 101 and silicon regions 112 formed on a surface 102 of the substrate 100. As shown in Figure 5A the surface 102 has multiple features 101 that are laterally spaced a varying distance apart. In one aspect, the features 101 are "gates" and the silicon regions 112 are "source and drain regions" used to form a metal oxide semiconductor (MOS) device on the substrate surface. In the configuration shown in Figure 5A the incident electromagnetic energy 150 impinges the surface 102 causing the some regions of the surface 102 of the substrate to absorb the incident energy and possibly form melt regions 113. The physical, thermal and optical properties of the various materials exposed to the incident electromagnetic energy 150 will determine whether the various areas on the surface 102 will melt upon exposure to the delivered energy. It is believed that when the features 101 are polysilicon gates the absorption energy from a laser, at wavelengths <800 nm, will be significantly less than the energy absorbed by the silicon regions 112 that contain N-type or P-type doped silicon, such as found in a source or drain region of a MOS device. Therefore, it is believed that due to the heat capacity and thermal mass of the features 101 , and their relative position to the silicon regions 112, the delivered electromagnetic energy 150 in the areas adjacent to the features 101 will remain cooler due to the diffusion of heat away from the melt region 113. The loss of heat to the features 101 will reduce the energy available to form the melt region 113 and thus affect the depth and/or volume, of the melt region 113. Therefore, there is a need for a way to reduce the variation in pattern density on the surface of the substrate.
[0084] Figure 5B illustrates a cross-sectional view of a substrate 100 that has a plurality of features 101 , silicon regions 112 and a homogenizing layer 120 formed on a surface 102 of the substrate 100. Figure 5B is similar to Figure 5A except the addition of the homogenizing layer 120. In general the homogenizing layer 120 is used to make the heat capacity of the surface 102 of the substrate 100 more uniform. In one embodiment, the thickness and material from which the homogenizing layer 120 is formed is selected to balance the heat capacity of the surface of the substrate to reduce the effect of a varying mass density across the substrate surface and thus reduce the variation in the depth and/or volume of the melt region 113. In general, the homogenizing layer 120 material is selected so that it will not melt during the subsequent annealing process and it can be selectively removed from the surface of the substrate after the annealing processes have been performed. In one aspect, the homogenizing layer 120 is a material that is similar in composition to the material that the features 101 are made from, such as, for example, a polysilicon containing material. In another aspect, the homogenizing layer 120 is a silicon carbide containing material or a metal {e.g., titanium, titanium nitride, tantalum, tungsten).
[0085] Preferably, the thickness of the homogenizing layer 120 (e.g., di) is selected so that the heat capacity of the device structure is uniform. In one aspect, the thickness, d-\ of the homogenizing layer 120 is governed by:
^ = (a,)0-5 X Id2ZUa2)0-5)] where ύ2 = Thickness of the features 101 (see Figure 5B) O1 = K1Z(P1CpI) and
Figure imgf000033_0001
where K1 equals the thermal conductivity of the material used to form the homogenizing layer, P1 equals the mass density of the material used to form the homogenizing layer 120, Cp1 equals heat capacity of the material used to form the homogenizing layer 120, K2 equals the thermal conductivity of the material used to form the features 101 , p2 equals the mass density of the material used to form the features 101 , and Cp2 equals the heat capacity of the material used to form the features 101.
[0086] Figure 6A Illustrates a series of method steps that may be used to form the homogenizing layer 120 on a surface 102 of the substrateiOO. In step 190, shown in Figures 6A and 6B, the homogenizing layer 120 is deposited over the surface 102 {e.g., features 101) of the substrate 100 by use of a conventional deposition process, such as a chemical vapor deposition (CVD), plasma enhanced CVD, atomic layer deposition (ALD), plasma enhanced ALD, or spin coating type deposition process. In step 192, shown in Figures 6A and 6C, the surface 102 of the substrate 100 that contains the homogenizing layer 120 is planarized using a chemical mechanical polishing (CMP) process. In step 194, shown in Figures 6A and 6D, the homogenizing layer is then selectively etched using a selective material removal process, such as a wet etch or dry etch type process until a desired thickness di is achieved. Next, an amount of incident electromagnetic energy can be delivered to the surface of the substrate surface to cause the uniform annealing/melting of the material contained in the melt regions 113.
Absorption Layer Over Homogenous Layer
[0087] Figure 5C is a cross-sectional view of a substrate 100 that contains the device illustrated in Figure 5B with an added layer 125 deposited thereon to adjust the optical properties of various regions on the surface of the substrate. In one aspect, the layer 125 is added to improve the absorption of the electromagnetic energy 150 delivered to various regions of the substrateiOO. In one embodiment, the layer 125 is the same as the coating 225 or the layer 226 described above. As shown in Figure 5C the layer 125 is preferentially formed on the homogenizing layer 120 to improve the selectivity of energy delivered to the silicon regions 112. The desired thickness of the layer 125 may vary as the wavelength of the delivered electromagnetic energy 150 varies.
[0088] Referring to Figures 6A-6G, in one embodiment, after performing steps 190 through 194 the steps 196 and 198 may be used to form a selectively deposited absorbing layer 125. In step 196, shown in Figures 6E and 6F, the layer 125 is deposited over the features 101 and the homogenizing layer 120 formed in steps 190-194, discussed above. In step 198, shown in Figures 6E and 6G, the layer 125 is removed from the top surface of the features 101 by performing a material removal step, such as a planarization process typically completed by use of a chemical mechanical polishing (CMP) process. In one aspect, the deposited layer 125 is used to alter the melting point contrast between one or more desired regions on the substrate surface by allowing a differing amount of heat to be absorbed and transmitted to the melt regions 103 versus the regions between the melt regions, which are not in direct contact with the layer 125 and the homogenizing layer 120.
Diffraction Grating
[0089] One issue that arises when features of different sizes, shapes and distances apart are exposed to electromagnetic radiation is that depending on the wavelength of the electromagnetic radiation the amount of energy applied to the features may experience constructive or destructive interference due to diffraction effects that undesirably vary the amount of energy, or energy density {e.g., Watts/m2), delivered to a desired region. Referring to Figure 7, the spacing of the features 101 may differ such that the wavelength of the incident radiation varies across the surface causing a variation in energy density delivered across the surface 102 of the substrate 100.
[0090] In one embodiment, as shown in Figure 7, a layer 726 is grown to a thickness that exceeds the height of all of features 101 to reduce the diffraction effect created by the irregular spacing between devices {e.g., features 101) formed on the surface of the substrate. In one aspect, not shown, the surface 720 of the layer 726 is further planarized {e.g., CMP process) to reduce any inherent topographical variation in the surface 720 of the substrate 10. In general, it is desirable to reduce the topographical variation on the surface of the substrate to have a peak-to-valley variation (see "PV" in Figure 7) across the surface of the substrate of less than about a quarter of the wavelength (<1/4λ) of the energy delivered during the annealing process. It is also desirable to have the average period between peaks (see "PP" in Figure 7) across the surface of the substrate greater than about five times the wavelength {e.g., >5λ) of the energy delivered during the annealing process. In one example, when using an 800nm wavelength laser source, it is desirable to reduce the inherent topographical variation in the surface 720 to an peak-to-valley variation of less than about 200nm and a period between peak variation greater than about 4000nm. In one aspect, the layer 726 is a carbon layer deposited by a CVD deposition process or a material discussed in conjunction with layer 125, coating 225, and layer 226 discussed above.
[0091] In one embodiment, the design of the devices formed on the surface of a substrate that is exposed to incident electromagnetic radiation is specifically designed and arranged so that a desired diffraction pattern is created to improve the melting point contrast between different zones. The physical arrangement of the various features are thus tailored for a desired wavelength, or wavelengths, of the incident radiation "B" (Figure 7) used to anneal the surface of the substrate.
Forming Amorphous Region in a Substrate
[0092] In one embodiment, one or more processing steps are performed to selectively form an amorphous region 140 in an originally single crystal or polycrystalline material to reduce the amount of damage created during subsequent implantation processing steps and increase the melting point contrast of the amorphous region 140 relative to other areas of the substrate. Implanting dopants in an amorphous region, such as an amorphous silicon layer will tend to homogenize the implantation depth of the desired dopant at a fixed ion energy, due to lack of density variation across the various planes found in crystalline lattice structures (e.g., single crystal silicon). The implantation in an amorphous layer will tend to reduce the crystalline damage commonly found in traditional implantation processes in crystalline structures. Therefore, when the amorphous region 140 is subsequently re-melted using an anneal type process, as discussed above, the formed region can be recrystallized with a more homogenous doping profile and with reduced number of defects. The re-melting process also removes any damage created from the implant process. The formation of the amorphous region 140 will also reduced the melting point of the affected regions, which can thus improve the melting point contrast between the amorphous region 140 and the adjacent single crystal regions 141. [0093] In one embodiment, a short dose of energy (item "B" in Figure 8) is delivered to a substrate 10 to selectively modify and form an amorphous silicon layer in a desired region {e.g., amorphous region 140). In one aspect, a pulse, or dose, of electromagnetic energy is delivered to the desired region for a sufficiently short period of time to cause rapid melting and cooling of the affected amorphous region 140 to produce an amorphous region in the substrate. In this case the pulse of energy is for such a short duration that it produce a high regrowth velocity in the heated region to produce an amorphous region. In one aspect, the re-growth velocity in the heated region is greater than about 12 m/sec.
[0094] In one aspect, a pulse of energy is delivered to a desired region of a silicon substrate for period of less than about 10"8 seconds. In this aspect, the pulse of energy may be delivered from a laser that delivers a peak power greater than 109 W/cm2, and preferably in a range between about 109 and about 1010 W/cm2 for a period of less than about 10~8 seconds. In one aspect, the power, pulse duration, shape of the delivered dose to create the amorphous silicon layer may be varied to achieve an amorphous region 140 of a desired size, shape and depth. In one aspect, the wavelength of the delivered dose of energy is selected or varied to achieve a desired melt profile. In one aspect, the wavelength may be in the UV or IR wavelengths. In one aspect, the wavelength of the laser may be less than about 800 nm. In another aspect, the wavelength may be about 532 nm or about 193 nm.
[0095] In one embodiment, a mask is used to preferentially form the amorphous areas in various regions of the substrate surface.
Electromagnetic Radiation Delivery
[0096] Figure 9 is a cross-sectional view of a region of a processing chamber that illustrates one embodiment in which an energy source 20 is adapted to deliver an amount of energy to an anneal region 12 of the substrate 10 from the backside surface 901 to preferentially melt certain desired regions within the anneal region 12. In one aspect, one or more defined regions of the substrate, such as anneal region 12, are exposed to the radiation from the energy source 20 at any given time. In one aspect, multiple areas of the substrate 10 are sequentially exposed to a desired amount of energy delivered through the backside surface 901 from the energy source 20 to cause the preferential melting of desired regions of the substrate. In one aspect, the anneal region 12 is sized to match the size of the die (e.g., item # 13 in Figure 1), or semiconductor devices, that are formed on the top surface 902 of the substrate 10. In one aspect, the boundary of the anneal region 12 is aligned and sized to fit within the "kurf" or "scribe" lines that define the boundary of each die. Therefore, the amount of process variation, due to the varying amount of exposure to the energy from the energy source 20 is minimized, since any overlap between the sequentially placed anneal regions 12 can be minimized. In one example, the anneal region 12 is a rectangular region that is about 22 mm by about 33 mm in size.
[0097] In one embodiment, the substrate 10 is positioned in a substrate supporting region 911 formed on a substrate support 910 that has an opening 912 that allows the backside surface 901 of the substrate 10 to receive energy delivered from the energy source 20. In this configuration the radiation "B" emitted from the energy source 20 to heat regions 903 that are adapted to absorb a portion of the emitted energy. The energy source 20 is generally adapted to deliver electromagnetic energy to preferentially melt certain desired regions of the substrate surface. Typical sources of electromagnetic energy include, but are not limited to an optical radiation source {e.g., laser), an electron beam source, an ion beam source, and/or a microwave energy source. In one aspect, the substrate 10 is exposed to a pulse of energy from a laser that emits radiation at one or more appropriate wavelengths for a desired period of time. In one aspect, pulse of energy from the energy source 20 is tailored so that the amount of energy delivered across the anneal region 12 and/or the amount of energy delivered over the period of the pulse is optimized to enhance preferential melting of certain desired areas. In one aspect, the wavelength of the laser is tuned so that a significant portion of the radiation is absorbed by a silicon layer disposed on the substrate 10. For laser anneal process performed on a silicon containing substrate, the wavelength of the radiation is typically less than about 800 nm, and can be delivered at deep ultraviolet (UV), infrared (IR) or other desirable wavelengths. In either case, the anneal process generally takes place on a given region of the substrate for a relatively short time, such as on the order of about one second or less. [0098] In one aspect, the wavelength of the emitted radiation from the energy source 20 is selected so that the bulk material from which the substrate is formed is more transparent to the incident radiation than the areas near the top surface 902 that are to be preferentially melted by the exposure of the incident emitted radiation. In one aspect, the regions that are to be preferentially melted contain a material that absorbs an amount of the energy delivered through the backside of the substrate, such as a dopant material or ionizing crystal damage {e.g., crystal defects, Frenkel defects, vacancies) created during the implantation process. In general the dopant materials may be boron, phosphorous, or other commonly used dopant material used in semiconductor processing. In one embodiment, the bulk material from which the substrate is formed is a silicon containing material and the wavelength of the emitted radiation is greater than about 1 micrometer. In another aspect, the energy source 20 contains a CO2 laser that is adapted to emit principal wavelength bands centering around 9.4 and 10.6 micrometers. In yet another aspect, the energy source 20 is adapted to deliver wavelengths in the infrared region, which is generally between about 750 nm and about 1 mm.
[0099] In one embodiment, an absorbing coating (not shown) is disposed over the anneal region 12 on the substrate 10 so that the incident radiation delivered through the back of the substrate can be absorbed before it passes through the substrate. In one aspect, the absorbing coating is a metal, such as titanium, titanium nitride, tantalum, or other suitable metal material. In another aspect, the absorbing coating is a silicon carbide material, amorphous carbon material, or other suitable material that is commonly used in semiconductor device manufacturing.
[ooioo] ln one embodiment, two wavelengths of light are delivered to the desired regions of the substrate, so that the first wavelength of light is used to generate free carriers {e.g., electrons or holes) in the substrate from dopants or other ionizing crystal damage found in the desired annealing regions, so that the generated free carriers will absorb the energy delivered through the back of the substrate at a second wavelength. In one aspect, the first wavelength is the wavelength of "green light" {e.g., about 490 nm to about 570nm) and/or shorter wavelengths. In one embodiment, the first wavelength is delivered at a desirable power density (W/cm2) to the desired region of the substrate from a second source 920 that is on the opposite side of the substrate from the energy source 20, shown in Figure 9. In another embodiment, the two wavelengths (e.g., first and second wavelengths) are delivered through the backside of the substrate from the source 20. In yet another embodiment, the two wavelengths (e.g., first and second wavelengths) at desirable power densities (W/cm2) are delivered through the backside of the substrate from two separate sources of electromagnetic energy (not shown).
[00101] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

We Claim:
1. A method of thermally processing a substrate, comprising: modifying one or more regions in a substrate formed from a first material by disposing a second material within the one or more regions, wherein modifying one or more regions in a substrate with the second material is adapted to lower the melting point of the first material contained within the one or more regions; disposing a third material within the one or more regions in the substrate; and delivering an amount of electromagnetic energy to a surface of a substrate which is in thermal communication with the one or more regions, wherein the amount of electromagnetic energy is adapted to cause the first material within the one or more regions to melt.
2. The method of claim 1 , further comprising positioning the substrate so that it is in thermal communication with a heat exchanging device, wherein the heat exchanging device is adapted to heat the substrate to a temperature between about -240 °C and about 600 0C.
3. The method of claim 1 , wherein the first material is selected from a group consisting of silicon, germanium, gallium arsenide, gallium phosphide, and gallium nitride.
4. The method of claim 1 , wherein the first material is a silicon containing material and the second material is selected from a group consisting of germanium, arsenic, gallium, carbon, tin, and antimony.
5. The method of claim 1 , wherein the third material is selected from a group consisting of arsenic, phosphorus, antimony, boron, aluminum, and indium.
6. The method of claim 1 , wherein the second material is selected from a group consisting of argon, krypton, xenon and nitrogen.
7. A method of thermally processing a substrate, comprising: providing a substrate that has one or more first regions that have been modified so that the melting point of the material contained within each of the first regions melts at a lower temperature than the material contained within a second region of the substrate, wherein the second region and each of the first regions are generally adjacent to a surface of the substrate; depositing a coating over the surface of the substrate, wherein the coating has a different absorption and reflection coefficient than that surface of the substrate; removing a portion of the coating from the surface of the substrate that is generally adjacent to each of the first regions or the second region; and delivering an amount of electromagnetic energy to an area on the surface of the substrate that contains the one or more first regions and the second region, wherein the amount of electromagnetic energy preferentially melts the material within the one or more first regions.
8. The method of claim 7, further comprising controlling the temperature of a substrate support so that the substrate positioned thereon is at a temperature between about 20 0C and about 600 0C before the electromagnetic energy is delivered to the surface of the substrate.
9. The method of claim 7, wherein modifying the first region includes disposing an alloying material within the material of the one or more first regions, wherein the alloying material is selected from a group consisting of germanium, arsenic, gallium, carbon, tin, and antimony.
10. The method of claim 7, wherein the area on the surface of the substrate is between about 4 mm2 and about 1000 mm2.
11. A method of thermally processing a semiconductor substrate, comprising: providing a substrate formed from a substrate material; forming a buried region made of a first material on a surface of the substrate, wherein the first material has a first thermal conductivity; depositing a second layer made of a second material over the buried region, wherein the second material has a second thermal conductivity; forming a semiconductor device on the surface of the substrate, wherein a portion of the formed semiconductor device contains a portion of the second layer; and delivering an amount of electromagnetic energy to a surface of a substrate which is in thermal communication with the second layer, wherein the amount of electromagnetic energy is adapted to cause a portion of the second material in thermal communication with the buried region to reach its melting point.
12. The method of claim 11 , wherein the thermal conductivity of the first material is smaller than the thermal conductivity of the second material.
13. The method of claim 11 , wherein the second material is selected from a group consisting of silicon, germanium, gallium arsenide, gallium phosphide, and gallium nitride.
14. The method of claim 11 , wherein the first material is selected from a group consisting of silicon dioxide, silicon nitride, silicon carbon nitride, graphite, germanium, gallium arsenide, gallium phosphide, and gallium nitride.
15. A method of thermally processing a substrate, comprising: positioning a substrate on a substrate support, wherein the substrate has a plurality of features formed on a surface of the substrate that contain a first region and a second region; depositing a coating over the first and second regions, wherein the material from which the coating is formed has a desired heat capacity; removing a portion of the coating so that the thickness of the coating over the first region has a desired thickness, wherein the average heat capacity across the substrate surface after removing a portion of the coating is generally uniform; and delivering an amount of electromagnetic energy to an area that contains the first region and the second region, wherein the amount of electromagnetic energy causes the material within the first region to melt.
16. The method of claim 15, further comprising controlling the temperature of a substrate support so that the substrate positioned thereon is at a temperature between about 20 0C and about 600 °C before the electromagnetic energy is delivered to the surface of the substrate.
17. The method of claim 15, wherein modifying the first region includes disposing an alloying material within the material of the one or more first regions, wherein the alloying material is selected from a group consisting of germanium, arsenic, gallium, carbon, tin, and antimony.
18. A method of thermally processing a substrate, comprising: providing a substrate that has a first feature and a second feature formed on a surface of the substrate, wherein the second feature contains a first region and a second region; positioning the substrate on a substrate support; depositing a coating over the first and second features; removing a portion of the coating so that the coating is disposed over the second region and a surface of the first feature is exposed; and delivering an amount of electromagnetic energy to an area that contains the first feature and the second feature, wherein the amount of electromagnetic energy causes the material within the first region of the second feature to melt.
19. The method of claim 18, further comprising controlling the temperature of a substrate support so that the substrate positioned thereon is at a temperature between about 20 0C and about 600 0C before the electromagnetic energy is delivered to the surface of the substrate.
20. The method of claim 18, wherein modifying the first region includes disposing an alloying material within the material of the one or more first regions, wherein the alloying material is selected from a group consisting of germanium, arsenic, gallium, carbon, tin, and antimony.
21. The method of claim 18, wherein at least a portion of the coating contains fluorosilicate glass (FSG), amorphous carbon, silicon dioxide, silicon carbide, silicon carbon germanium alloys (SiCGe), titanium (Ti), titanium nitride (TiN), tantalum (Ta), cobalt (Co), ruthenium (Ru), or silicon carbon nitride (SiCN).
22. A method of thermally processing a substrate, comprising: delivering a first amount of electromagnetic energy at one or more desired wavelengths to a rear surface of the substrate to cause a material in one or more regions generally. adjacent to a front surface of the substrate to melt, wherein the rear surface and the front surface are on opposite sides of the substrate and the front surface of the substrate contains one or more semiconductor devices formed thereon.
23. The method of claim 22, wherein the one or more desired wavelengths are all greater than about 1 micrometer.
24. The method of claim 22, wherein the substrate is formed from a material that is selected from a group consisting of silicon, germanium, gallium arsenide, gallium phosphide, and gallium nitride.
25. The method of claim 22, wherein the material in the one or more regions further comprise a material selected from a group consisting of germanium, arsenic, gallium, carbon, tin, and antimony.
26. The method of claim 22, further comprising delivering a second amount of electromagnetic energy at a wavelength less than about 570nm to a surface of the substrate.
27. A method of thermally processing a substrate, comprising: delivering a first amount of electromagnetic energy to a first region on a surface of a substrate, wherein the first amount of electromagnetic energy causes the substrate material within the first region to melt and cause the crystalline substrate material to become amorphous; implanting a second material within the amorphous first region; and delivering a second amount of electromagnetic energy to the first region, wherein the second amount of electromagnetic energy causes the material within the first regions to melt.
28. The method of claim 27, further comprising heating a substrate support so that the substrate positioned thereon is at a temperature between about 20 °C and about 600 0C before the second electromagnetic energy is delivered to the surface of the substrate.
29. The method of claim 27, further comprising cooling a substrate support so that the substrate positioned thereon is at a temperature between about -240 0C and about 20 0C before the second electromagnetic energy is delivered to the surface of the substrate.
30. An apparatus for thermally processing a semiconductor substrate, comprising: a substrate support having a substrate supporting surface; a heating element that is adapted to heat a substrate disposed on the substrate support; and an intense light source that is adapted to deliver an amount of radiation to a region on a surface of the substrate disposed on the substrate supporting surface.
31. The apparatus of claim 30, wherein the region on the surface of the substrate is between about 4 mm2 and about 1000 mm2.
32. The apparatus of claim 30, wherein the heating element is adapted to heat the substrate support to a temperature between about 20 0C and about 600 0C.
33. The apparatus of claim 30, further comprising one or more cooling channels formed within the substrate support that are adapted receive a heat exchanging fluid that will cool the substrate support to a temperature between about -240 0C and about 20 °C.
34. The apparatus of claim 30, further comprising a stage attached to the substrate support; wherein the stage is adapted to position the substrate in at least one direction generally parallel to the substrate supporting surface.
35. The apparatus of claim 30, wherein the intense light source is adapted to deliver radiation at a wavelength between about 500 nm and about 11 micrometers.
36. An apparatus for thermally processing a semiconductor substrate, comprising: an first intense light source that is adapted to deliver a first amount of energy to a region on a surface of the substrate disposed on a substrate supporting surface; a second intense light source that is adapted to deliver a second amount of energy to the region on the surface of the substrate disposed on the substrate supporting surface; and a controller that is adapted to monitor the first amount of energy delivered to the region on the surface of the substrate and control the time between the delivery of the first amount and second amount of energy and the magnitude of the second amount of energy to achieve a desired temperature in the region.
37. The apparatus of claim 36, further comprising: the substrate supporting surface being formed on a substrate support; and a heating element that is in thermal communication with substrate supporting surface and is adapted to heat a substrate disposed on the substrate support.
38. The apparatus of claim 36, wherein the region on the surface of the substrate is between about 4 mm2 and about 1000 mm2.
39. The apparatus of claim 37, wherein the heating element is adapted to heat the substrate support to a temperature between about 20 °C and about 600 °C.
40. The apparatus of claim 37, further comprising one or more cooling channels formed within the substrate support that are adapted receive a heat exchanging fluid that will cool the substrate support to a temperature between about -240 °C and about 20 °C.
41. An apparatus for thermally processing a semiconductor substrate, comprising: a substrate support having a substrate supporting surface and an aperture formed in the substrate support; and a first light source that is adapted to deliver an amount of radiation to a first area of the substrate through the aperture formed in the substrate support and a rear surface of the substrate which is opposite to a front surface of the substrate, wherein the front surface of the substrate contains one or more semiconductor devices formed thereon and the amount of radiation is adapted to melt a region contained within the first area.
42. The apparatus of claim 41 , wherein the first light source is adapted to deliver radiation at a wavelength greater than about 1 micrometer.
43. The apparatus of claim 41 , wherein the first light source is adapted to deliver radiation at a wavelength between about 500 nm and about 11 micrometers.
44. The apparatus of claim 41 , wherein the first area is between about 4 mm2 and about 1000 mm2.
45. The apparatus of claim 41 , further comprising a stage attached to the substrate support; wherein the stage is adapted to position the substrate in at least one direction generally parallel to the substrate supporting surface.
46. The apparatus of claim 41 , further comprising a second light source that is adapted to deliver an amount of electromagnetic radiation to a first area of the substrate at a desired wavelength.
47. The apparatus of claim 46, wherein the second source is adapted to deliver electromagnetic radiation to the first area at a wavelength less than about 590nm.
48. The apparatus of claim 46, wherein the second source is adjacent to the front surface of the substrate.
49. A method of thermally processing a substrate, comprising: positioning a substrate on a substrate support; and delivering a plurality of electromagnetic energy pulses to first area on a surface of a substrate that is in thermal communication with a first region of the substrate, wherein delivering a plurality of electromagnetic energy pulses comprises: delivering a first pulse of electromagnetic energy to the surface of the substrate; delivering a second pulse of electromagnetic energy to the surface of the substrate; and adjusting the time between the start of the first pulse and the start of the second pulse so that the material contained in the first region melts.
50. The method of claim 49, wherein the amount of energy in the first pulse and the amount of energy in the second pulse by themselves is not enough to cause the material contained in the first region to melt.
51. The method of claim 49, further comprising controlling the temperature of the substrate support so that the substrate positioned thereon is at a temperature between about 20 °C and about 600 0C before the electromagnetic energy is delivered to the surface of the substrate.
52. The method of claim 49, further comprising modifying the first region on the surface of the substrate so that the melting point of the material contained within the first region melts at a lower temperature than the material contained within a second region on the substrate surface.
53. The method of claim 52, wherein modifying the first region includes disposing a doping material within the first region, wherein the doping material is selected from a group consisting of germanium, arsenic, gallium, carbon, tin, and antimony.
54. The method of claim 49, wherein the wavelength of electromagnetic radiation delivered in the first pulse is different that than the wavelength of electromagnetic radiation delivered in the second pulse.
55. The method of claim 49, further comprising: delivering a plurality of electromagnetic energy pulses to second area on the surface of the substrate that is in thermal communication with a second region of the substrate, wherein the second area is adjacent to the first area and delivering a plurality of electromagnetic energy pulses comprises: delivering a third pulse of electromagnetic energy to the surface of the substrate; delivering a fourth pulse of electromagnetic energy to the surface of the substrate; and adjusting the time between the start of the third pulse and the start of the fourth pulse so that the material contained in the second region melts.
56. The method of claim 55, wherein the boundary of the first area and the second area is aligned with one or more scribe lines formed on the surface of the substrate.
57. The method of claim 55, wherein the first area on the surface of the substrate is between about 4 mm2 and about 1000 mm2.
58. The method of claim 55, wherein the first and third pulse of electromagnetic energy are delivered at a first wavelength and the second and fourth pulse of electromagnetic energy are delivered at a second wavelength.
59. The method of claim 55, wherein the first area comprises one or more first regions that preferentially melt and a second region that does not melt when exposed to the first or second pulse of electromagnetic energy, and the second area comprises one or more third regions that preferentially melt and a fourth region that does not melt when exposed to the third or fourth pulse of electromagnetic energy.
60. A method of thermally processing a substrate, comprising: positioning a substrate on a substrate support; and delivering electromagnetic energy to a surface of a substrate that is in thermal communication with a first region and a second region of the substrate, wherein delivering electromagnetic energy comprises: delivering a first amount of electromagnetic energy at a first wavelength to preferentially melt a material contained in the first region rather than the second region; and delivering a second amount of electromagnetic energy at a second wavelength to preferentially melt the material contained in the first region rather than the second region, wherein the delivering a second amount of electromagnetic energy and the delivering a first amount of electromagnetic energy overlap in time.
61. The method of claim 60, further comprising controlling the temperature of the substrate support so that the substrate positioned thereon is at a temperature between about 20 °C and about 600 °C before the electromagnetic energy is delivered to the surface of the substrate.
62. The method of claim 60, further comprising modifying a first region on the surface of the substrate so that the melting point of the material contained within the first region melts at a lower temperature than the material contained within the second region on the substrate surface.
63. The method of claim 62, wherein modifying the first region includes disposing a doping material within the first region, wherein the doping material is selected from a group consisting of germanium, arsenic, gallium, carbon, tin, and antimony.
64. A method of thermally processing a substrate, comprising: positioning a substrate on a substrate support; and delivering electromagnetic energy to a surface of a substrate that is in thermal communication with a first region and second region of the substrate, wherein delivering electromagnetic energy comprises: adjusting the shape of a pulse of electromagnetic energy as a function of time to preferentially melt the material contained in the first region.
PCT/US2007/062672 2006-03-08 2007-02-23 Method and apparatus for thermal processing structures formed on a substrate WO2007103643A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN2007800081420A CN101395712B (en) 2006-03-08 2007-02-23 Method and apparatus for thermal processing structures formed on a substrate
EP07757396A EP1992013A2 (en) 2006-03-08 2007-02-23 Method and apparatus for thermal processing structures formed on a substrate
JP2008558449A JP5558006B2 (en) 2006-03-08 2007-02-23 Method and apparatus for heat treatment structure formed on a substrate
KR1020087024646A KR101113533B1 (en) 2006-03-08 2007-02-23 Method and apparatus for thermal processing structures formed on a substrate
KR1020107024018A KR101323222B1 (en) 2006-03-08 2007-02-23 Method and apparatus for thermal processing structures formed on a substrate

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US78074506P 2006-03-08 2006-03-08
US60/780,745 2006-03-08
US11/459,856 US20070212859A1 (en) 2006-03-08 2006-07-25 Method of thermal processing structures formed on a substrate
US11/459,847 2006-07-25
US11/459,847 US7569463B2 (en) 2006-03-08 2006-07-25 Method of thermal processing structures formed on a substrate
US11/459,852 2006-07-25
US11/459,856 2006-07-25
US11/459,852 US20070221640A1 (en) 2006-03-08 2006-07-25 Apparatus for thermal processing structures formed on a substrate

Publications (3)

Publication Number Publication Date
WO2007103643A2 true WO2007103643A2 (en) 2007-09-13
WO2007103643A3 WO2007103643A3 (en) 2008-05-08
WO2007103643B1 WO2007103643B1 (en) 2008-06-26

Family

ID=38475646

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/062672 WO2007103643A2 (en) 2006-03-08 2007-02-23 Method and apparatus for thermal processing structures formed on a substrate

Country Status (4)

Country Link
EP (1) EP1992013A2 (en)
JP (1) JP5558006B2 (en)
KR (2) KR101323222B1 (en)
WO (1) WO2007103643A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016149573A (en) * 2007-11-08 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Pulse train annealing method and apparatus
EP3611757A1 (en) * 2018-08-16 2020-02-19 Laser Systems & Solutions of Europe Method for forming a doped region on a semiconductor material
US11040415B2 (en) 2007-11-08 2021-06-22 Applied Materials, Inc. Pulse train annealing method and apparatus

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012315B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
KR102216675B1 (en) * 2014-06-12 2021-02-18 삼성디스플레이 주식회사 Repairing apparatus for display apparatus and repairing method for disaplay apparatus

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4849371A (en) * 1986-12-22 1989-07-18 Motorola Inc. Monocrystalline semiconductor buried layers for electrical contacts to semiconductor devices
EP0206764B1 (en) * 1985-06-21 1990-03-14 BRITISH TELECOMMUNICATIONS public limited company Fabrication of optical waveguides
US5182170A (en) * 1989-09-05 1993-01-26 Board Of Regents, The University Of Texas System Method of producing parts by selective beam interaction of powder with gas phase reactant
US20010039098A1 (en) * 2000-04-24 2001-11-08 Zhiheng Lu Method for fabricating silicon-on-insulator material
US20030138988A1 (en) * 1998-12-15 2003-07-24 Nec Corporation Method of manufacturing a solid-state imaging device
US20030146458A1 (en) * 2002-02-04 2003-08-07 Hitachi, Ltd. Semiconductor device and process for forming same
US20050139961A1 (en) * 2003-12-25 2005-06-30 Siltronic Ag Semiconductor substrate and method for production thereof
WO2005104265A1 (en) * 2004-04-27 2005-11-03 Koninklijke Philips Electronics, N.V. Method of forming an organic semiconducting device by a melt technique
US6982476B2 (en) * 2001-02-02 2006-01-03 Matrix Semiconductor Integrated circuit feature layout for improved chemical mechanical polishing

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5696835A (en) * 1979-12-29 1981-08-05 Fujitsu Ltd Manufacture of semiconductor device
JPS5727035A (en) * 1980-07-25 1982-02-13 Hitachi Ltd Manufacture of semiconductor device
JP3326654B2 (en) * 1994-05-02 2002-09-24 ソニー株式会社 Method of manufacturing semiconductor chip for display
US5956603A (en) * 1998-08-27 1999-09-21 Ultratech Stepper, Inc. Gas immersion laser annealing method suitable for use in the fabrication of reduced-dimension integrated circuits
KR100338768B1 (en) 1999-10-25 2002-05-30 윤종용 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
US6902966B2 (en) * 2001-10-25 2005-06-07 Advanced Micro Devices, Inc. Low-temperature post-dopant activation process
US6982212B2 (en) * 2001-11-30 2006-01-03 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US7154066B2 (en) 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
JP2004363355A (en) * 2003-06-05 2004-12-24 Hitachi Ltd Semiconductor device and method of manufacturing the same
US7098155B2 (en) 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
DE60330965D1 (en) * 2003-10-17 2010-03-04 Imec A method of manufacturing a semiconductor substrate having a layered structure of activated dopants

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0206764B1 (en) * 1985-06-21 1990-03-14 BRITISH TELECOMMUNICATIONS public limited company Fabrication of optical waveguides
US4849371A (en) * 1986-12-22 1989-07-18 Motorola Inc. Monocrystalline semiconductor buried layers for electrical contacts to semiconductor devices
US5182170A (en) * 1989-09-05 1993-01-26 Board Of Regents, The University Of Texas System Method of producing parts by selective beam interaction of powder with gas phase reactant
US20030138988A1 (en) * 1998-12-15 2003-07-24 Nec Corporation Method of manufacturing a solid-state imaging device
US20010039098A1 (en) * 2000-04-24 2001-11-08 Zhiheng Lu Method for fabricating silicon-on-insulator material
US6982476B2 (en) * 2001-02-02 2006-01-03 Matrix Semiconductor Integrated circuit feature layout for improved chemical mechanical polishing
US20030146458A1 (en) * 2002-02-04 2003-08-07 Hitachi, Ltd. Semiconductor device and process for forming same
US20050139961A1 (en) * 2003-12-25 2005-06-30 Siltronic Ag Semiconductor substrate and method for production thereof
WO2005104265A1 (en) * 2004-04-27 2005-11-03 Koninklijke Philips Electronics, N.V. Method of forming an organic semiconducting device by a melt technique

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016149573A (en) * 2007-11-08 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Pulse train annealing method and apparatus
JP2017212450A (en) * 2007-11-08 2017-11-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Pulse train annealing method and apparatus
US11040415B2 (en) 2007-11-08 2021-06-22 Applied Materials, Inc. Pulse train annealing method and apparatus
EP3611757A1 (en) * 2018-08-16 2020-02-19 Laser Systems & Solutions of Europe Method for forming a doped region on a semiconductor material

Also Published As

Publication number Publication date
JP2009529245A (en) 2009-08-13
KR20080104183A (en) 2008-12-01
KR101323222B1 (en) 2013-10-30
KR101113533B1 (en) 2012-02-29
WO2007103643B1 (en) 2008-06-26
WO2007103643A3 (en) 2008-05-08
EP1992013A2 (en) 2008-11-19
JP5558006B2 (en) 2014-07-23
KR20100133454A (en) 2010-12-21

Similar Documents

Publication Publication Date Title
US10840100B2 (en) Method of thermal processing structures formed on a substrate
US8247317B2 (en) Methods of solid phase recrystallization of thin film using pulse train annealing method
KR101442821B1 (en) Pulse train annealing method
AU2021236824B2 (en) All-semiconductor Josephson junction device for qubit applications
KR101113533B1 (en) Method and apparatus for thermal processing structures formed on a substrate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2008558449

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200780008142.0

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2007757396

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020087024646

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1020107024018

Country of ref document: KR