JP2008124465A - ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用 - Google Patents

ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用 Download PDF

Info

Publication number
JP2008124465A
JP2008124465A JP2007289845A JP2007289845A JP2008124465A JP 2008124465 A JP2008124465 A JP 2008124465A JP 2007289845 A JP2007289845 A JP 2007289845A JP 2007289845 A JP2007289845 A JP 2007289845A JP 2008124465 A JP2008124465 A JP 2008124465A
Authority
JP
Japan
Prior art keywords
model
defining
latent image
slope
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007289845A
Other languages
English (en)
Other versions
JP4700672B2 (ja
Inventor
Steve Hansen
ハンセン,スティーヴ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML MaskTools Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV filed Critical ASML MaskTools Netherlands BV
Publication of JP2008124465A publication Critical patent/JP2008124465A/ja
Application granted granted Critical
Publication of JP4700672B2 publication Critical patent/JP4700672B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70675Latent image, i.e. measuring the image of the exposed resist prior to development
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

【課題】光学結像システムの結像性能をシミュレートするモデルを生成する方法を提供する。
【解決手段】この方法は、光学結像システムおよび該光学結像システムが利用するプロセスを定義するステップ、光学結像システムおよびプロセスの結像性能を表す第1のモデルを定義するステップ、および該モデルをキャリブレーションするステップを含み、第1のモデルは潜像傾きに対応する値を生成する。この方法はさらに、結像するフィーチャの線幅粗さを推定する第2のモデルを定義するステップを含み、第2のモデルは潜像傾き値を利用して線幅粗さを推定する。
【選択図】図18

Description

[001] 本願は、2007年11月8日に出願された仮特許出願第60/857,495号の優先権を主張するものであり、これ全体を参照により本明細書に援用する。
[002] 本開示は、包括的にはリソグラフィシミュレーションツールに関する。特に、本開示は、リソグラフィプロセスでのライン幅粗さおよびレジストパターン不良のモデリングおよび/または予測を行う方法、ならびにこれをリソグラフィシミュレーションプロセスで利用する方法に関する。
[003] リソグラフィ装置は、例えば、集積回路(IC)の製造に使用することができる。このような場合、マスクはICの個々の層に対応する回路パターンを含むことができ、このパターンを、放射感応性材料(レジスト)層で被膜された基板(シリコンウェーハ)上のターゲット部分(例えば、1つまたは複数のダイを含む)上に結像することができる。一般に、1枚のウェーハが、投影システムを介して1度に1つずつ連続照射される隣接するターゲット部分のネットワーク全体を含む。リソグラフィ投影装置の一種では、各ターゲット部分は、マスクパターン全体をターゲット部分上に一度に露光することにより照射され、このような装置は、一般に、ウェーハステッパと呼ばれる。一般にステップアンドスキャン装置と呼ばれる代替の装置では、投影ビーム下でマスクパターンをある基準方向(「スキャン」方向)に順次スキャンし、それと同期してこの方向に平行または逆平行して基板テーブルをスキャンすることにより、各ターゲット部分が照射される。一般に、投影システムは倍率M(一般に>1)を有するため、基板テーブルがスキャンされる速度Vは、率Mにマスクテーブルがスキャンされる速度を掛けた数になる。本明細書において述べるリソグラフィ装置に関するさらなる情報は、例えば、米国特許第6,046,792号から集めることができ、この文献を参照により本明細書に援用する。
[004] リソグラフィ投影装置を使用する製造プロセスでは、マスクパターンが、放射感応性材料(レジスト)層で少なくとも部分的に覆われた基板上に結像される。この結像ステップに先立ち、基板には下塗り、レジスト塗膜、およびソフトベーク等の各種手順が施され得る。露光後、露光後ベーク(PEB)、現像、ハードベーク、および結像フィーチャの測定/検査等の他の手順を基板に施すことができる。この一連の手順は、デバイス、例えばICの個々の層をパターニングするためのベースとして使用される。次に、このようにパターニングされた層に、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械研磨等の各種手順を施すことができ、これらはすべて、個々の層の仕上げを目的としている。数枚の層が必要な場合には、全体手順またはその変形を新しい各層ごとに繰り返す必要がある。最終的に、デバイスアレイが基板(ウェーハ)上に提示される。次に、これらデバイスは、ダイシングまたはソーイング等の技法により互いに切り離され、それにより、個々のデバイスのキャリアへの実装、ピンへの接続等が可能になる。
[005] 簡明にするために、投影システムを以下、「光学系」と呼ぶが、この用語は、例えば、屈折光学系、反射光学系、および反射屈折系を含む各種の投影システムを包含するものとして広く解釈されるべきである。放射システムは、これら設計種類のうちの任意のものに従って動作する、放射の投影ビームの誘導、整形、または制御を行うコンポーネントを含むことができ、このようなコンポーネントを以下、集合的に、または単独で「レンズ」と呼ぶこともある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(または1つもしくは複数のマスクテーブルあるいはこれら両方)を有する種類のものであってもよい。このような「多段」装置では、さらなるテーブルを並列に使用することができ、または予備ステップを1つまたは複数のテーブルで実行しながら、1つまたは複数の他のテーブルを露光に使用することができる。ツインステージリソグラフィ装置が、例えば、米国特許第5,969,441号に記載されており、これを参照により本明細書に援用する。
[006] 上述したフォトリソグラフィマスクは、シリコンウェーハに集積される回路コンポーネントに対応する幾何学的パターンを含む。このようなマスクの作成に使用されるパターンは、CAD(computer-aided design)プログラムを利用して生成され、このプロセスはEDA(electronic design automation)と呼ばれることが多い。大半のCADプログラムは、1組の所定の設計規則に従って機能マスクを作成する。こういった規則は、処理制約および設計制約により設定される。例えば、設計規則は、回路デバイス(ゲート、キャパシタ等)または相互接続配線の間隔許容誤差を定義し、それにより、回路デバイスまたは配線が望ましくない様式で互いに相互作用しないことを保証する。回路のクリティカルディメンションは、配線もしくは穴の最小幅または2本の配線もしくは2個の穴の最小間隔として定義することができる。したがって、CDが、設計される回路の全体サイズおよび密度を決める。
[007] 要求されるCDが低減し続けるにつれ、物理モデルに基づいたフォトリソグラフィシミュレーションが、今日の高度な回路設計に必要な高度なフォトリソグラフィプロセスを理解し最適化するための重要なツールになった。このようなシミュレーションプロセスの重要な側面は、レジストプロセス(例えば、基板に塗布されたレジスト層に生成すべき像)を正確に記述するキャリブレーションモデルを利用することである。これは、フォトレジストにプリントされる像が投影される光学像から大幅に逸脱する低k1体制において特に当てはまる。この不一致の理由はよく理解されており、フォトレジスト中への成分の拡散および有限溶解コントラストに関連する。したがって、経験データに合致するようにフォトレジストモデルをキャリブレーションしなければ、フォトリソグラフィシミュレーションプロセスの有用性が大幅に低減する。良好にキャリブレーションされたモデルの特性は、プリントされるフィーチャのクリティカルディメンション(CD)が正確に予測されることである。
[008] しかし、最近、多くの低k1プロセスは実測CDおよび予測CDの詳細によってではなく、プリントされるフィーチャの粗さおよびウェーハ上に正確に現れるか、それともある種のパターン不良があるか等の他の考慮事項により制限されるため、現行のシミュレーションモデルが不十分であり得ることが明らかになった。測定されるフィーチャの幅の3σ変化(variation)として定義されるライン幅粗さ(LWR)が大きな注目を集めており、その理由は、フィーチャのサイズおよびk1が同時に縮小するにつれて、この幅の変化は全CD量のかなりの割合になり得、デバイスの性能がLWRにより損なわれ得るためである。
[009] しかし、現在、一般的に、LWRおよびパターン不良は標準フォトリソグラフィシミュレーションツールにより容易に予測することができず、実際、その予測には通常、注意が向けられもしない。顕微鏡的な粗さ、特にライン幅粗さ(LWR)を計算するモデルは、文献では見られるが、こういった既知の技法は低速かつ複雑であり、シミュレータと協働する標準的な方法に容易に組み込むことができず、したがって、実用的な解決策を提供しない。
[010] したがって、実用的かつ効率的であり、現行のフォトリソグラフィシミュレーションプロセスに容易に組み込むことができる、LWRおよびパターン不良を両方とも予測する方法が必要である。
[011] 従来技術による結像モデルの上記欠陥に照らして、本発明は、あるレジストプロセスで、LWRおよびパターン不良の両方を経験モデルにより予測できるようにする方法であって、リソグラフィシミュレーションプログラムから入手可能な像メトリックを使用する方法を提供する。この方法は、LWRおよびパターン不良の予測を、新しいまたは複雑な計算なしで標準のリソグラフィシミュレーションプログラムにより直接出力できるため、特に有用である。
[012] 特に、本発明は、光学結像システムの結像性能をシミュレーションするモデルを生成する方法に関する。この方法は、光学結像システムおよび光学結像システムが利用するプロセスを定義するステップ、光学結像システムおよびプロセスの結像性能を表す第1のモデルを定義するステップ、およびモデルをキャリブレーションするステップを含み、第1のモデルは潜像傾きに対応する値を生成する。特に、まず、第1のモデルは、経験クリティカルディメンション(CD)に合致するように典型的な様式でキャリブレーションされる。このプロセスの中間ステップとして、潜像傾きに対応する値も生成される。次に、この方法は、結像するフィーチャのライン幅粗さを推定する第2のモデルを定義するステップをさらに含み、第2のモデルは、潜像傾き値を利用してライン幅粗さを推定する。
[013] 第2の実施形態では、本発明は、パターン不良の発生を予測する方法に関する。この実施形態の方法は、上記光学結像システムおよび光学結像システムが利用するプロセスを定義するステップ、光学結像システムおよびプロセスの結像性能を表す第1のモデルを定義するステップ、および上記モデルをキャリブレーションするステップを含み、第1のモデルは、例えば、経験に合致するCDおよび潜像傾きを中間として生成する。この方法は、結像するフィーチャに関するパターン不良を推定する第2のモデルを定義するステップをさらに含み、第2のモデルは、潜像傾き値を利用して、あるフィーチャのパターン不良がいつ発生するかを予測するために利用されるパラメータを定義する。
[014] 以下により詳細に説明するように、本発明の方法は、従来技術よりも優れた大きな利点を提供する。最も重要なことに、本発明は、正確にLWRを推定し、パターン不良を予測でき、既存のシミュレーションモデルを利用して容易に実施されるシミュレーションモデルを提供する。その結果、より正確な結像モデルおよびシミュレーション結果が生み出され、これは、このようなシミュレーションプロセスを利用するすべての用途にとって利益になる。例えば、パターン不良およびLWRの情報をパターンプリントの解析に含めることにより、IC製造業者は、デバイスが故障し得るエリアをよりよく特定することができるとともに、マスクまたはスキャナの状態を改良して、費用のかかる遅れを回避することができる。
[015] 本文では、本発明のIC製造での使用例を特に参照することがあるが、本発明が他の多くの可能な適用例を有することを明確に理解されたい。例えば、集積光学システム、磁気ドメインメモリ用誘導および検出パタ−ン、液晶ディスプレイパネル、薄膜磁気ヘッド等の製造に利用することが可能である。このような代替の応用形態の文脈の中で、本文での「レチクル」、「ウェーハ」、または「ダイ」という用語のいずれの使用もそれぞれ、「マスク」、「基板」、および「ターゲット部分」というより一般的な用語で置き換えられるものとしてみなされるべきであることを当業者は理解しよう。本文では、本発明のIC製造での使用例を特に参照することがあるが、本発明が他の多くの可能な適用例を有することを明確に理解されたい。例えば、集積光学システム、磁気ドメインメモリ用誘導および検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッド等の製造に関連して利用することが可能である。このような代替の応用形態の文脈の中で、本文での「レチクル」、「ウェーハ」、または「ダイ」という用語のいずれの使用もそれぞれ、「マスク」、「基板」、および「ターゲット部分」というより一般的な用語で置き換えられるものとしてみなされるべきであることを当業者は理解しよう。
[016] 本文書では、「放射」および「ビーム」という用語は、紫外線放射(例えば、365nm、248nm、193nm、157nm、または126nmの波長を有する)およびEUV(例えば、5〜20nm範囲の波長を有する極端紫外放射)を含むすべての種類の電磁放射を包含するものとして使用される。
[017] 本文において利用されるマスクという用語は、入射した放射ビームに、基板のターゲット部分に作成すべきパターンに対応するパターンの断面を付与するために使用できる全般的なパターニング手段を指すものとして広く解釈することができ、「光バルブ」(light valve)という用語もこの文脈の中で使用することができる。従来のマスク(透過または反射、バイナリ、位相シフト、ハイブリッド等)に加え、このような他のパターニング手段の例として以下が挙げられる。
・プログラマブルミラーアレイ。このようなデバイスの一例は、粘弾性制御層および反射面を有するマトリックスアドレス可能面である。このような装置の背後にある基本原理は、(例えば)反射面のアドレスされた領域が入射光を回折光として反射するのに対して、アドレスされない領域は入射光を非回折光として反射するというものである。適切なフィルターを使用して、反射ビームから上記非回折光を濾波して除去し、回折光のみを後に残すことができ、このようにして、ビームは、マトリックスアドレス可能面のアドレッシングパターンに従ってパターン化される。必要なマトリックスアドレッシングは、適した電子工学手段を使用して行うことができる。このようなミラーアレイについてのより多くの情報は、例えば、米国特許第5,296,891号および第5,523,193号から集めることができ、これらを参照により本明細書に援用する。
・プログラマブルLCDアレイ。このような構造物の一例は米国特許第5,229,872号に提供されており、これを参照により本明細書に援用する。
[018] 本発明自体を、さらなる目的および利点とともに、以下の詳細な説明および添付の図面を参照することにより、より良く理解することができる。
[039] 本発明によれば、以下にさらに詳細に説明するように、LWRおよびパターン不良両方のモデリングおよび/または予測に使用される好ましい像メトリックは、現像されたレジストフィーチャのエッジでのレジストフィルム潜像の傾き(LIslope)である。LIslopeは、現像前のレジスト像の品質をそのまま記述するものであり、現像されたレジスト像の品質に強く関連する。いかなるドーズ条件、フォーカス条件、照明条件、マスク条件、NA条件、偏光条件等であっても、シミュレータは、フィーチャエッジを定義する、ある位置条件および結像条件に対応する潜像傾き(LIslope)であるCD予測を返し、中間ステップに留まるため、LIslope量/値はキャリブレーション済み標準モデルを使用して典型的なシミュレータ(ASMLにより提供されるもの等)から容易に入手可能である。潜像NILS(normalized image log slope)、潜像ILS(image log slope)、およびより単純な同様の光学像メトリック等であるがこれらに限定されない他の同様のメトリックもLWRおよびパターン不良の有用な予測を提供し得ることに留意する。上述したように、LWRは像コントラスト(またはNILS)、より厳密にはフィーチャエッジでの像傾きに依存する。
[040] LIslopeをLWRおよびパターン不良の実験観察(すなわち、実際の結像結果)に関連付けるために選択できる異なる数式があることに留意する。以下の式は、かなりの範囲にわたってかなり良好であることが分かっている。特に、本明細書において開示される例示的な実施形態で利用される式は以下である。
LWR=a・(LIslope)b+c
式中、a、b、およびcは、式により生成されるLWR数が、予め規定されるある誤差基準で実験/実際のLWR結果に合致するように定数を実際の実験データにあてはめることにより得られる実験定数である。
[041] パターン不良予測に関して、まず、パターン不良が少なくとも2つの異なる場合に発生し得ることに留意する。第1の場合は、結像すべきフィーチャのアスペクト比が高くなる場合である。このような場合、フィーチャは、現像/洗浄プロセス中に、例えば隣接のフィーチャからの毛管力により文字通り倒れ込む。第2の場合は、結像すべきフィーチャが近すぎて、結合する(これは「スカミング」(scumming)と呼ばれる)場合である。この種のパターン不良は、LWRが過度であり、かつ空間間隔が狭く、隣接するライン間の「ブリッジング」(bridging)に繋がることに関連し得る。
[042] パターン不良予測に対して、2つの異なる式/モデル、すなわち3パラメータモデル(倒れ込む(falling over)フィーチャに起因する不良を検出することを目的とする)および5パラメータモデル(倒れ込むフィーチャおよびスカミングに起因する不良を検出することを目的とする)が開発されている。
[043] 3パラメータモデルに関して、所与(すなわち固定)のレジストプロセスで、ラインフィーチャは、
1.CD>CDmin
2.LIslope>LIslope min
3.(CD-CDmin)*( LIslope-LIslope min)>Cct *CDmin(3パラメータモデル)
の場合に良好である(すなわち、リソグラフィシミュレータにより予測されたCDを有し、フィーチャをウェーハ上で実際に測定できる)。3パラメータモデルの3つのフィッティング定数は、CDmin、LIslope min、およびCctである。これらパラメータの物理的な解釈は以下である。1)CDが小さすぎる(<CDmin)場合、フィーチャは倒れ込み、現像ステップにおいてウェーハから洗い落とされ、2)化学コントラストが低すぎる(LIslope min)場合、シミュレータの数学が、フィーチャがはっきりと現像されると予測する場合であってもフィーチャははっきりと現像されず、3)限界CDまたは最小LIslopeに近い場合、2つの間に相互作用すなわち交差が生じ、個々の基準が大丈夫な場合であっても実際にはパターンは不良になる。Cct *CDminはこの交差の係数である。LWRモデルの定数を求めるのと同様に、上記パラメータCDmin、LIslope min、およびCctは、パターン不良予測基準が実験データに実際に合致するこれら定数の値を求めることにより得られる。
[044] 5パラメータモデルの場合、パターンは、
1.CD>CDmin
2.LIslope>LIslope min(CDline>CDspaceの場合)
3.(CD-CDmin)*(LIslope-LIslope min)>Cct *CDmin
4.ピッチ-CD>Spacemin
5.LIslope>LIslope min2(CDspace>CDlineの場合)(5パラメータモデル)
の場合に良好である。2つの追加のフィッティング定数は、SpaceminおよびLIslope min2であり、この場合、モデルは、ラインの倒れのみならず、スペースクロージング(space closing)(すなわちスカミング)に関連するパターン不良も検出する。これは、スペースとラインのサイズが同程度であり、パターン不良がラインの倒れ込みまたはスペースの時期尚早的な詰めのいずれかから発生し得る密度の高いフィーチャに対して特に有用である。
[045] 上記モデルがLWRおよびパターン不良の両方をどのように予測するかのより詳細な説明をこれより提供する。図1は、典型的な近代の結像問題に対して、像コントラストおよび潜像コントラストがドーズに伴ってどのように変化するかを示す。以下にさらに説明するように、LWRはドーズに伴っても変化する。したがって、図1は、潜像メトリックがLWR予測の際の像メトリックに好ましいことを示す。
[046] 図2aおよび図2bは、2つの異なるレジストプロセス、1)「標準」(standard)プロセスおよび2)「特殊」(special)と呼称される低LWRプロセスの実験結果を示し、両方とも193nm光および0.93NAレンズを使用した2つの異なる結像課題、1)ダイポール照明を使用した55nm1:1ラインおよび2)環状照明を使用した65nm1:1ラインである。図2aは、実測LWR値とキャリブレーションされたモデルを使用して各データ点に計算される潜像コントラストとの関係をグラフ化している。各条件毎に(レジストプロセスおよびフィーチャタイプ/照明)プロットは単調性であるが、4つの明瞭に区別される曲線がある。これとは対照的に、図2bは、LWRと現像されたフィーチャエッジで測定された潜像傾きとの関係をグラフ化したものであり、各レジストプロセス毎に1つずつ、2つの曲線に分けられる。したがって、図2bは、フィーチャエッジでのLIslopeが、LIcontrastよりも優れた、特定のレジストプロセスに関連するLWRの予測子であることを示す。標準プロセスが一貫して、特殊プロセスよりも高い2nm高いLWRを提供することも示される。
[047] 図3は、図2aおよび図2bにおいて述べた特殊プロセスのデータを示すが、ダイポール照明を使用して生成された42nm1:1ラインおよび45nm1:1ラインを1.2NAで測定したデータも組み合わせている。実験ドーズ寛容度測定にも合うように小さなモデル調整が行われ、その結果が、上記のLWR予測のベキ級数式にあてはめられるなめらかな単調挙動である。したがって、このレジストプロセスを使用した他の結像課題に対して、フィーチャエッジでのLIslopeを計算し、あるレジストプロセスにあてはめられた定数を有する上記式を使用することにより、LWRを十分に予測できることが明らかである。ある例では、式は、
LWR=90.9・(LIslope)-0.85
になる。
[048] この予測の有用性のさらなるチェックを表1に示し、表1では、標準プロセスが使用されるため、2nmオフセットを含むモデルが適用される。表1を参照すると、2つの異なるフィーチャタイプ(55nm1:1および60nm1:1)のデータが、偏光照明がある場合とない場合の両方で、最良のフォーカスおよびドーズで比較される。予測は実験値に満足のいく程度に近く、偏光がより良好なLWRおよびより良好な潜像を提供することを示す。
[049] モデルの十分性のさらなる指標を図4ならびに図5aおよび図5bに示す。図4は、ドーズおよびフォーカスを通して50nm1:1ラインを1.2NAおよび0.94/0.74環状照明で結像した場合のLWRの実験測定を示す。像のフォーカスが外れるにつれて、かつ露光不足に伴ってLWRが増大することが示される。図5aは、3つの示される係数および実験LWR測定値にオーバーレイされた、レジストモデルから得られるモデルLIslope値から得られる滑らかな曲線を示す。実験データにLWR測定に典型的ないくらかのノイズが明らかに存在するが、モデルが予想データを実質的に再現することが示される。図5bは、ドーズおよびフォーカスを通してのLWR予測および測定値をオーバーレイしている。ここでも、一致は満足のいくものであり、本実施形態のLWRモデルの有効性がさらに実証される。
[050] LWRモデルを標準のリソグラフィシミュレーションにどのように含めることができるかの一例を図6(a)〜図6(c)および図6(d)〜図6(f)に示す。図6(a)〜図6(c)は偏光照明の場合を考察し、CDに基づくフォーカス:露光プロセスウィンドウ(図6a)、ドーズおよびフォーカスを通してのLWR等高線(図6b)、およびCDがターゲットの10%以内であり、LWRがある事前設定される制限(ここでは8.5を使用)未満である重複プロセスウィンドウ(図6c)を示すモデルからのプロットを示す。図6(d)〜図6(f)は偏光なしの場合の類似する結果を示す。これら図を検討すると、重複プロセスウィンドウが偏光なしの場合に、主に高LWRによりはるかに小さいことが示される。
[051] 図7は、シミュレーションプログラムでのLWRモデルの有用性の別の例を示す。この場合、LWRモデルは、対応するレジストフィーチャのSEM測定に示されるものと同様に、トップダウン図に合成することができる数値を返す。ノイズジェネレータを利用して所望の表面的な結果を提供してもよく、または実験パワースペクトル密度測定に基づくより複雑で厳密な、もしくは同様の粗さ処理を用いてもよいことに留意する。
[052] 上述したように、パターン不良は、正確なフォトリソグラフィモデリングの主問題および困難な側面でもある。標準モデルの欠点の一例を、実験データとモデル予測とのプロセスウィンドウをEL曲線とDOF曲線との関係の形で比較する図8aおよび図8bに示す。これは、60nm1:1ラインを0.93NAおよびダイポール照明で3つの違い、1)ドライシステム偏光なし、2)ドライシステム偏光あり、および3)水浸システム偏光ありでプリントした結果である。図8bに示すように、キャリブレーションされたモデルはドーズ寛容度および曲線の大まかな形状を適度によく予測しているが、1つの大きな例外がある−(実際の結像結果を表す)図8aに示す実験曲線はすべてパターン不良により急に打ち切られているのに対して、シミュレーション結果がはるかに高いDOFを予測している。この不一致が、本発明のパターン不良モデルで対処される。
[053] 図9では、実験での打ち切りの性質を調べる。図9を参照すると、0.30フォーカス設定および−0.30uフォーカス設定でのレジスト像(示していないがこの間もすべて同様に)は微細であり、プリントされ、CDは測定可能であり、ターゲット付近で1:1ラインおよびスペースをプリントすることが示される。しかし、ただ0.05uだけフォーカスがさらに外れた像は、線倒れ込みの問題を示し、パターンは不良である。すなわち、生産に使用できないばかりか、信頼的に測定することさえできない。残っているパターンに関して、CDは、シミュレーションプログラムにより通常予測される程度に良好であるが、パターンは不良であり、これは現行のシミュレーションモデルで予測されない。
[054] いくつかの実験データセットを調べることで、特定のレジストプロセスでの高密度のラインでのパターン不良を、単に2つの基準、1)ある最小値未満のCDは不良なしでは解像せず、かつ2)現像されたフィーチャエッジでのある基準LIslope未満でもパターンが不良になる、を引き合いに出すだけで満足のいく程度に良好に予測できるという結論が導き出された。前者の基準は、既知のように、ある限界アスペクト比を超えると、現像および洗浄のプロセス中の毛管力によりラインが倒れ込むことになることを予想する。しかし、LIslope制約はあまり直観的ではなく、シミュレーションモデルは、フィーチャが許容可能なCD(多くの場合、限界最小CDよりもはるかに上)でプリントされるべきであり、倒れ込まないラインはこのCDに一致するが、LIslopeの品質が限界値よりも下がると他のある機械的破損が発生することを示す。
[055] 上記の例を図10および図11(a)〜図11(c)に示す。図10は、55nm1:1ラインを0.93NAおよびダイポール照明でプリントした実験のEL結果とDOF結果との関係を示す。フォーカスの急なカットオフを見ることができ、これは偏光照明の場合よりも偏光なし照明の場合ではるかに早期に発生している。これとは対照的に、図11aは、偏光なしの場合でのフォーカスおよびドーズを通してのCDを予測する単純なシミュレーションプロセスの結果を示す。明らかなように、予想されるDOFは実験/実際の値の略2倍である。図11bは、実験データに基づいて以下の2つの規則を用いてシミュレーション結果を打ち切る。フィーチャが良好であり、かつ測定可能であるためには、CDmin>48nmであり、かつLIslope>14である。物理的な解釈は、48nm未満のCD(露光過度の場合)は倒れ込み、極めて不良な潜像品質の場合(フォーカスが外れる場合)も不良になるというものである。これら基準を用いれば、シミュレーションDOFは実験に合致する。図11cは偏光ありの場合の同様の結果を示し、ここでも、シミュレーションは図10の実験DOFに合致して示される。
[056] この2パラメータパターン不良モデルはかなり有用であるが、図12a〜図12cは、第3のパラメータを追加することによるさらなる改良が、シミュレーション結果と実験すなわち実際の結果との合致性を向上させることを示している。特に、図12aは、水浸システムでの0.93NAでダイポール照明を使用して生成された60nm1:1ラインの実験FEM測定値を示す。図12bは、単純な2パラメータモデルが適用される場合のシミュレーションの最良マッチングを示す(これは2パラメータモデルを実験データにあてはめることにより得られる)。露光過度およびフォーカス外れの場合に、モデルがウェーハ上の実際に現れるよりも良好な点を予測する問題領域が存在する。これら点は、CDが小さく、限界CDminに達しつつあるが限界CDminよりはまだ上であり、かつデフォーカス、時にはまだ限界値よりも上でありながらも潜像傾きが劣化するという特性を有する。第3の基準を追加すれば、それにより基準限界よりも上であるが、両方に関して不良に近い不良が可能であり、シミュレーション結果と実験結果との一致性が向上する図12cに示すようにこの問題の解消を助ける。この3パラメータモデルは以下のように定義される。
1.CD>CDmin
2.LIslope>LIslope min
3.(CD-CDmin)*(LIslope-LIslope min)>Cct*CDmin(3パラメータモデル)
[057] CDmin、LIslope min、およびCctの値は、実験データとモデル予測とを比較して、シミュレーション結果が誤差(パターン不良が発生していない箇所でパターン不良を予測し、またはこの逆を予測すること)数最小で実験データに最もよく合致するようにパラメータ値を決めることにより決まることに留意する。実際の実験結果を首尾良く推定するこのモデルの能力の別の例を図13aおよび図13bに示し、図13aおよび図13bでは、異なるレジストプロセスを使用し、1.2NAダイポール照明で45nm1:1ラインを調べる。実験DOFは〜0.5uであるのに対して、単純に合致するシミュレーション予測は0.7uである。3パラメータパターン不良基準が追加される場合、実測Bossungプロットの予測形状のようにDOF合致性が向上する。
[058] さらに別の例を図14aおよび図14bに示す。図14aは図4bの繰り返しであることに留意する。この例では、実験データ(プロットされた離散点)および3パラメータ不良基準を含むシミュレーション結果がオーバーレイされる。シミュレーション結果と実験結果との合致性が極めて良好であることが示される。プロセスが1.2NAおよび0.94/0.74環状照明を使用して生成された50nm1:1ラインに対しての場合、最良モデルパラメータは以下である。
[059] 図14bは、パターン不良を含まないシミュレーション結果を示し、プロセスウィンドウの過剰予測が明らかである。このデータセットは図5のLWR解析に使用されたものと同じであるため、図5と一緒に、これら実験モデルを含むことで、1)CDを予測し、2)LWRを予測し、かつ3)パターン不良を予測することが可能であることが示されることに留意する。
[060] パターン不良モデルのさらなる改良も可能である。図15は、パターン不良が小さなラインの場合のパターンつぶれおよび小さなスペースの場合の「プレマチュアスペースクロージング」(premature space closing)の両方を含み得ることを明らかにするフォーカスエッジでのSEM像を含む実験データを示す。パターン不良モデルの第2の実施形態では、上述した第1のパターン不良モデルに、この影響を含むためさらに2つの項を追加することができる。このような場合、モデルは、
1.CD>CDmin
2.LIslope>LIslope min(CDline>CDspaceの場合)
3.(CD-CDmin)*(LIslope-LIslope min)>Cct *CDmin
4.ピッチ-CD>Spacemin
5.LIslope>LIslope min2(CDspace>CDlineの場合)(5パラメータモデル)
になる。ここでも、モデルCDmin、LIslope min、Cct、Spacemin、およびLIslope min2の値は、実験データとモデル予測とを比較して、シミュレーション結果が誤差(パターン不良が発生していない箇所でパターン不良を予測し、またはこの逆を予測すること)数最小で実験データに最もよく合致するようにパラメータ値を決めることにより決まる。
[061] 図16aおよび図16bはモデルの有用性を示す。5パラメータモデルが、50nm1:1環状データに合致するようにキャリブレーションされる場合、42nm1:1ダイポールデータに対するその予測は、3パラメータモデルの予測よりもはるかに良好である。3パラメータモデルを使用した図16bは、実験により観察されるよりもはるかに良好な性能を露光不足(小スペース)において予測している。図16aは、5パラメータモデルがこの不一致を低減することを示している。
[062] 図17a〜図17cは、この点をより明確にしている。ここで、モデルは42nm1:1データに直接あてはめられている。図17aは5パラメータモデルとの良好な合致性を示すのに対して、図17bは、3パラメータモデルの場合に特に露光不足において不良な合致性を示す。図17cは、パターン不良のない予測の検討が示されている。
[063] 図18は、上述したLWRモデルおよびパターン不良モデルの生成に関する例示的なフローチャートを示す。プロセス180の第1のステップにおいて、利用するあるレジストプロセスの実際の結像結果が得られる。実験データ点がフォーカスおよびドーズを通して測定される。通常、実測データは結果生じるCDであるが、他の測定も可能である。上述したように、上記モデルはレジストプロセス依存であり、レジストプロセスが変更される場合には、モデルのキャリブレーション(すなわち、モデルパラメータの決定)を事前に行うべきである。次のステップ(ステップ182)では、利用されているあるシミュレーションモデルをキャリブレーションする(LIslopeの値が中間値として利用可能な従来技術によるいかなるシミュレーションモデルも利用できることに留意する)。このステップは、典型的なシミュレーションモデルキャリブレーションプロセスに対応する。次のステップ(ステップ184)において、キャリブレーションされたレジストモデルを使用して、実験(すなわち、実際)の結像結果に対応する、シミュレーションモデルにより生成されるシミュレーションCD値および対応するLIslope値が決定される。次に(ステップ186)、LWRモデルでの3つのパラメータが、LWRのシミュレーション結果が実験結果に最もよく合致するようにLWRモデルの3つのパラメータを実験データにあてはめることにより決定される。これは、例えば、モデリングされたLWRと実験LWRとの差の二乗和を最小化することにより実現することができる。その後、最終ステップ(ステップ188)において、パターン不良モデルの3つのパラメータまたは5つのパラメータが、実験データとモデル予測とを比較して、シミュレーション結果が誤差(パターン不良が発生していない箇所でパターン不良を予測し、またはこの逆を予測すること)数最小で実験データに最もよく合致するようにパラメータ値を決めることにより決まる。
[064] パターン不良モデルに関連するパラメータを決める上記方法の一変形では、最小化すべき追加のメトリックを導入することも可能であり、これは、
メトリック=(S+E-+E+S-)+|S+E--E+S-|
であり、式中、Sは、パターンがシミュレーションでは良好であるが、実験結果では不良であることを示し、Eは、パターンが実験結果では良好であるが、シミュレーションでは不良であることを示す。このメトリックの目的は、モデルのパラメータを実験データにあてはめるときに、2つのタイプの発生し得る誤差のバランスをとることである。
[065] 特定の数学的関数を上記で開示したが、追加のパラメータを有する他の式を利用することも可能なことに留意する。さらに、様々なフォーカスおよびドーズにわたってLWRモデルおよびパターン不良モデルのパラメータをキャリブレーションすることとは対照的に、(例えば、最良フォーカスおよび最良ドーズでの)1点キャリブレーションを利用することもできる。もちろん、他の変形も可能である。
[066] 図19は、本発明によるLWRモデルおよびパターン不良モデルの生成および使用を支援できるコンピュータシステム100を示すブロック図である。コンピュータシステム100は、情報を通信するためのバス102または他の通信機構およびバス102に結合された、情報を処理するためのプロセッサ104を含む。コンピュータシステム100は、バス102に結合され、情報およびプロセッサ104により実行される命令を記憶するための、ランダムアクセスメモリ(RAM)または他のアクティブストレージデバイス等のメインメモリ106も含む。メインメモリ106は、プロセッサ104により実行される命令の実行中に一時変数または他の中間情報を記憶するために使用することもできる。コンピュータシステム100は、バス102に結合され、プロセッサ104のスタティック情報および命令を記憶するための、リードオンリーメモリ(ROM)108または他のスタティックストレージデバイスをさらに含む。磁気ディスクまたは光学ディスク等のストレージデバイス110が、情報および命令を記憶するために設けられ、バス102に結合される。
[067] コンピュータシステム100は、コンピュータユーザに情報を表示する、陰極線管(CRT)、フラットパネルディスプレイ、またはタッチパネルディスプレイ等のディスプレイ112に、バス102を介して結合することができる。情報およびコマンド選択をプロセッサ104に伝達する、英数字キーおよび他のキーを含むインプットデバイス114が、バス102に結合される。別の種類のユーザインプットデバイスは、方向情報およびコマンド選択をプロセッサ104に伝達し、ディスプレイ112上のカーソルの移動を制御する、マウス、トラックボール、またはカーソル方向キー等のカーソルコントロール機器116である。このインプットデバイスは、通常、第1の軸(例えば、x)および第2の軸(例えば、y)の2軸に2度の自由度を有し、デバイスの平面内の位置を指定することができる。タッチパネル(スクリーン)ディスプレイもインプットデバイスとして使用することができる。
[068] モデルの決定およびキャリブレーションは、メインメモリ106に含まれる1つまたは複数の命令の1つまたは複数のシーケンスをプロセッサ104が実行することに応答して、コンピュータシステム100により行うことができる。このような命令は、ストレージデバイス110等の別のコンピュータ読取可能媒体からメインメモリ106に読み込むことができる。メインメモリ106に含まれる命令シーケンスの実行により、プロセッサ104に本明細書において述べたプロセスステップを実行させる。マルチプロセス構成の1つまたは複数のプロセッサを利用して、メインメモリ106に含まれる命令シーケンスを実行することもできる。代替実施形態では、ハードワイヤード回路を、ソフトウェア命令の代わりに、またはそれと組み合わせて使用して、本発明を実施することができる。したがって、本発明の実施形態は、ハードウェア回路とソフトウェアのいかなる特定の組み合わせにも制限されない。
[069] 本明細書において使用する「コンピュータ読取可能媒体」という用語は、プロセッサ104が実行する命令をプロセッサ104に提供することに関わる任意の媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、および伝送媒体を含むがこれらに制限されない、多くの形態をとり得る。不揮発性媒体は、例えば、ストレージデバイス110等の光ディスクまたは磁気ディスクを含む。揮発性媒体は、メインメモリ106等のアクティブメモリを含む。伝送媒体は、バス102を構成するワイヤを含め、同軸ケーブル、銅線、および光ファイバを含む。伝送媒体は、無線周波(RF)および赤外線(IR)データ通信中に生成されるもの等の音波または光波の形態をとることもできる。コンピュータ読取可能媒体の一般的な形態としては、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、他の任意の磁気媒体、CD−ROM、DVD、他の任意の光学媒体、パンチカード、紙テープ、パターンになった穴を有する他の任意の物理媒体、RAM、PROM、およびPROM、フラッシュEPROM、他の任意のメモリチップもしくはカートリッジ、以下説明するような搬送波、またはコンピュータ読み取り可能な他の任意の媒体が挙げられる。
[070] 各種形態のコンピュータ読取可能媒体が、1つまたは複数の命令の1つまたは複数のシーケンスを実行のためにプロセッサ104に搬送する際に関わることができる。例えば、命令はまず、リモートコンピュータの磁気ディスクに記憶することができる。リモートコンピュータは命令をアクティブメモリにロードし、モデムを使用して電話回線を介して命令を送信することができる。コンピュータシステム100のローカルモデムが電話回線上のデータを受信し、赤外線送信器を使用してデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器が、赤外線信号で搬送されたデータを受信してバス102に配置することができる。バス102はデータをメインメモリ106に運び、プロセッサ104は、メインメモリ106から命令を検索して実行する。メインメモリ106が受け取る命令は、任意に、プロセッサ104による実行前または実行後にストレージデバイス110に記憶することができる。
[071] コンピュータシステム100は、好ましくは、バス102に結合された通信インターフェイス118も含む。通信インターフェイス118は、ローカルネットワーク122に接続されたネットワークリンク120に双方向データ通信結合を提供する。例えば、通信インターフェイス118は、データ通信接続を対応する種類の電話回線に提供する統合サービスデジタルネットワーク(ISDN)カードまたはモデムであることができる。別の例として、通信インターフェイス118は、データ通信接続を対応LANに提供するローカルエリアネットワーク(LAN)カードであることができる。無線リンクを実施することもできる。このようないかなる実施態様でも、通信インターフェイス118は、各種類の情報を表すデジタルデータストリームを搬送する電気信号、電磁信号、または光信号を送受信する。
[072] ネットワークリンク120は、通常、1つまたは複数のネットワークを通してデータ通信を他のデータ装置に提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を通して接続をホストコンピュータ124またはインターネットサービスプロバイダ(ISP)126により運用されるデータ機器に提供することができる。次に、ISP126は、現在では一般に「インターネット」128と呼ばれるワールドワイドパケットデータ通信ネットワークを通してデータ通信サービスを提供する。ローカルネットワーク122およびインターネット128は両方とも、デジタルデータストリームを搬送する電気信号、電磁信号、または光信号を使用する。デジタルデータをコンピュータシステム100へ/から運ぶ、各種ネットワークを通る信号およびネットワークリンク120上および通信インターフェイス118を通る信号は、情報を運ぶ搬送波の例示的な形態である。
[073] コンピュータシステム100は、ネットワーク(複数化)、ネットワークリンク120、および通信インターフェイス118を通して、プログラムコードを含んで、メッセージを送信し、データを受信することができる。インターネットの例では、サーバ130がインターネット128、ISP126、ローカルネットワーク122、および通信インターフェイス118を通してアプリケーションプログラムに関する要求のコードを送信することができる。本発明によれば、このような1つのダウンロードされるアプリケーションが、キャリブレーションテストパターンを決定する。受信されたコードは、受信されたときにプロセッサ104によって実行することができ、かつ/または後で実行するためにストレージデバイス110もしくは他の不揮発性ストレージデバイスに記憶してもよい。このように、コンピュータシステム100は、搬送波の形態でアプリケーションコードを得ることができると共に本発明によるレジスト性能の非線形モデルを組み込む光学結像システムのモデルを生成する。
[074] 図20は、本発明のプロセスにより作成されキャリブレーションされた結像モデルおよびCPKのベースとなることができる例示的なリソグラフィ投影装置を概略的に示す。この装置は以下を備える。
−放射の投影ビームPBを供給する放射システムEx、IL。この特定の場合では、放射システムは放射源LAも含む。
−マスクMA(例えば、レチクル)を保持するマスクホルダが設けられ、マスクをアイテムPLに対して正確に位置決めするための第1の位置決め手段に接続された第1のオブジェクトテーブル(マスクテーブル)MT。
−基板W(例えば、レジストコートシリコンウェーハ)を保持する基板ホルダが設けられ、基板をアイテムPLに対して正確に位置決めするための第2の位置決め手段に接続された第2のオブジェクトテーブル(基板テーブル)WT。
−マスクMAの放射された部分を基板Wのターゲット部分C(例えば、1つまたは複数のダイを含む)に結合するための投影システム(「レンズ」)PL(例えば、屈折、カトプトリックまたはカタディオプトリック光学系)
[075] 本明細書において示したように、装置は透過型である(すなわち、透過型マスクを有する)。しかし、一般に、例えば、反射型(反射型マスクを有する)であってもよい。別法として、装置は、マスクを使用することの代替として、別種のパターニング手段を利用することができ、例としては、プログラマブルミラーアレイまたはLCDマトリックスが挙げられる。
[076] ソースLA(例えば、水銀灯またはエキシマレーザ)は放射ビームを生成する。このビームは、直接またはコンディショニング手段、例えばビームエクスパンダEx等を経た後に、照明システム(イルミネータ)ILに供給される。イルミネータILは、ビーム内の強度分布の外側および/または内側半径範囲(一般に、それぞれσ-outerおよびσ-innerと呼ばれる)を設定する調整手段AMを備えてよい。さらに、一般に、インテグレータINおよびコンデンサCO等の他の各種コンポーネントを備える。このように、マスクMAに衝突するビームPBは、所望の均一性および強度分を断面に有する。
[077] 図20に関して、ソースLAはリソグラフィ投影装置の筐体内にあってもよい(例えば、ソースLAが水銀灯の場合に往々にしてそうであるように)が、リソグラフィ投影装置から離れて、生成される放射ビームが装置に導かれ(例えば、適した誘導ミラーの助けにより)てもよいことに留意されたい。この後者のシナリオは、ソースLAが(例えば、KrF、ArF、またはFレーザに基づく)エキシマレーザである場合に往々にして見られる。本発明は少なくともこれらシナリオの両方を包含する。
[078] ビームPBは、マスクテーブルMT上に保持されているマスクMAで実質的に遮断される。マスクMAを経た後、ビームPBはレンズPLを透過し、レンズPLはビームPBを基板Wのターゲット部分C上にフォーカスさせる。第2の位置決め手段(および干渉測定手段IF)の助けにより、基板テーブルWTを正確に、例えば、異なるターゲット部分CをビームPBの経路内に位置決めするように移動させることができる。同様に、第1の位置決め手段を使用して、例えば、マスクMAをマスクライブラリから機械的に検索した後、またはスキャン中に、マスクMAをビームPBの経路に対して正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、図20に明示的に示されていないロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)の助けにより実現される。しかし、(ステップアンドスキャンツールとは対照的に)ウェーハストッパの場合、マスクテーブルMTは短行程アクチュエータに接続されるだけでよく、または固定してもよい。
[079] 図示したツールは2つの異なるモードで使用することができる。
−ステップモードでは、マスクテーブルMTは本質的に静止したままであり、マスク像全体が1度に(すなわち、1回の「フラッシュ」で)ターゲット部分C上に投影される。次に、基板テーブルWTが、異なるターゲット部分CをビームPBで照射できるようにxおよび/またはy方向にシフトされる。
−スキャンモードでは、あるターゲット部分Cが1回の「フラッシュ」で露光されないことを除き、本質的に同じシナリオが当てはまる。1回のフラッシュに代えて、マスクテーブルMTは速度vである方向(いわゆる「スキャン方向」、例えばy方向)に可動であり、それにより、投影ビームPBをマスク像にわたってスキャンさせ、同時に、基板テーブルWTが同時に速度V=Mvで同じまたは逆の方向に移動する。但し、MはレンズPLの倍率である(通常、M=1/4または1/5である)。このように、分解能を損なう必要なく、比較的大きなターゲット部分Cを露光することができる。
[080] 本明細書において開示した概念は、サブ波長フィーチャを結像するあらゆる汎用結像システムのシミュレーションまたは数学的モデリングを行うことができ、ますます小さなサイズの波長を生成可能な新興の結像技術に特に有用であることができる。すでに使用されている新興技術としては、ArEレーザの使用により193nm波長を生成可能であり、さらにはフッ素レーザの使用により157nm波長を生成可能なEUV(極端紫外放射)リソグラフィが挙げられる。さらに、EUVリソグラフィは、シンクロトロンを使用することにより、または高エネルギー電子を有する材料(固体またはプラズマのいずれか)を衝突させて、この範囲内の光子を生成することにより20〜5nmの範囲内の波長を生成することが可能である。大半の材料はこの範囲内で吸収性であるため、照明は、モリブデンおよびケイ素のマルチスタックを有する反射ミラーで生成することができる。マルチスタックミラーは、モリブデンとケイ素の40層対を有し、各層の厚さは四分の一波長である。X線リソグラフィを使用して、より小さな波長さえも生成することができる。通常、シンクロトロンがX線波長の生成に使用される。大半の材料はx線波長で吸収性であるため、薄い吸収材料が、フィーチャがプリントされる箇所(正のレジスト)またはプリントされない箇所(負のレジスト)を画定する。
[081] 本明細書において開示した装置は、シリコンウェーハ等の基板への結像に使用することができるが、開示された概念はあらゆる種類のリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上への結像に使用されるものと併せて使用できることを理解されたい。
[082] 本発明を詳細に説明し図示したが、これは単に説明および例としてであり、制限として解釈されるべきではなく、本発明の範囲は添付の特許請求の範囲によってのみ制限されることを明確に理解されたい。
[019] 像コントラストおよび潜像コントラストがドーズに伴ってどのように変化するかを示す。 [020] 図2a−図2bは2つの異なるレジストプロセスの実験結果に関連する、結果得られる潜像傾き値を示す。 [021] 図2aおよび図2bにおいて述べられた特殊プロセスのデータおよびモデルパラメータを実験データにあてはめた結果得られるLWRモデルにより生成される曲線を示す。 [022] ドーズおよびフォーカスを通して50nm1:1線を1.2NAおよび0.94/0.74環状照明で結像した場合のLWRの実験測定を示す。 [023] 図5aは実験3パラメータLWR等式を使用してレジストモデルから得られたLI傾き値のLWR測定へのあてはめを示し、図5bはドーズおよびフォーカスを通してのLWR予測および測定値のオーバーレイを示す。 [024] 図6(a)〜図6(f)は、LWRモデルを標準リソグラフィシミュレーションプロセスにどのように利用できるかの一例を示す。 [025] LWRモデルを標準リソグラフィシミュレーションプロセスにどのように利用できるかの別の例を示す。 [026] 図8aおよび図8bは、実験データおよびモデル予測のEL曲線とDOF曲線との関係の形でのプロセスウィンドウの比較を示す。 [027] パターン不良の一例を示す例示的なSEM像である。 [028] 55nm1:1線を0.93NAおよびダイポール照明でプリントした実験結果のELとDOFとの関係を示す。 [029] 図11(a)〜図11(c)は、フォーカスおよびドーズを通してのCD予測に関するシミュレーション結果を示す。 [030] 図12(a)〜図12(c)は、本発明の3パラメータパターン不良モデルの応用例を示す。 [031] 図13(a)〜図13(b)は、本発明の3パラメータパターン不良モデルの別の応用例を示す。 [032] 図14(a)〜図14(b)は、本発明の3パラメータパターン不良モデルのさらに別の応用例を示す。 [033] フォーカスエッジにSEM像を含む実験データおよびパターン不良がパターンつぶれおよびスペースクロージングの両方を含むことができることを示す。 [034] 図16aおよび図16bは、本発明による3パラメータパターン不良モデルおよび5パラメータパターン不良モデルの性能比較を示す。 [035] 図17a〜図17cは、5パラメータパターン不良モデルの例示的な応用例を示す。 [036] LWRモデルおよびパターン不良モデルの生成に関する例示的なフローチャートを示す。 [037] 本発明のLWRモデルおよびパターン不良モデルの生成を支援できるコンピュータシステム100を示すブロック図である。 [038] 本発明のプロセスによる結像モデルの作成およびキャリブレーションのベースとなることができる例示的なリソグラフィ投影装置を概略的に示す。

Claims (22)

  1. 光学結像システムの結像性能をシミュレーションするモデルを生成する方法であって、
    前記光学結像システムおよび前記光学結像システムが利用するプロセスを定義するステップ、
    前記光学結像システムおよび前記プロセスの結像性能を表す第1のモデルを定義し、該モデルをキャリブレーションするステップであって、該第1のモデルは現像像寸法および潜像傾きに対応する値を生成する、該ステップ、および
    結像するフィーチャのライン幅粗さを推定する第2のモデルを定義するステップであって、該第2のモデルは前記潜像傾き値を利用して前記ライン幅粗さを推定する、該ステップ、
    を含む方法。
  2. 前記第2のモデルは、実験結像データを前記第1のモデルにより生成されるシミュレーション結像データと比較すること、および前記第2のモデルが所定誤差許容差で前記実験データの前記ライン幅粗さデータに対応するライン幅粗さ推定を生成するように前記第2のモデルに含まれるパラメータの値を選択することによりキャリブレーションされる、請求項1に記載の方法。
  3. 前記第2のモデルは、
    LWR=a・(LIslope)b+c,但しa, b, cは前記パラメータに対応
    として定義される、請求項3に記載の方法。
  4. 光学結像システムの結像性能をシミュレーションする方法であって、
    前記光学結像システムおよび前記光学結像システムが利用するプロセスを定義するステップ、
    前記光学結像システムおよび前記プロセスの結像性能を表す第1のモデルを定義し、該モデルをキャリブレーションするステップであって、該第1のモデルは現像像寸法および潜像傾きに対応する値を生成する、該ステップ、および
    結像するフィーチャのライン幅粗さを推定する第2のモデルを定義するステップであって、該第2のモデルは前記潜像傾き値を利用して前記ライン幅粗さを推定する、該ステップ、
    を含む方法。
  5. 前記第2のモデルは、実験結像データを前記第1のモデルにより生成されるシミュレーション結像データと比較すること、および前記第2のモデルが所定誤差許容差で前記実験データの前記ライン幅粗さデータに対応するライン幅粗さ推定を生成するように前記第2のモデルに含まれるパラメータの値を選択することによりキャリブレーションされる、請求項4に記載の方法。
  6. 前記第2のモデルは、
    LWR=a・(LIslope)b+c,但しa, b, cは前記パラメータに対応
    として定義される、請求項5に記載の方法。
  7. 光学結像システムの結像性能をシミュレーションするモデルを生成するコンピュータプログラムを記憶したコンピュータ読取可能媒体であって、前記コンピュータプログラムは、実行されると、コンピュータに、
    前記光学結像システムおよび前記光学結像システムが利用するプロセスを定義するステップ、
    前記光学結像システムおよび前記プロセスの結像性能を表す第1のモデルを定義し、該モデルをキャリブレーションするステップであって、該第1のモデルは現像像寸法および潜像傾きに対応する値を生成する、該ステップ、および
    結像するフィーチャのライン幅粗さを推定する第2のモデルを定義するステップであって、該第2のモデルは前記潜像傾き値を利用して前記ライン幅粗さを推定する、該ステップ、
    を実行させる、コンピュータ読取可能媒体。
  8. 前記第2のモデルは、実験結像データを前記第1のモデルにより生成されるシミュレーション結像データと比較すること、および前記第2のモデルが所定誤差許容差で前記実験データの前記ライン幅粗さデータに対応するライン幅粗さ推定を生成するように前記第2のモデルに含まれるパラメータの値を選択することによりキャリブレーションされる、請求項7に記載のコンピュータ読取可能媒体。
  9. 前記第2のモデルは、
    LWR=a・(LIslope)b+c,但しa, b, cは前記パラメータに対応
    として定義される、請求項8に記載のコンピュータ読取可能媒体。
  10. 光学結像システムの結像性能をシミュレーションするモデルを生成する方法であって、
    前記光学結像システムおよび前記光学結像システムが利用するプロセスを定義するステップ、
    前記光学結像システムおよび前記プロセスの結像性能を表す第1のモデルを定義し、該モデルをキャリブレーションするステップであって、該第1のモデルは現像像寸法および潜像傾きに対応する値を生成する、該ステップ、および
    結像するフィーチャに関するパターン不良を推定する第2のモデルを定義するステップであって、該第2のモデルは前記潜像傾き値を利用してあるフィーチャのパターン不良の発生を推定するパラメータを定義する、該ステップ、
    を含む方法。
  11. 前記第2のモデルは、実験結像データを前記第1のモデルにより生成されるシミュレーション結像データと比較すること、および前記第2のモデルに含まれるパラメータの値を、該パラメータがあるフィーチャにパターン不良が発生するか否かを該あるフィーチャに関連する該パラメータ値に基づいて示すように選択することによりキャリブレーションされる、請求項10に記載の方法。
  12. 前記パラメータは、
    CDmin、LIslope min、およびCct
    を含み、CDminは、あるプロセスの許容可能な最小クリティカルディメンションであり、LIslope minは、前記あるプロセスの許容可能な最小コントラストであり、Cctは、CDmin、LIslope minの両方が容認可能最小値よりも上であるが、該容認可能最小値に近いときの不良条件を示す交差項である、請求項11に記載の方法。
  13. 前記パラメータは、
    SpaceminおよびLIslope min2
    をさらに含み、Spaceminは、フィーチャ間の最小スペース要件に対応し、LIslope min2は、フィーチャ間の前記最小スペース要件に関連する前記潜像値に対応する、請求項12に記載の方法。
  14. 光学結像システムの結像性能をシミュレーションする方法びであって、
    前記光学結像システムおよび前記光学結像システムが利用するプロセスを定義するステップ、
    前記光学結像システムおよび前記プロセスの結像性能を表す第1のモデルを定義し、該モデルをキャリブレーションするステップであって、該第1のモデルは現像像寸法および潜像傾きに対応する値を生成する、該ステップ、および
    結像するフィーチャに関するパターン不良を推定する第2のモデルを定義するステップであって、該第2のモデルは前記潜像傾き値を利用してあるフィーチャのパターン不良の発生を推定するパラメータを定義する、該ステップ、
    を含む方法。
  15. 前記第2のモデルは、実験結像データを前記第1のモデルにより生成されるシミュレーション結像データと比較すること、および前記第2のモデルに含まれるパラメータの値を、該パラメータがあるフィーチャにパターン不良が発生するか否かを該あるフィーチャに関連する該パラメータ値に基づいて示すように選択することによりキャリブレーションされる、請求項14に記載の方法。
  16. 前記パラメータは、
    CDmin、LIslope min、およびCct
    を含み、CDminは、あるプロセスの許容可能な最小クリティカルディメンションであり、LIslope minは、前記あるプロセスの許容可能な最小コントラストであり、Cctは、CDmin、LIslope minの両方が容認可能最小値よりも上であるが、該容認可能最小値に近いときの不良条件を示す交差項である、請求項15に記載の方法。
  17. 前記パラメータは、
    SpaceminおよびLIslope min2
    をさらに含み、Spaceminは、フィーチャ間の最小スペース要件に対応し、LIslope min2は、フィーチャ間の前記最小スペース要件に関連する前記潜像値に対応する、請求項16に記載の方法。
  18. 前記潜像傾きは前記フィーチャのエッジで求められる、請求項1に記載の方法。
  19. 前記潜像傾きは前記フィーチャのエッジで求められる、請求項4に記載の方法。
  20. 前記潜像傾きは前記フィーチャのエッジで求められる、請求項7に記載のコンピュータ読取可能媒体。
  21. 前記潜像傾きは前記フィーチャのエッジで求められる、請求項10に記載の方法。
  22. 前記潜像傾きは前記フィーチャのエッジで求められる、請求項14に記載の方法。
JP2007289845A 2006-11-08 2007-11-07 ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用 Expired - Fee Related JP4700672B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US85749506P 2006-11-08 2006-11-08
US60/857,495 2006-11-08

Publications (2)

Publication Number Publication Date
JP2008124465A true JP2008124465A (ja) 2008-05-29
JP4700672B2 JP4700672B2 (ja) 2011-06-15

Family

ID=39508826

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007289845A Expired - Fee Related JP4700672B2 (ja) 2006-11-08 2007-11-07 ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用

Country Status (2)

Country Link
US (2) US8050898B2 (ja)
JP (1) JP4700672B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160122216A (ko) * 2014-02-11 2016-10-21 에이에스엠엘 네델란즈 비.브이. 임의 패턴의 확률적 변동을 계산하는 모델
KR20170024029A (ko) * 2014-06-25 2017-03-06 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4700672B2 (ja) * 2006-11-08 2011-06-15 エーエスエムエル マスクツールズ ビー.ブイ. ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
DE102007039981B4 (de) * 2007-08-23 2009-10-22 Vistec Semiconductor Systems Gmbh Verfahren zur Bestimmung derjenigen Position eines Messobjektivs in Z-Koordinatenrichtung einer optischen Messmaschine mit grösster Reproduzierbarkeit gemessener Strukturbreiten
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
CN102172990B (zh) * 2011-01-11 2013-10-30 哈尔滨工业大学 一种单点金刚石车削加工中表面粗糙度的预测方法
US20140236337A1 (en) * 2013-02-15 2014-08-21 Kabushiki Kaisha Toshiba Pattern inspection method and manufacturing control system
US9417280B2 (en) * 2013-04-29 2016-08-16 Varian Semiconductor Associates, Inc. System and method for analyzing voltage breakdown in electrostatic chucks
US9946166B2 (en) * 2014-05-02 2018-04-17 Asml Netherlands B.V. Reduction of hotspots of dense features
US10281902B2 (en) 2016-11-01 2019-05-07 Xometry, Inc. Methods and apparatus for machine learning predictions of manufacture processes
US10795267B2 (en) 2016-12-02 2020-10-06 Asml Netherlands B.V. Model for estimating stochastic variation
US10656532B2 (en) 2017-04-13 2020-05-19 Fractilia, Llc Edge detection system and its use for optical proximity correction
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10664955B2 (en) 2017-04-13 2020-05-26 Fractilia, Llc Edge detection system and its use for machine learning
US11361937B2 (en) 2017-04-13 2022-06-14 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US11355306B2 (en) 2017-04-13 2022-06-07 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10648801B2 (en) 2017-04-13 2020-05-12 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US11508546B2 (en) 2017-04-13 2022-11-22 Fractilia, Llc System and method for low-noise edge detection and its use for process monitoring and control
US11521825B2 (en) 2017-04-13 2022-12-06 Fractilia, Llc System and method for predicting stochastic-aware process window and yield and their use for process monitoring and control
US10488188B2 (en) 2017-04-13 2019-11-26 Fractilia, Llc System and method for removing noise from roughness measurements
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US10061300B1 (en) 2017-09-29 2018-08-28 Xometry, Inc. Methods and apparatus for machine learning predictions and multi-objective optimization of manufacturing processes
KR20220065769A (ko) 2019-09-25 2022-05-20 시놉시스, 인크. 결함 확률 분포들 및 임계 치수 변동들에 기초한 리소그래피 개선
WO2021062040A1 (en) * 2019-09-25 2021-04-01 Synopsys, Inc. Lithography improvement based on defect probability distributions and critical dimension variations
CN116522806B (zh) * 2023-07-03 2023-09-19 泉州装备制造研究所 抛光工艺参数优化方法、抛光系统、电子设备及存储介质

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0943828A (ja) * 1995-07-26 1997-02-14 Toshiba Corp 形状シミュレーション方法とマスク設計方法
JP2002221787A (ja) * 2001-01-25 2002-08-09 Fuji Photo Film Co Ltd ポジ型感放射線性組成物
JP2003241386A (ja) * 2001-12-13 2003-08-27 Fuji Photo Film Co Ltd ポジ型レジスト組成物
JP2003302214A (ja) * 2002-04-10 2003-10-24 Hitachi High-Technologies Corp パターン計測方法及びパターン計測装置、並びにパターン工程制御方法
JP2005221801A (ja) * 2004-02-06 2005-08-18 Semiconductor Leading Edge Technologies Inc レジストパターン形成方法
JP2005292827A (ja) * 2004-03-19 2005-10-20 Air Products & Chemicals Inc 界面活性剤を含有する処理溶液
JP2005302800A (ja) * 2004-04-07 2005-10-27 Nec Electronics Corp リゾグラフィの解像力判定手法
JP2006154245A (ja) * 2004-11-29 2006-06-15 Toshiba Corp パタンデータ検証方法、パタンデータ作成方法、露光用マスクの製造方法およびプログラム
JP2007324479A (ja) * 2006-06-02 2007-12-13 Toshiba Corp シミュレーションモデルの作成方法、プログラム及び半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) * 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) * 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) * 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
JP4700672B2 (ja) * 2006-11-08 2011-06-15 エーエスエムエル マスクツールズ ビー.ブイ. ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
JP4942800B2 (ja) * 2009-08-18 2012-05-30 株式会社ニューフレアテクノロジー 検査装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0943828A (ja) * 1995-07-26 1997-02-14 Toshiba Corp 形状シミュレーション方法とマスク設計方法
JP2002221787A (ja) * 2001-01-25 2002-08-09 Fuji Photo Film Co Ltd ポジ型感放射線性組成物
JP2003241386A (ja) * 2001-12-13 2003-08-27 Fuji Photo Film Co Ltd ポジ型レジスト組成物
JP2003302214A (ja) * 2002-04-10 2003-10-24 Hitachi High-Technologies Corp パターン計測方法及びパターン計測装置、並びにパターン工程制御方法
JP2005221801A (ja) * 2004-02-06 2005-08-18 Semiconductor Leading Edge Technologies Inc レジストパターン形成方法
JP2005292827A (ja) * 2004-03-19 2005-10-20 Air Products & Chemicals Inc 界面活性剤を含有する処理溶液
JP2005302800A (ja) * 2004-04-07 2005-10-27 Nec Electronics Corp リゾグラフィの解像力判定手法
JP2006154245A (ja) * 2004-11-29 2006-06-15 Toshiba Corp パタンデータ検証方法、パタンデータ作成方法、露光用マスクの製造方法およびプログラム
JP2007324479A (ja) * 2006-06-02 2007-12-13 Toshiba Corp シミュレーションモデルの作成方法、プログラム及び半導体装置の製造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160122216A (ko) * 2014-02-11 2016-10-21 에이에스엠엘 네델란즈 비.브이. 임의 패턴의 확률적 변동을 계산하는 모델
JP2017505462A (ja) * 2014-02-11 2017-02-16 エーエスエムエル ネザーランズ ビー.ブイ. 任意パターンにおける確率的変動を計算するためのモデル
KR102053152B1 (ko) * 2014-02-11 2019-12-06 에이에스엠엘 네델란즈 비.브이. 임의 패턴의 확률적 변동을 계산하는 모델
US10545411B2 (en) 2014-02-11 2020-01-28 Asml Netherlands, B.V. Model for calculating a stochastic variation in an arbitrary pattern
US11126090B2 (en) 2014-02-11 2021-09-21 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
US11835862B2 (en) 2014-02-11 2023-12-05 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
KR20170024029A (ko) * 2014-06-25 2017-03-06 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
KR101939313B1 (ko) * 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
US10191366B2 (en) 2014-06-25 2019-01-29 Asml Netherlands B.V. Etch variation tolerant optimization
US10712653B2 (en) 2014-06-25 2020-07-14 Asml Netherlands B.V. Etch variation tolerant optimization

Also Published As

Publication number Publication date
US20080183446A1 (en) 2008-07-31
US20120109607A1 (en) 2012-05-03
US8050898B2 (en) 2011-11-01
US10386730B2 (en) 2019-08-20
JP4700672B2 (ja) 2011-06-15

Similar Documents

Publication Publication Date Title
JP4700672B2 (ja) ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
US11372337B2 (en) Method of performing model-based scanner tuning
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
JP5666609B2 (ja) 光源及びマスクの最適化のためのパターン選択方法
KR101484146B1 (ko) 다중 패터닝 공정과 리소그래피 장치 및 마스크 최적화 공정의 통합
US7494753B2 (en) Method, program product and apparatus for improving calibration of resist models used in critical dimension calculation
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
JP2010118655A (ja) 高速感度モデル計算のためのデルタtcc
JP2008124469A (ja) キャリブレーションされた瞳カーネルを生成する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
TWI702467B (zh) 用於改進抗蝕劑模型預測的系統、方法及電腦程式產品

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110304

R150 Certificate of patent or registration of utility model

Ref document number: 4700672

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees