JP2008072107A - ソース及びドレインにドープされたエピタキシャル・コンタクトを有する半導体ナノワイヤmosfet - Google Patents

ソース及びドレインにドープされたエピタキシャル・コンタクトを有する半導体ナノワイヤmosfet Download PDF

Info

Publication number
JP2008072107A
JP2008072107A JP2007223096A JP2007223096A JP2008072107A JP 2008072107 A JP2008072107 A JP 2008072107A JP 2007223096 A JP2007223096 A JP 2007223096A JP 2007223096 A JP2007223096 A JP 2007223096A JP 2008072107 A JP2008072107 A JP 2008072107A
Authority
JP
Japan
Prior art keywords
semiconductor
semiconductor nanowire
silicon
nanowire
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007223096A
Other languages
English (en)
Other versions
JP5273972B2 (ja
Inventor
Guy Cohen
ガイ・コーエン
Jack Oon Chu
ジャック・ウーン・チュー
John Albrecht Ott
ジョン・アルブレヒト・オット
Michael J Rooks
マイケル・ジェイ・ルークス
Paul Solomon
ポール・ソロモン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008072107A publication Critical patent/JP2008072107A/ja
Application granted granted Critical
Publication of JP5273972B2 publication Critical patent/JP5273972B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Abstract

【課題】 半導体ナノワイヤによるチャネル(半導体ナノワイヤ・チャネル)及びドープされた半導体ソース及びドレイン領域を有する電界効果トランジスタ(FET)提供すること。
【解決手段】 FETチャネルを形成する半導体ナノワイヤと、半導体ナノワイヤから半径方向のエピタキシによって形成されるドープされたソース及びドレイン領域を有するFETが開示される。トップ・ゲート型及びボトム・ゲート型のナノワイヤFETが論じられる。ソース及びドレインの形成には、選択的又は非選択的エピタキシを用いることができる。
【選択図】 図4

Description

本発明は、半導体ナノワイヤ(半導体のナノワイヤ)に基づく電子デバイスに関し、より具体的には、ナノワイヤ・チャネル(ナノワイヤのチャネル)及びドープ半導体ソース及びドレイン領域を有する電界効果トランジスタ(FET)に関する。本発明はまた、ナノワイヤ・チャネルと接触するドープ半導体ソース/ドレイン領域を作成する方法に関する。
半導体ナノワイヤに対して、ドープされた半導体ソース及びドレインを形成することには、いくつかの課題がある。第1に、ドーパントは、気相から又は半径方向の成長によって、半導体ナノワイヤに組み込まれるため、半導体ナノワイヤをその成長中にその場ドープ(in-situ dope)することは困難である(非特許文献1)。例えば、次の部分が高濃度にドープされるように成長させる場合、ナノワイヤの低濃度にドープされる部分がカウンタ・ドープされることになる。第2に、成長のインキュベーション時間のため、その場ドープされた半導体ナノワイヤ内のドープ領域の開始が、各半導体ナノワイヤが経験する核生成の遅延に対応する変動を示すことになる(非特許文献2)。第3に、高濃度のその場ドーピングは、半導体ナノワイヤの先細り化(テーパリング)(Geナノワイヤにおいて)及び触媒からの金の損失(ジボランでドープされたSiナノワイヤにおいて)を引き起こすことが示された。第4に、半導体ナノワイヤに沿って部分ドープが達成できる場合でも、各部分にコンタクト及びゲートを位置合せするための簡単な方法がない。第5に、ドーパントの変動は、薄い半導体ナノワイヤ内におけるドーピングの制御を困難にすることになる。例えば、直径10nm、長さ0.25ミクロン、及び、ドーピング・レベルが1E19cm-3の半導体ナノワイヤは、約200個のドーパント原子を含む。半導体ナノワイヤの直径が5nmまで減じられる場合、0.25ミクロンの部分は、僅か約50個のドーパント原子を含むことになる。
金属酸化膜半導体電界効果トランジスタ(MOSFET)を構築するために、半導体ナノワイヤは、半導体ナノワイヤの主軸に沿ってn−p−n(n−FET)又はp−n−p(p−FET)のドーピング・プロファイルを有するべきである。そのプロファイルを達成するためにいくつかの方法が提案された。第1は、成長中に半導体ナノワイヤをその場ドープすることによるものである(非特許文献3)。その場技術の欠点及び限界については前に論じた。第2の方法は、イオン注入に基づくものである(非特許文献4)。この方法は、小径の半導体ナノワイヤは、注入によってアモルファス化及びスパッタされることになるため、太い半導体ナノワイヤ(30nmより大きな直径)だけにしか用いることができないという欠点を有する。ドープ領域の再結晶化は、半導体ナノワイヤの一次元性のために不可能なこともある(固相エピタキシ中には、自発的再結晶化が優勢になる)。その結果、今まで報告されたほとんどの半導体ナノワイヤFETは、ショットキ(金属)ソース及びドレインを有するものが製造された。
E.Tutuc他、Nano Lett、2006年9月号、印刷中。 B.Kalache他、JJAP、第45巻、L190頁、2006年。 Y.Wang他、Device Research Conference要約、175頁、2006年。 W.Riss他、Inter.Conf.on Nanoscience and Technology、ルツェルン、2006年8月。 E.I.Givargizov、Highly Anisotropic Crystals,Kluwer academic publishers,Norwell MA、1986年。
前述のことを考慮すると、ドープ半導体ソース及びドレイン領域をもつ半導体ナノワイヤFETを提供する必要がある。デバイスの変動を低減するためには、プレドープされた半導体ナノワイヤに依存するのではなく、むしろ非ドープ・半導体ナノワイヤを用いる製造方法の必要性もある。
本発明は、ドープされたソース及びドレイン領域をもつ半導体ナノワイヤFET(電界効果トランジスタ)を提供する。本発明によれば、非ドープ・半導体ナノワイヤが本発明のFETのチャネル領域を形成する。半導体ナノワイヤの選択された領域内にFETソース及びドレインを形成するために半径方向のエピタキシが用いられる。
本発明の第1の態様において、単結晶の半導体ナノワイヤ・チャネルと、半導体ナノワイヤ・チャネル中の電流を制御するためのゲートと、半導体ナノワイヤ・チャネル(又は、いわゆる半導体ナノワイヤ本体)から半径方向に延びて、デバイスのソース及びドレイン領域を形成するドープされ厚くされた領域とを含むFETなどの半導体構造体が説明される。
具体的には、本発明の半導体構造体は、非ドープ・半導体ナノワイヤ・チャネルと、ゲート誘電体と、半導体ナノワイヤ・チャネル内の電流を制御するために半導体ナノワイヤ・チャネルの下部、上部又は全周囲に形成されたゲート導電体と、ソース領域及びドレイン領域を形成するために半径方向の結晶成長によって半導体ナノワイヤ・チャネル上に付加された、ゲートに隣接して形成されたドープ半導体領域とを含む。
本発明の幾つかの実施形態において、ゲート導電体は、上に半導体ナノワイヤがある導電性基板からなる。この構成において、半導体ナノワイヤ・チャネルはバック・ゲート型となる。本発明の別の実施形態においては、ゲート導電体は半導体ナノワイヤの上に堆積される。この場合、半導体ナノワイヤはトップ・ゲート型となる。本発明のさらに別の実施形態においては、ゲート導電体は半導体ナノワイヤ・チャネルの全周囲に堆積される。この構成はオールアラウンド・ゲートと呼ばれる。
一実施形態において、半導体ナノワイヤ・チャネルに対して作られるソース及びドレイン領域は、半導体ナノワイヤ・チャネル内に含まれるものとは異なる少なくとも1つの化学元素を含む。例えば、シリコン(Si)半導体ナノワイヤは、SiGe合金から作られ、ホウ素(B)又はリン(P)でドープされたソース及びドレイン領域を有することができる。
本発明の第2の態様において、半導体ナノワイヤ・チャネル並びにドープ半導体ソース及びドレイン領域を有するFETを製造する方法が説明される。これらの方法の1つにおいては、半導体ナノワイヤ・チャネルを、上にゲート誘電体が形成される導電性基板の上に設ける。誘電体スタックは、基板の上にブランケット堆積させる。半導体ナノワイヤ・チャネルの部分を露出し、FETのゲート長を設定するコンタクト・ホールは、誘電体スタック内に作られてドープ半導体ソース及びドレイン領域を画定する。半径方向にその場ドープされた半導体結晶の成長は、コンタクト・ホールを充填してドープ半導体ソース及びドレイン領域を形成する。化学的機械研磨(CMP)を用いて誘電体スタック上に堆積した余分な半導体膜を除去する。コンタクトがドープ半導体ソース及びドレイン領域に対して作られてデバイスの製造が完了する。
本発明の別の方法においては、ゲート誘電体でコーティングされた半導体ナノワイヤを、基板上に形成された絶縁層の上に設ける。ゲート導電体は、半導体ナノワイヤの上に堆積させる。側壁の誘電体スペーサは、ゲート導電体の両側に形成される。ゲート誘電体領域はゲート導電体によって覆われないか、又は側壁スペーサが除去され、半径方向にその場ドープされた半導体ナノワイヤの成長が、ソース及びドレイン領域を形成するために用いられる。
本発明の方法は、シリコン・半導体ナノワイヤ及びシリコン加工法を用いて説明される。その方法はまた、Ge又はIII−V族半導体などの他の半導体を用いて実施することもできる。
本発明は、ドープ半導体ソース及びドレイン領域をもつ半導体ナノワイヤFET、及びその製造方法を提供するが、ここで以下の議論に言及しながらより詳細に説明されることになる。この議論において、本発明の実施形態を示すさまざまな図面が参照されることになる。本発明の実施形態の図面は例証のために提供されるので、図に含まれる構造体は一定の尺度で描かれてはいない。
以下の説明においては、本発明の完全な理解を与えるために、特定の構造体、要素、材料、寸法、加工ステップ及び方法など、多くの具体的な詳細が示される。しかしながら、本発明はこれらの具体的な詳細なしに、可能な代替工程の選択肢を用いて実施できることを当業者は理解することになる。他の場合には、本発明を不明瞭にすることを避けるために、周知の構造体又は加工ステップは、詳細には説明されていない。
層、領域又は基板としての1つの要素が、別の要素の「上」又は「上方」にあると言及されるとき、それは他の要素の直接上に存在することができるか、又は介在要素が存在してもよいことを理解されたい。対照的に、1つの要素が別の要素の「直接上」又は「直接上方」にあると言及されるときには、介在要素は存在しない。同様に、1つの要素が別の要素の「下」又は「下方」にあると言及されるとき、それは他の要素の直接下又は下方に存在することができるか、又は介在要素が存在してもよいことを理解されたい。対照的に、1つの要素が別の要素の「直接下」又は「直接下方」にあると言及されるときには、介在要素は存在しない。
本発明の方法は、シリコン・ナノワイヤ及びシリコン加工法を用いて説明されることを再度強調する。こうした説明が本明細書において以下に与えられるが、本発明の方法はまた、例えばGe又はIII−V族半導体などの他の半導体材料を用いて実施することもできる。非Si含有半導体を用いるとき、本発明の加工ステップは、適用される成長温度及びドーパント種が用いる特定の半導体に適合させられることを除いて、基本的に同じである。しかしながら、例えばSi、SiGe、Si/SiGe、SiC又はSiGeCなどのSi含有半導体材料を用いることが好ましい。本発明においては、半導体ナノワイヤの一部分が、デバイスのチャネル又は本体として用いられることに注目されたい。
基本的な方法が図1−図4に示される。図1(A)(平面図)及び図1(B)(図1(A)に示される線A−Bに沿った断面図)を参照すると、ドープ・シリコン基板101(n型又はp型のいずれか)が、出発の半導体基板として使用される。二酸化シリコン(SiO)、酸窒化シリコン(SiON)及びそれらの多層などの絶縁体膜102は、基板101の上に堆積させる。絶縁体膜102は、バック・ゲートがチャネルを制御するために用いられるとき、ゲート誘電体として機能する。窒化シリコン(Si)などの第2の絶縁体膜103は、絶縁膜102の上に堆積させる。第2の絶縁膜103は、以下に説明されるように、フッ化水素酸(HF)に対するエッチ・ストップとして用いられる。同様にHF耐性をもつ他のゲート誘電体スタックを用いることができる。例えば、膜102及び膜103は、800℃でアニールされた酸化ハフニウム(HfO)膜で置き換えることができる(100:1のDHF(希釈フッ化水素酸)中において、堆積されたHfOのエッチング速度は約0.7nm/minであり、800℃のアニールの後では無視できるほどになる)。
シリコン・ナノワイヤ104は、膜103の上に設けられる。シリコン・ナノワイヤ104は、高度に異方性の半導体結晶を有する。異方性は、それらの外部構造(即ち、形態)に反映される。シリコン・ナノワイヤ104は、長さLの直径dに対する非常に高いアスペクト比(10より大きい)をもつフィラメント状の結晶である。例えば、長さL=0.1ミクロンから30ミクロンまで、直径d=100nmから3nmまでのシリコン・ナノワイヤ104が典型的である。
シリコン・ナノワイヤ104は触媒成長によって合成され、合成は一般的に化学気相堆積(CVD)又はプラズマ強化化学気相堆積(PECVD)チャンバ内で実施される。成長温度は、用いる半導体及び前駆物質に依存する。例えば、シリコン・ナノワイヤ104は、シラン(SiH)を用いるときは、一般的には約370℃から約500℃までの成長温度で成長させる。四塩化シリコン(SiCl)に対しては、成長温度は、約800℃から約950℃までである。塩素をSiHに加えると、成長温度は600℃を超えて上昇させることが可能である。シリコン・ナノワイヤ104の成長速度は、成長温度及び成長チャンバ内の気体の圧力に依存する。シリコン・ナノワイヤ104の例を用いると、1トルの圧力及び450℃の成長温度において、Hで希釈したSiH(1:1)に対する典型的なCVD成長速度は、約7.6μm/時間である。
シリコン・ナノワイヤ104の異方性成長は、気相−液相−固相(VLS)機構によって最も良く説明されると考えられ、例えば、非特許文献5に概説されている。一例としてシリコン・ナノワイヤ104を取り上げると、成長が開始するとき、触媒−シリコンの液体合金が形成される。気相(例えばSiH)からのSiの追加供給により、液滴はSiで過飽和となり、過剰のシリコンが固相−液相界面に堆積する。その結果、液滴は元の基板表面から隆起して成長しているシリコン・ナノワイヤ104の結晶の先端に達する。成長温度が、Si前駆物質の分解温度(SiHを用いる場合は約500℃)以下に保持される場合、シリコン・ナノワイヤ104の側壁上にシリコンの堆積は起らない(即ち、半径方向に成長しない)。その結果、異方性成長をもたらす金属触媒によって可能となる成長だけが起る。本発明の方法において、シリコン・ナノワイヤ104を形成するために使用できる金属触媒の一例は金(Au)である。
図2(A)(平面図)及び図2(B)(図2(A)に示される線A−Bに沿った断面図)を参照すると、SiO膜105及びSi膜106を含む誘電体スタックは、CVDなどの公知の方法によってシリコン・ナノワイヤ104の上にブランケット堆積される。ソース及びドレイン領域は、シリコン・ナノワイヤ104の部分を露出するコンタクト・ホール107をエッチングすることによって画定される。コンタクト・ホール107の間の間隔は、バック・ゲート制御型FETに関しては、ゲート長さを設定する。コンタクト・ホール107のエッチングは、一般に2つのステップから成る。第1のステップにおいては、Si膜106がSiO膜105に対して選択的にエッチングされる。例えば、CHF(9sccm)、CO(50sccm)、O(10sccm)及びCHF(1sccm)の混合気体を用いる反応性イオン・エッチング(RIE)を用いてSiをSiOに対して5:1より高い選択性でエッチングすることができる。第2のエッチング・ステップは、SiO膜105の選択的エッチングから成り、シリコン・ナノワイヤ104を露出させる。例えば、SiO膜の選択的除去は、希釈フッ化水素酸(DHF)又は緩衝HFによって実施することができる。膜105を剥離するステップもまた、バック・ゲート誘電体スタック(例えば、膜103)を露出させる。これが、膜103が、膜105を剥離するために用いる方法に対して耐性をもつように選択される理由である。例えば、DHFを膜105を剥離するために使用する場合には、膜103はLPCVD Si又はアニールされたHfOとすることができるが、その理由は両方の膜がDHF中で無視できるエッチングを示すからである。
図3(A)(平面図)及び図3(B)(図3(A)に示される線A−Bに沿った断面図)を参照すると、シリコン・ナノワイヤ104の半導体材料に適合する半導体材料108(例えば、シリコン・ナノワイヤの場合ではSi、SiGe等)は、構造体上にエピタキシャルに成長又は堆積させる。エピタキシャル成長は、成長のためのテンプレートとして機能するシリコン・ナノワイヤ104の露出表面上にだけ期待される。他の表面上では、半導体材料108は、一般に多結晶又はアモルファスとなる。
半導体材料108はまた、ソース及びドレイン領域を形成するのに必要なドーパントを組み込む。例えば、シリコンを半導体材料108として使用するとき、ホウ素(B)又はインジウム(In)が一般にp型ドーピングに用いられ、リン(P)及びヒ素(As)がn型ドーピングに用いられる。ドーピングは、典型的には、成長中に半導体材料108に導入される(例えば、その場ドーピング)。半導体材料108の堆積は、幾つかの成長法、例えば、化学気相堆積(CVD)、分子ビーム・エピタキシ(MBE)、及び原子層堆積(ALD)によって達成することができる。CVDベースの方法に関しては、シリコン又はシリコン・ゲルマニウムの成長ための典型的な前駆物質には、シラン(SiH)、ゲルマン(GeH)、ジクロロシラン(SiHCl)及び四塩化シリコン(SiCl)がある。その場ドーピングに関しては、用いられる典型的な前駆物質は、ジボラン(B)、ホスフィン(PH)及びアルシン(AsH)である。
本発明の実施形態において、半導体材料108の成長は、半導体材料108が全ての表面上に堆積するという意味で非選択的である。シリコン、ゲルマニウム及びリン化インジウムを含む多くの半導体を用いると、選択的成長を達成することもできる。選択的成長が実施されるとき、半導体材料108の堆積は、シリコン・ナノワイヤ104の表面上だけで起こり、酸化物又は窒化物表面の上には起こらない。選択的シリコン成長を達成するためには、一般には塩化物を含む前駆物質が使用される。有機金属前駆物質がIII属化合物(例えば、トリメチルインジウム TMIn)に用いられるときには、一般にリン化インジウムの選択的成長が達成される。
図4(A)(平面図)及び図4(B)(図4(A)に示される線A−Bに沿った断面図)を参照すると、化学的機械研磨(CMP)が、膜106の表面上から半導体材料108を除去するために適用される。膜106はCMP研磨停止層として機能するので、コンタクト・ホール107を充填する半導体材料108は除去されない。上を覆う半導体材料108のCMPによる除去は、コンタクトを“充填された”コンタクト・ホール110を互いに電気的に絶縁する。これはまた、コンタクトを充填されたコンタクト・ホール110にするために自己整合シリサイド工程(シリコンの場合に)を用いることを可能にする。より具体的には、CMPステップに続いて、ニッケル(Ni)、コバルト(Co)又はチタン(Ti)などの金属を、基板101上にブランケット堆積させる。この基板101は、金属がコンタクト・ホール110内のシリコンと反応することを可能にするためにアニールされる。非シリコン表面上の金属(例えば、膜106上の金属)は、未反応のままとなる。その後、コンタクト・ホール110内のシリコンの上のシリサイド111を残して、未反応金属を除去するために選択的エッチングが用いられる。例えば、Niを用いる場合には、低抵抗性シリサイド相はNiSiとなる。このNiSi相は、約420℃のアニーリング温度で形成され、未反応金属を除去するために用いられるエッチング化学剤は、10分間65℃における10:1のH:HSOである。
図5から図9までは、ドープ半導体ソース及びドレイン領域、及びトップ・ゲートを有する半導体ナノワイヤFETの製造方法を示す。この構造体は図1から図4までにおいて論じられたものと類似しているが、変更点は、(i)半導体ナノワイヤがトップ・ゲート型であり、(ii)半導体ナノワイヤ・チャネルがゲート誘電体で覆われ、そして(iii)デバイスのソース及びドレイン領域を形成するために選択的エピタキシが用いられる、ことである。
図5(A)から図5(C)までを参照すると、シリコン基板201が出発の半導体基板として使用される。図5(A)は平面図、図5(B)は図5(A)に示される線A−Bに沿った断面図、そして図5(C)は図5(A)に示される線C−Dに沿った断面図であることに留意されたい。半導体ナノワイヤ・チャネルがトップ・ゲート型デバイスに用いられる場合には、基板201は、主に機械的支持物として機能し、バック・ゲート型デバイスに用いられる場合のように導電性である必要はない。窒化シリコン(Si)などの絶縁体膜203は、基板201上に堆積させる。本発明のこの実施形態によれば、絶縁体膜203はDHF耐性をもつべきである。図1から図4までにおいて論じられたバック・ゲート型デバイスにおけるようにバック・ゲート誘電体としては用いられないので、絶縁体膜203の厚さは重要ではない。
半導体ナノワイヤ204は、絶縁体膜203の上に設けられる。半導体ナノワイヤ204は、前述されたように合成される。各半導体ナノワイヤ204は、ゲート誘電体202で覆われる。例えば、シリコン・ナノワイヤの場合には、用いられる典型的なゲート誘電体には、二酸化シリコン(SiO)又は酸窒化シリコン(SiON)、及び酸化ハフニウム(HfO)が含まれる。他のゲート誘電体材料も可能である。ゲート誘電体202は、熱的に成長させるか、又は従来のシリコン加工方法を用いて半導体ナノワイヤ204の表面上に堆積させる。ゲート誘電体202でコーティングするステップは、典型的には、半導体ナノワイヤ204を懸濁液中に導入する前に実施される。しかしながら、熱的に成長した酸化物などの幾つかのゲート誘電体を用いれば、ゲート誘電体202を膜203上にスピン・コートした後に、ゲート誘電体202で半導体ナノワイヤ204を選択的にコーティングすることが可能である。
図6(A)(平面図)、図6(B)(図6(A)に示される線A−Bに沿った断面図)、及び図6(C)(図6(A)に示される線C−Dに沿った断面図)を参照すると、デバイスのトップ・ゲート210が形成される。初めにゲート導電体を基板201上にブランケット堆積させ、次いでトップ・ゲート210を、リソグラフィ及びエッチングによってパターン付けする。例として、ポリシリコン・ゲートを作成するためには、初めにポリシリコン膜を基板201上にブランケット堆積させる。次いで、SiOなどのハード・マスク211をポリシリコン膜上に堆積させる。リソグラフィ及びRIEを用いて、ゲートの画像をマスク211に転写する。次いで、選択的RIE(例えば、HBrベースの)を用いて、ゲート誘電体202及び絶縁体膜203の上から(マスク211によってブロックされている箇所を除いて)ポリシリコン膜をエッチング除去する。図6(C)に見られるように、トップ・ゲート210は、半導体ナノワイヤ(半導体ナノワイヤ・チャネル)204の頂部及び側壁の表面を覆うので、より良好なチャネル制御をもたらす。
図7(A)(平面図)、図7(B)(図7(A)に示される線A−Bに沿った断面図)、及び図7(C)(図7(A)に示される線C−Dに沿った断面図)を参照すると、スペーサ212が、トップ・ゲート210の側壁上に形成される。スペーサ212は、絶縁性の酸化物、窒化物、酸窒化物又はそれらの多層で構成されるが、ソース及びドレイン領域の成長の際に、トップ・ゲート210の上でエピタキシが起きるのをブロックするために用いる。スペーサ212はまた、ソース/ドレイン・エピタキシがドーピングを含まない場合には、注入を補うために用いることができる。スペーサ212は、堆積及びエッチングによって形成する。
図8(A)(平面図)、図8(B)(図8(A)に示される線A−Bに沿った断面図)、及び図8(C)(図8(A)に示される線E−Fに沿った断面図)を参照すると、トップ・ゲート210及びスペーサ212によって覆われていないゲート誘電体202の部分が、半導体ナノワイヤ204に対して選択的に除去される。選択的エピタキシ法を用いて、半導体ナノワイヤ204の露出部分を延ばして半径方向にエピタキシャル延長部分213を形成し、この部分がデバイスのソース及びドレイン領域を形成する。ドーパントは、成長中にエピタキシャル延長部分213の中に導入する(例えば、その場ドープされたエピタキシ)。ドーパントはまた、半導体ナノワイヤ204が成長によって厚くなるため、ここでは従来のイオン注入法によって導入することができる。エピタキシャル延長部分213のドープされた部分が、デバイスのソース/ドレイン領域を形成することに注目されたい。
デバイスの製造を完了するために、コンタクトがデバイスのソース領域、ドレイン領域及びゲートに作られる。例として、シリコン・ナノワイヤ・チャネルの場合には、自己整合シリサイド214が、図9(A)から図9(C)までに示されるように、そして図4(A)と図4(B)を参照して前述したように作成される。図9(A)は平面図を示し、図9(B)は図9(A)に示される線A−Bに沿った断面図、そして図9(C)は図9(A)に示される線E−Fにそった断面図を示すことに留意されたい。シリサイド化の後、金属コンタクトがシリサイド領域(図示せず)に作成される。
「半導体ナノワイヤ・チャネル」という用語は、上述の半導体ナノワイヤ204がチャネルとして機能することを指し、「シリコン・ナノワイヤ・チャネル」という用語は上述のシリコン・ナノワイヤ104がチャネルとして機能することを指す。
以下の実施例は、本発明の一部の態様を説明し、そして本発明の幾つかの利点を示すために与えられる。
図1から図4までにおいて論じられた方法を用いて、ドープ・シリコンのソース及びドレイン領域を有するシリコン・ナノワイヤFETを製造した。
シリコン・ナノワイヤの合成は以下の加工ステップを含むものであった。2nmの厚さの金(Au)膜を清浄シリコン(111)基板上に蒸着させた。次いで、基板をUHV−CVDチャンバ内に導入して、500℃で10分間アニールした。500℃におけるアニーリングは、金薄膜を塊にして小さな金の小滴にした。これらの金の小滴は、シリコン・ナノワイヤの成長のための触媒として用いられる。上記清浄シリコン基板の温度は420℃まで下げ、これを成長温度とした。成長は、シラン(SiH)がチャンバ内に導入されたときに開始した。成長の間、シランの圧力は2トルに保持された。成長時間は、約10ミクロンの長さのシリコン・ナノワイヤを成長させるように設定された。平均のシリコン・ナノワイヤ直径は約25nmであった。
金触媒は、ヨウ化カリウム及びヨウ素(KI/I)溶液中で、選択的にエッチングした。清浄シリコン基板の一部分(シリコン・ナノワイヤを有する)を、エタノール入りのバイアル内に置いた。バイアルは、超音波槽中に2分間置いてシリコン・ナノワイヤを上記基板から遊離させ、シリコン・ナノワイヤ懸濁液を生成した。次いで、上記懸濁液を濾過して破片を除去した。
高濃度にドープされたシリコン・ウェハを、シリコン・ナノワイヤのホスト・ウェハ(半導体基板)として用いた。初めに、2nmの厚さの熱酸化物をホスト・ウェハ上に成長させ、次いで15nm厚の低圧CVDによるシリコン窒化物を熱酸化物上に堆積させた。その後、シリコン・ナノワイヤ懸濁液を、ホスト・ウェハ上にスピン・コートした。20nm厚のプラズマ強化(PECVD)SiO膜と、それに続いて50nm厚のPECVDSi膜をシリコン・ナノワイヤ上に堆積させた。シリコン・ナノワイヤに対するコンタクト・ホールは、リソグラフィ及びRIEによって作成した
RCA洗浄とそれに続く100:1DHF浸漬を、ホスト・ウェハをソース/ドレイン・エピタキシ用のUHV−CVD成長チャンバ内に装填する前に実施した。成長温度は540℃であった。シラン及びジボラン(B)を、ホウ素濃度約1E21cm−3を有するその場ドープされたシリコンを成長させるために用いた。シリコン成長は非選択的であった。
化学的機械研磨を用いてPECVDSi膜の上から過剰なシリコンを除去した。ホスト・ウェハは、47℃において、IC1000P/Suba IVパッド・スタック上でシリカのスラリを使用して研磨した。押し圧は3PSIであった。
ニッケル・シリサイド・コンタクトを、ホスト・ウェハ上に9nm厚のブランケットNi膜を堆積させて形成した。ニッケル堆積の前に、60秒間の100:1DHF浸漬を適用した。ウェハを、420℃で5秒間RTAアニールしてNiSiを形成した。未反応のニッケルは、王水エッチング法(HO:HCl:HNOが4:5:1で、40℃、30分間)を用いて、選択的にエッチングした。
図10(A)と図10(B)は、上で概説されたように製造されたシリコン・ナノワイヤp型FETについて計測されたId−Vg及びId−Vds特性を示し、図11は、ショットキ(ニッケル)コンタクトを有するシリコン・ナノワイヤFETのId−Vg特性を重ねた、図10のシリコンp型FETの計測されたId−Vg特性を示す。ショットキ・コンタクトFETは、Niコンタクトがホール及び電子の両方を与えることができるので、両極性の挙動を示すことに留意されたい。ドープされたシリコン・ソース及びドレインをもつデバイスに対して計測されたId−Vgトレースの電子枝(正のVgに対する)は、完全に抑制される。これは、ドープされたシリコン・ソース及びドレインをもつデバイスが、ショットキ・コンタクトのソース及びドレインをもつデバイスより優れた利点を有することを明らかに示している。
本発明は、特にその好ましい実施形態に関して示され説明されているが、本発明の精神及び範囲を逸脱することなく、形態及び細部における前述及び他の変更を施すことができることを、当業者は理解するであろう。従って、本発明は、説明され図示された正確な形態及び細部には限定されず、添付の特許請求の範囲内に含まれることが意図されている。
ドープ半導体ソース及びドレイン領域、並びにボトム・ゲートを有するシリコン・ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにボトム・ゲートを有するシリコン・ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにボトム・ゲートを有するシリコン・ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにボトム・ゲートを有するシリコン・ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにトップ・ゲートを有する半導体ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにトップ・ゲートを有する半導体ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにトップ・ゲートを有する半導体ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにトップ・ゲートを有する半導体ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 ドープ半導体ソース及びドレイン領域、並びにトップ・ゲートを有する半導体ナノワイヤFETを製造するための基本的加工ステップを示す図による説明である(平面図及び断面図による)。 図1から図4までにおいて論じられた方法を用いて製造されたシリコン・ナノワイヤp型FETについて計測されたId‐Vg及びId‐Vds特性を示す。 ショットキ・コンタクトをもつシリコン・ナノワイヤFETのId‐Vg特性を重ねて、図10のシリコンp型FETの計測されたId‐Vg特性を示す。
符号の説明
101、201:シリコン基板、半導体基板
102、103、203:絶縁体膜
104、204:シリコン・ナノワイヤ、半導体ナノワイヤ
105:SiO
106:Si
107、110:コンタクト・ホール
108:半導体材料
111:シリサイド
202:ゲート誘電体
210:トップ・ゲート
211:マスク
212:スペーサ
213:エピタキシャルな延長部分
214:自己整合シリサイド

Claims (7)

  1. 電界効果トランジスタであって、
    半導体ナノワイヤにより形成される半導体ナノワイヤ・チャネルと、
    前記半導体ナノワイヤ・チャネル内の電流を制御するためのゲートと、
    前記ゲートに隣接するソース領域及びドレイン領域と
    を含み、前記ソース及びドレイン領域はドープされ、前記半導体ナノワイヤ・チャネルの半径方向に延びる、電界効果トランジスタ。
  2. 前記ソース及び前記ドレイン領域は前記半導体ナノワイヤ・チャネルからエピタキシャル成長されている、請求項1に記載の電界効果トランジスタ。
  3. 前記ソース及び前記ドレイン領域の上に配置された金属半導体合金をさらに含む、請求項1に記載の電界効果トランジスタ。
  4. 前記半導体ナノワイヤ・チャネルはシリコン・ナノワイヤより成るシリコン・ナノワイヤ・チャネルであり、前記金属半導体合金はニッケル・シリサイドである、請求項3に記載の電界効果トランジスタ。
  5. 電界効果トランジスタを作成する方法であって、
    導電性基板の上にゲート誘電体を形成するステップと、
    前記ゲート誘電体の上に半導体ナノワイヤを設けるステップと、
    前記半導体ナノワイヤの上に誘電体スタックを堆積させるステップと、
    前記誘電体スタック内にコンタクト・ホールを形成して前記半導体ナノワイヤの選択された領域を露出するステップと、
    前記露出された領域の半導体ナノワイヤを半径方向のエピタキシャル成長によって厚くするステップと
    を含み、前記エピタキシャル成長した領域は、ソース及びドレイン領域を形成するためにドープする、方法。
  6. 前記半導体ナノワイヤを前記設けるステップは、金触媒の使用を含む、請求項5に記載の方法。
  7. 電界効果トランジスタを作成する方法であって、
    半導体基板上に絶縁層を形成するステップと、
    前記絶縁層上に半導体ナノワイヤ懸濁液をスピンコートするステップと、
    前記半導体ナノワイヤ上にゲート誘電体を堆積させるステップと、
    前記ゲート誘電体の一部分の上にトップ・ゲートを形成するステップと、
    前記トップ・ゲートの側壁上にスペーサを形成するステップと、
    前記半導体ナノワイヤの一部分を露出させるための前記トップ・ゲート及び前記スペーサによって覆われていない前記ゲート誘電体の一部分を除去するステップと、
    前記露出された半導体ナノワイヤを半径方向のエピタキシャル成長によって厚くするステップと
    を含む方法。
JP2007223096A 2006-09-11 2007-08-29 電界効果トランジスタ及び電界効果トランジスタを作成する方法 Expired - Fee Related JP5273972B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/519,176 US7999251B2 (en) 2006-09-11 2006-09-11 Nanowire MOSFET with doped epitaxial contacts for source and drain
US11/519176 2006-09-11

Publications (2)

Publication Number Publication Date
JP2008072107A true JP2008072107A (ja) 2008-03-27
JP5273972B2 JP5273972B2 (ja) 2013-08-28

Family

ID=39168642

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007223096A Expired - Fee Related JP5273972B2 (ja) 2006-09-11 2007-08-29 電界効果トランジスタ及び電界効果トランジスタを作成する方法

Country Status (3)

Country Link
US (3) US7999251B2 (ja)
JP (1) JP5273972B2 (ja)
CN (1) CN101145573A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
JP2013524487A (ja) * 2010-03-25 2013-06-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタ(fet)およびそれを形成する方法

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080121987A1 (en) * 2006-11-06 2008-05-29 Yijian Chen Nanodot and nanowire based MOSFET structures and fabrication processes
US7999248B2 (en) * 2007-03-26 2011-08-16 University Of Pittsburgh-Of The Commonwealth System Of Higher Education Ultrahigh density patterning of conducting media
US7981772B2 (en) * 2008-12-29 2011-07-19 International Business Machines Corporation Methods of fabricating nanostructures
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8129247B2 (en) * 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8143113B2 (en) 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8097515B2 (en) * 2009-12-04 2012-01-17 International Business Machines Corporation Self-aligned contacts for nanowire field effect transistors
US8455334B2 (en) 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8008146B2 (en) * 2009-12-04 2011-08-30 International Business Machines Corporation Different thickness oxide silicon nanowire field effect transistors
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
CN101783367B (zh) * 2010-02-11 2012-10-17 复旦大学 一种基于三五族元素的纳米线mos晶体管及其制备方法
US8415220B2 (en) * 2010-02-22 2013-04-09 International Business Machines Corporation Constrained oxidation of suspended micro- and nano-structures
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8519479B2 (en) 2010-05-12 2013-08-27 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8420455B2 (en) 2010-05-12 2013-04-16 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8445337B2 (en) 2010-05-12 2013-05-21 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8298881B2 (en) 2010-06-28 2012-10-30 International Business Machines Corporation Nanowire FET with trapezoid gate structure
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
US8247319B1 (en) 2011-02-07 2012-08-21 International Business Machines Corporation Method to enable the process and enlarge the process window for silicide, germanide or germanosilicide formation in structures with extremely small dimensions
US8685823B2 (en) 2011-11-09 2014-04-01 International Business Machines Corporation Nanowire field effect transistor device
US9559160B2 (en) * 2011-12-23 2017-01-31 Intel Corporation Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
CN103854971B (zh) * 2012-12-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 纳米线的制造方法、纳米线场效应晶体管的制造方法
KR102031709B1 (ko) * 2013-03-15 2019-10-14 인텔 코포레이션 하드마스크 층들을 이용한 나노 와이어 트랜지스터 제조
US9209086B2 (en) 2013-07-22 2015-12-08 Globalfoundries Inc. Low temperature salicide for replacement gate nanowires
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9048301B2 (en) 2013-10-16 2015-06-02 Taiwan Semiconductor Manufacturing Company Limited Nanowire MOSFET with support structures for source and drain
CN104241334A (zh) * 2014-07-31 2014-12-24 上海华力微电子有限公司 无结晶体管
KR101566313B1 (ko) 2014-09-05 2015-11-05 한국과학기술원 반도체 장치의 제조방법
US9318553B1 (en) 2014-10-16 2016-04-19 International Business Machines Corporation Nanowire device with improved epitaxy
CN105990107B (zh) * 2015-03-06 2018-10-09 武汉理工大学 掺杂磷的n型锗纳米线的低温低压生长及拉曼光谱表征方法
US10014373B2 (en) 2015-10-08 2018-07-03 International Business Machines Corporation Fabrication of semiconductor junctions
FR3046243B1 (fr) * 2015-12-24 2017-12-22 Commissariat Energie Atomique Capteur nw-fet comportant au moins deux detecteurs distincts a nanofil de semi-conducteur
US10446664B1 (en) 2018-03-20 2019-10-15 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005197611A (ja) * 2004-01-09 2005-07-21 Sony Corp 微小機能素子およびその製造方法ならびに回路基板およびその製造方法ならびに電子応用装置およびその製造方法
JP2005528810A (ja) * 2002-08-23 2005-09-22 インテル コーポレイション トリゲート・デバイス及び製造方法
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331844B1 (ko) * 1998-02-12 2002-05-10 박종섭 씨모스소자
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
DE10056868A1 (de) * 2000-11-16 2002-05-29 Advanced Micro Devices Inc Halbleiterbauteil mit verringerter Leitungskapazität und verringertem Übersprechrauschen
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US7067867B2 (en) * 2002-09-30 2006-06-27 Nanosys, Inc. Large-area nonenabled macroelectronic substrates and uses therefor
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6872606B2 (en) * 2003-04-03 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with raised segment
US6897098B2 (en) * 2003-07-28 2005-05-24 Intel Corporation Method of fabricating an ultra-narrow channel semiconductor device
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100626383B1 (ko) * 2004-08-16 2006-09-20 삼성전자주식회사 부분적으로 높여진 소오스/드레인을 가지는 트랜지스터 및그 제조방법
KR100585157B1 (ko) * 2004-09-07 2006-05-30 삼성전자주식회사 다수의 와이어 브릿지 채널을 구비한 모스 트랜지스터 및그 제조방법
US20070246784A1 (en) 2004-10-13 2007-10-25 Samsung Electronics Co., Ltd. Unipolar nanotube transistor using a carrier-trapping material
US7473943B2 (en) * 2004-10-15 2009-01-06 Nanosys, Inc. Gate configuration for nanowire electronic devices
US7307271B2 (en) * 2004-11-05 2007-12-11 Hewlett-Packard Development Company, L.P. Nanowire interconnection and nano-scale device applications
CN101263078B (zh) * 2004-11-24 2012-12-26 奈米系统股份有限公司 适用于纳米线薄膜的接触掺杂和退火系统以及工艺
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7202117B2 (en) * 2005-01-31 2007-04-10 Freescale Semiconductor, Inc. Method of making a planar double-gated transistor
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
KR101100887B1 (ko) * 2005-03-17 2012-01-02 삼성전자주식회사 박막 트랜지스터, 박막 트랜지스터 표시판 및 그 제조 방법
US7170120B2 (en) * 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7426000B2 (en) * 2005-04-14 2008-09-16 Samsung Electronics Co., Ltd. Transistor, display device including the same, and manufacturing method thereof
US7341915B2 (en) * 2005-05-31 2008-03-11 Freescale Semiconductor, Inc. Method of making planar double gate silicon-on-insulator structures
WO2006132659A2 (en) * 2005-06-06 2006-12-14 President And Fellows Of Harvard College Nanowire heterostructures
US7265008B2 (en) * 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
KR100630764B1 (ko) * 2005-08-30 2006-10-04 삼성전자주식회사 게이트 올어라운드 반도체소자 및 그 제조방법
US7425491B2 (en) * 2006-04-04 2008-09-16 Micron Technology, Inc. Nanowire transistor with surrounding gate
US20080014689A1 (en) * 2006-07-07 2008-01-17 Texas Instruments Incorporated Method for making planar nanowire surround gate mosfet

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005528810A (ja) * 2002-08-23 2005-09-22 インテル コーポレイション トリゲート・デバイス及び製造方法
JP2005197611A (ja) * 2004-01-09 2005-07-21 Sony Corp 微小機能素子およびその製造方法ならびに回路基板およびその製造方法ならびに電子応用装置およびその製造方法
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US8441043B2 (en) 2009-02-04 2013-05-14 International Business Machines Corporation Maskless process for suspending and thinning nanowires
JP2013524487A (ja) * 2010-03-25 2013-06-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタ(fet)およびそれを形成する方法

Also Published As

Publication number Publication date
JP5273972B2 (ja) 2013-08-28
CN101145573A (zh) 2008-03-19
US20090311835A1 (en) 2009-12-17
US20120190155A1 (en) 2012-07-26
US20080061284A1 (en) 2008-03-13
US7999251B2 (en) 2011-08-16
US8153494B2 (en) 2012-04-10

Similar Documents

Publication Publication Date Title
JP5273972B2 (ja) 電界効果トランジスタ及び電界効果トランジスタを作成する方法
US11908934B2 (en) Semiconductor device having doped epitaxial region and its methods of fabrication
US8927405B2 (en) Accurate control of distance between suspended semiconductor nanowires and substrate surface
US7795677B2 (en) Nanowire field-effect transistors
US8169031B2 (en) Continuous metal semiconductor alloy via for interconnects
US7534675B2 (en) Techniques for fabricating nanowire field-effect transistors
US7446025B2 (en) Method of forming vertical FET with nanowire channels and a silicided bottom contact
US7391074B2 (en) Nanowire based non-volatile floating-gate memory
US8901655B2 (en) Diode structure for gate all around silicon nanowire technologies
JP4104541B2 (ja) ショットキー障壁トランジスタ及びその製造方法
US20140273360A1 (en) Faceted semiconductor nanowire
US20150061013A1 (en) Low interfacial defect field effect transistor
JP2020202391A (ja) 異なる歪み状態を有するフィン構造を含む半導体構造を作製するための方法及び関連する半導体構造
US10068810B1 (en) Multiple Fin heights with dielectric isolation
US20160079421A1 (en) Fin field effect transistor including self-aligned raised active regions
US11784045B2 (en) Formation of single crystal semiconductors using planar vapor liquid solid epitaxy
CN103972235A (zh) 电子器件及其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130404

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130423

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130514

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees