JP2007273848A - Semiconductor device fabrication method - Google Patents

Semiconductor device fabrication method Download PDF

Info

Publication number
JP2007273848A
JP2007273848A JP2006099252A JP2006099252A JP2007273848A JP 2007273848 A JP2007273848 A JP 2007273848A JP 2006099252 A JP2006099252 A JP 2006099252A JP 2006099252 A JP2006099252 A JP 2006099252A JP 2007273848 A JP2007273848 A JP 2007273848A
Authority
JP
Japan
Prior art keywords
film
processed
etching
semiconductor device
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006099252A
Other languages
Japanese (ja)
Inventor
Kentaro Imamizu
健太郎 今水
Shuki Yamada
周輝 山田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2006099252A priority Critical patent/JP2007273848A/en
Publication of JP2007273848A publication Critical patent/JP2007273848A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for ensuring improved etching control in terms of semiconductor fabrication method containing an insulating film dry etching process. <P>SOLUTION: This method forms a treatment film 12 which serves as an insulating film having a carbon element, on a stopper film 11 in an infrastructure 10. This method forms a mask pattern 13a on the treatment film, forms a hardened portion 12a on the treatment film by injecting energy such as an electron beam to the treatment film with the mask pattern set as a mask, and then forms a groove 12b by providing anisotropic etching to the hardened portion of the treatment film with the mask pattern set as a mask. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体基板上で微細加工を行う半導体装置の製造方法に係り、特に、エッチング工程を含む半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device that performs microfabrication on a semiconductor substrate, and more particularly to a method for manufacturing a semiconductor device including an etching process.

高集積半導体デバイス製造で導入が進められている層間絶縁膜であるLow−k材料(低比誘電率材料)は、一般的にエッチング制御がより難しく、加工深さが制御できずに所望の深さ以上に加工が進んでしまう、いわゆる突きぬけ現象が発生しやすい。縦方向のみならず横方向への加工の広がりについても同様である。   The low-k material (low dielectric constant material), which is an interlayer insulating film that is being introduced in the manufacture of highly integrated semiconductor devices, is generally more difficult to control etching, and the processing depth cannot be controlled. A so-called piercing phenomenon is likely to occur. The same applies to the spread of processing in the horizontal direction as well as the vertical direction.

一般的に絶縁膜の加工深さの制御は、被加工膜とその下に位置するストッパー膜とのエッチング選択比を利用してストッパー膜の直上まで被加工材を加工するという方法が用いられる。ここで被加工膜とストッパー膜のどちらもがLow−k材料である場合には、上記の現象は顕著である。これは、TEOS(tetraethylorthosilicate)を原料とする絶縁膜などの非Low−k材料と比べてLow−k材料は、上記のようにエッチングレートが高い傾向を有し、さらにそれらの間でエッチング選択比も高く取れない傾向を有するためである。   In general, the processing depth of an insulating film is controlled by a method of processing a workpiece up to a position directly above the stopper film using an etching selection ratio between the film to be processed and a stopper film positioned therebelow. Here, when both the film to be processed and the stopper film are low-k materials, the above phenomenon is remarkable. This is because the low-k material tends to have a higher etching rate as described above than the non-low-k material such as an insulating film using TEOS (tetraethylorthosilicate) as a raw material. This is because they tend to be too high.

なお、本願に関連するエッチング工程を有する半導体装置の製造方法には、例えば下記特許文献に開示のものがある。特許文献1では、2重ダマシン型ビア・コンタクトについて開示がされ、特許文献2では、そのなかでも特に低比誘電率膜を使用した構造が開示されている。特許文献3にも2重ダマシン構造を有する半導体装置が開示されており、この開示ではビアのリソグラフィがハードマスク溝の形成後になされている。いずれも本願の内容に対して一般的な技術水準を開示するものである。
特開2000−3959号公報 特開2002−217289号公報 特開2000−349152号公報
In addition, the manufacturing method of the semiconductor device which has an etching process relevant to this application has a thing disclosed by the following patent document, for example. Patent Document 1 discloses a double damascene type via contact, and Patent Document 2 discloses a structure using a low relative dielectric constant film among them. Patent Document 3 also discloses a semiconductor device having a double damascene structure. In this disclosure, via lithography is performed after formation of a hard mask groove. Both disclose a general technical level with respect to the contents of the present application.
JP 2000-3959 A JP 2002-217289 A JP 2000-349152 A

本発明は、エッチング工程を含む半導体装置の製造方法において、エッチング加工制御性を向上することが可能な半導体装置の製造方法を提供することを目的とする。   SUMMARY OF THE INVENTION An object of the present invention is to provide a semiconductor device manufacturing method capable of improving etching process controllability in a semiconductor device manufacturing method including an etching step.

本発明の一態様に係る半導体装置の製造方法は、被加工膜上にマスクパターンを形成する工程と、前記マスクパターンをマスクに前記被加工膜に向けてエネルギー注入を行い前記被加工膜に硬化部位を形成する工程と、前記マスクパターンをマスクに前記被加工膜の前記硬化部位をエッチングする工程とを具備する。   A method of manufacturing a semiconductor device according to one embodiment of the present invention includes a step of forming a mask pattern on a film to be processed, and energy injection toward the film to be processed using the mask pattern as a mask to cure the film to be processed Forming a part, and etching the hardened part of the film to be processed using the mask pattern as a mask.

本発明によれば、エッチング工程を含む半導体装置の製造方法において、エッチング加工制御性を向上することができる。   According to the present invention, etching process controllability can be improved in a method of manufacturing a semiconductor device including an etching step.

本発明の一態様に係る半導体装置の製造方法では、被加工膜上にマスクパターンを形成し、このマスクを利用して被加工膜にエネルギー注入して被加工膜に硬化部位を形成する。そして、同じマスクで被加工膜の硬化部位をエッチングする。すなわち、このエッチングでは、硬化部位のエッチングとなるので加工制御性が向上されている。   In the method for manufacturing a semiconductor device according to one embodiment of the present invention, a mask pattern is formed on a film to be processed, and energy is injected into the film to be processed using this mask to form a hardened portion in the film to be processed. Then, the cured portion of the film to be processed is etched using the same mask. That is, in this etching, the process controllability is improved because it is an etching of a hardened portion.

実施態様として、前記被加工膜が、前記エネルギー注入によって密度が増加する材料である、とすることができる。一般にエネルギー注入により物理的・化学的変化が被加工膜に生じるがこの場合は硬化するとともに密度が増加する材料を使用するものである。   As an embodiment, the film to be processed can be a material whose density is increased by the energy injection. Generally, physical and chemical changes occur in the film to be processed by energy injection, but in this case, a material that hardens and increases in density is used.

また、実施態様として、前記被加工膜が、3.0未満の比誘電率を有し、該被加工膜に接触して該被加工膜の下側に3.0未満の比誘電率を有する該被加工膜とは異なる材質の下層膜があらかじめ形成されている、とすることができる。これは、被加工膜およびその下側の膜(下層膜:すなわちストッパー膜)がともにいわゆる低比誘電率の材料の場合である。このような場合に本願の内容は特に有用である。3.0未満の比誘電率としているのは、一般的な分類基準のひとつに基づいたものである。   Further, as an embodiment, the film to be processed has a relative dielectric constant of less than 3.0, and has a relative dielectric constant of less than 3.0 below the film to be processed in contact with the film to be processed. It can be assumed that a lower layer film made of a material different from the film to be processed is formed in advance. This is a case where both the film to be processed and the film below it (lower layer film: stopper film) are so-called low dielectric constant materials. In such a case, the contents of the present application are particularly useful. The relative dielectric constant of less than 3.0 is based on one of the general classification criteria.

また、実施態様として、前記エネルギー注入が、電子線の照射によりなされる、とすることができる。エネルギー注入の代表的な方法である。   As an embodiment, the energy injection can be performed by electron beam irradiation. This is a typical method of energy injection.

ここで、前記マスクパターンの膜厚と密度とが、前記電子線の照射によって電子が突き抜けない膜厚および密度に設定されている、とするのが好ましい。すなわち、この場合のマスクパターンは、電子線の照射によってその下側の被加工膜に対して影響が生じることのないように膜厚および密度が設定される。   Here, it is preferable that the film thickness and density of the mask pattern are set to such a film thickness and density that electrons do not penetrate through irradiation with the electron beam. That is, the film thickness and density of the mask pattern in this case are set so that the lower film to be processed is not affected by the electron beam irradiation.

またここで、前記被加工膜に接触して該被加工膜の下側に該被加工膜とは異なる材質の下層膜があらかじめ形成されており、該下層膜の膜厚と密度とが、前記電子線の照射によって電子が突き抜けない膜厚および密度に設定されている、とすることができる。これは、下層膜をこのように限定することで、ストッパー膜としての機能をより高めるためである。すなわち、ストッパー膜の下側にさらに別の膜がある場合にその別の膜に電子線照射の影響が出ないようにする。   Further, here, a lower layer film made of a material different from the processed film is previously formed on the lower side of the processed film in contact with the processed film, and the thickness and density of the lower layer film are It can be said that the film thickness and the density are set so that electrons do not penetrate through irradiation with the electron beam. This is because the function as a stopper film is further enhanced by limiting the lower layer film in this way. That is, when there is another film below the stopper film, the other film is prevented from being affected by electron beam irradiation.

また、実施態様として、前記エネルギー注入が、紫外ないし可視域(波長150nmないし500nm)の光の照射によりなされる、とすることができる。エネルギー注入の別の代表的な方法である。波長150nmないし500nmとしたのは、半導体装置の被加工膜の材質を想定した場合に、より効果的な硬化作用が期待できる波長だからである。   Further, as an embodiment, the energy injection can be performed by irradiation with light in an ultraviolet to visible region (wavelength 150 nm to 500 nm). It is another typical method of energy injection. The reason why the wavelength is set to 150 nm to 500 nm is that a more effective curing action can be expected when the material of the film to be processed of the semiconductor device is assumed.

ここで、前記被加工膜が、炭素元素を含む組成であり、前記照射される光が、前記被加工膜の前記組成に対して炭素元素の結合を切断する作用のある波長であり、前記マスクパターンが、前記作用のある波長が含まれる吸収帯を有する材料を含む、とすることができる。半導体装置の被加工膜が炭素元素を含む組成の場合、その炭素元素の結合を切断する作用のある波長の光を用いれば、被加工膜を効果的に硬化することができる。さらにマスクパターンは、この波長を吸収することでその下側の被加工膜に影響を与えないようにする。   Here, the film to be processed has a composition containing a carbon element, and the irradiated light has a wavelength having a function of cutting a bond of the carbon element with respect to the composition of the film to be processed, and the mask The pattern may include a material having an absorption band including the wavelength having the effect. In the case where the film to be processed of the semiconductor device has a composition containing a carbon element, the film to be processed can be effectively cured by using light having a wavelength that acts to cut the bond between the carbon elements. Further, the mask pattern absorbs this wavelength so as not to affect the underlying film to be processed.

ここで、前記被加工膜が、SiOC系の材料であり、前記照射される光が、ほぼ422nmの波長である、とすることができる。SiOC系の材料の場合、ほぼ波長422nmの光を照射すると炭素元素の結合を効果的に切断することができ、被加工膜の組成としてSiOの割合が増加して硬化する。   Here, it can be assumed that the film to be processed is a SiOC-based material and the irradiated light has a wavelength of approximately 422 nm. In the case of a SiOC-based material, irradiation with light having a wavelength of approximately 422 nm can effectively break the bond between carbon elements, and the composition of the film to be processed increases in the proportion of SiO and hardens.

また、実施態様として、前記エッチングが、RIE(reactive ion etching)法またはCDE(chemical dry etching)法によりなされる、とすることができる。RIE法またはCDE法では異方性エッチングができる。横方向に対する制御性がよい。   As an embodiment, the etching may be performed by an RIE (reactive ion etching) method or a CDE (chemical dry etching) method. An anisotropic etching can be performed by the RIE method or the CDE method. Good controllability in the horizontal direction.

以上を踏まえ、以下では本発明の実施形態を図面を参照しながら説明する。図1、図2は、本発明の一実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図である。図1、図2の順に工程が進行しまたこれらの図内では(a)、(b)、(c)の順、または(a)、(b)の順に工程が進行する。図1、図2において同一または同一相当の部位には同一符号を付してある。この実施形態は原理的・一般的説明のための一例である。   Based on the above, embodiments of the present invention will be described below with reference to the drawings. FIG. 1 and FIG. 2 are process drawings schematically showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. The steps proceed in the order of FIGS. 1 and 2, and in these drawings, the steps proceed in the order of (a), (b), and (c), or in the order of (a) and (b). In FIG. 1 and FIG. 2, the same or equivalent parts are denoted by the same reference numerals. This embodiment is an example for principle and general explanation.

まず、図1(a)に示すように、下部構造部位10上に、ストッパー膜11、被加工膜12、ハードマスク用膜13からなる積層構造を形成する。下部構造部位10は、少なくとも半導体基板を含んでその上に各種の構造(例えばトランジスタ素子や配線構造など)が形成された部位である。ストッパー膜11、被加工膜12は、それぞれ例えばLow−k材料からなり、ハードマスク用膜13は非Low−k材料の膜である。   First, as shown in FIG. 1A, a laminated structure including a stopper film 11, a film to be processed 12, and a hard mask film 13 is formed on the lower structure portion 10. The lower structure portion 10 is a portion where at least a semiconductor substrate is included and various structures (for example, transistor elements and wiring structures) are formed thereon. The stopper film 11 and the processed film 12 are each made of, for example, a low-k material, and the hard mask film 13 is a film of a non-low-k material.

より具体的には例えば、ストッパー膜11はSiCの組成を基本とする絶縁膜、被加工膜12はSiOCの組成を含む絶縁膜、ハードマスク用膜13はTEOSを原料とする絶縁膜(以下「TEOS膜」ともいう)とすることができる。これらの絶縁膜11、12、13は、例えばプラズマCVD(chemical vapor deposition)法、SiターゲットとO系、N系ガスとによる反応性スパッタリング、真空蒸着、塗布などの方法により形成することができる。被加工膜12は、現実には、図示するような1層構造のもののみならず多層構造のものの場合もある。   More specifically, for example, the stopper film 11 is an insulating film based on the composition of SiC, the film to be processed 12 is an insulating film containing the composition of SiOC, and the hard mask film 13 is an insulating film using TEOS as a raw material (hereinafter, “ Also referred to as a “TEOS film”. These insulating films 11, 12, and 13 can be formed by, for example, a plasma CVD (chemical vapor deposition) method, a reactive sputtering method using a Si target and an O-based or N-based gas, vacuum deposition, or coating. The processed film 12 may actually have a multilayer structure as well as a single layer structure as shown.

一般的に、ストッパー膜11またはハードマスク用膜13が含有する元素種には、Si、O、C、H、N、F、Ti、Co、W、Ta、Ru、Al、Cu、Mo、Ge、B、P、As、Mn、Br、Zn、Ni、Cr、Sn、Sb、In、Hf、Ag、Pt、Zrを挙げることができる。また、被加工膜12が含有する元素種にはこれらの元素のうち金属元素を除く各元素を挙げることができる。   Generally, the element types contained in the stopper film 11 or the hard mask film 13 include Si, O, C, H, N, F, Ti, Co, W, Ta, Ru, Al, Cu, Mo, and Ge. , B, P, As, Mn, Br, Zn, Ni, Cr, Sn, Sb, In, Hf, Ag, Pt, and Zr. Moreover, the element seed | species which the to-be-processed film 12 contains can mention each element except a metal element among these elements.

次に、図1(b)に示すように、ハードマスク用膜13上に所定のパターン化がされたレジスト膜14を形成する。レジスト膜14のパターン化には周知のフォトリソグラフィ技術を用いることができる。レジスト膜14のパターンは被加工膜12の加工されるべきパターンに対応している。   Next, as shown in FIG. 1B, a resist film 14 having a predetermined pattern is formed on the hard mask film 13. A well-known photolithography technique can be used for patterning the resist film 14. The pattern of the resist film 14 corresponds to the pattern to be processed of the processed film 12.

続いて、パターン化されたレジスト膜14をマスクにハードマスク用膜13をエッチング加工する。この加工には、例えばCDE法やIRE法などの異方性エッチングを用いることができる。エッチングガスには、ハードマスク用膜13がTEOS膜の場合例えばO系やNH系などのガスを含むガスを用いることができる。ハードマスク用膜13と被加工膜12との選択比が確保できるようにエッチング時のパワー、圧力、ガス流量を調整する。ハードマスク用膜13のエッチング加工のあとレジスト膜14は除去され得る。これにより図1(c)に示すように、被加工膜12の上にハードマスク13aが形成された状態になる。 Subsequently, the hard mask film 13 is etched using the patterned resist film 14 as a mask. For this processing, for example, anisotropic etching such as CDE method or IRE method can be used. As the etching gas, when the hard mask film 13 is a TEOS film, for example, a gas containing an O-based gas or an NH 3 -based gas can be used. The power, pressure, and gas flow rate during etching are adjusted so that the selection ratio between the hard mask film 13 and the film to be processed 12 can be secured. After etching the hard mask film 13, the resist film 14 can be removed. Thereby, as shown in FIG. 1C, the hard mask 13a is formed on the film 12 to be processed.

次に、図2(a)に示すように、ハードマスク13aをマスクに被加工膜12に向けて電子線(以下EBともいう)を照射する。これにより、被加工膜12にはハードマスク13aのパターンに応じて硬化部位12aが生じる。電子線の照射エネルギーや照射時のガス雰囲気は、ハードマスク13aのパターンに応じた範囲で被加工膜12が硬化するように適宜設定する。照射エネルギーは例えば2〜3keVとすることができる。この硬化は、被加工膜12がSiOCの組成を含む絶縁膜である場合には分子レベルで炭素の結合が切断されSiOの占める割合が増加することによる。SiOの割合が増加することで密度も増加する。   Next, as shown in FIG. 2A, an electron beam (hereinafter also referred to as EB) is irradiated toward the film 12 to be processed using the hard mask 13a as a mask. As a result, a cured portion 12a is generated in the processed film 12 in accordance with the pattern of the hard mask 13a. The irradiation energy of the electron beam and the gas atmosphere at the time of irradiation are appropriately set so that the film to be processed 12 is cured in a range corresponding to the pattern of the hard mask 13a. The irradiation energy can be set to 2 to 3 keV, for example. This curing is due to the fact that when the film to be processed 12 is an insulating film containing a composition of SiOC, carbon bonds are cut at the molecular level and the proportion of SiO increases. The density increases as the proportion of SiO increases.

ハードマスク13aの厚さおよび密度は、電子線照射で電子が突き抜け下側の被加工膜12に影響を与えることのないように設定する。より具体的には、例えば「膜厚(μm)×密度(g/cm)>A×V」を満たすように膜厚および密度を設定する。ここでA、Bは定数であり、Vは加速電圧(kV)である。定数Aは例えば0.01<A<0.1の範囲の値(一例として0.0667)、定数Bは例えば1<B<2の範囲の値(一例として1.667)であり、使用する電子線照射装置やその他の条件を確定すると定まる。 The thickness and density of the hard mask 13a are set so that electrons do not penetrate through the electron beam irradiation and do not affect the lower film 12 to be processed. More specifically, the film thickness and the density are set so as to satisfy, for example, “film thickness (μm) × density (g / cm 2 )> A × V B ”. Here, A and B are constants, and V is an acceleration voltage (kV). The constant A is, for example, a value in the range of 0.01 <A <0.1 (0.0667 as an example), and the constant B is, for example, a value in the range of 1 <B <2 (as an example, 1.667). It is determined that the electron beam irradiation device and other conditions are fixed.

被加工膜12の下に位置するストッパー膜11についてもハードマスク13aと同様な考えで厚さおよび密度の設定をしておくのが好ましい。これはやはり電子線照射で被加工膜12を通してストッパー膜11からも電子が突き抜ける心配があるからである。このような電子の突き抜けは下部構造部位10に対する悪影響になる。電子の突き抜けの防止効果を高めるのにストッパー膜11を図示する1層構造ではなく異なる材料の多層構造としてもよい。多層構造の場合は、膜厚はそれらの合計膜厚、密度は各膜厚に応じた加重平均を上記説明の膜厚および密度にそれぞれ対応させることができる。   It is preferable to set the thickness and density of the stopper film 11 located under the film 12 to be processed in the same way as the hard mask 13a. This is also because there is a concern that electrons may penetrate through the workpiece film 12 and the stopper film 11 by electron beam irradiation. Such penetration of electrons adversely affects the lower structural portion 10. In order to enhance the effect of preventing the penetration of electrons, the stopper film 11 may have a multilayer structure made of different materials instead of the single-layer structure shown in the figure. In the case of a multilayer structure, the film thickness can be made to correspond to the total film thickness, and the density can be made to correspond to the above-described film thickness and density, respectively.

次に、ハードマスク13aをマスクに被加工膜12の硬化部位12aをエッチング除去しエッチング部位12bを形成する(図2(b))。このエッチングには、例えばCDE法やIRE法などの異方性エッチングを用いることができる。エッチングガスには、被加工膜12がSiOCの組成を含む絶縁膜の場合例えばCF系やCO系などのガスを含むガスを用いることができる。一般的には、反応ガスとして、C、F、O、N、Ar、Cl、Br、H、Bなどの元素を含むガスを用いることができる。被加工膜12の硬化部位12aとストッパー膜11との選択比が確保できるようにエッチング時のパワー、圧力、ガス流量を調整する。   Next, the hardened portion 12a of the film 12 to be processed is removed by etching using the hard mask 13a as a mask to form an etched portion 12b (FIG. 2B). For this etching, for example, anisotropic etching such as CDE method or IRE method can be used. As the etching gas, when the film 12 to be processed is an insulating film containing a composition of SiOC, for example, a gas containing a gas such as a CF-based material or a CO-based material can be used. In general, a gas containing an element such as C, F, O, N, Ar, Cl, Br, H, or B can be used as a reaction gas. The power, pressure, and gas flow rate during etching are adjusted so that the selection ratio between the cured portion 12a of the film 12 to be processed and the stopper film 11 can be secured.

図2(b)に示すエッチングでは、被加工膜12に形成された硬化部位12aの領域がエッチングされるため、そのエッチングレートが低く抑えられ得る。すなわち、深さ方向の加工制御性が向上しておりストッパー膜11がLow−k膜であってもこの膜に対する突き抜け部位の形成を抑制することができる。したがって、高集積半導体デバイス製造で導入が進められている層間絶縁膜がLow−k材料となっているプロセスにおいて好適なエッチング方法である。なお、一般にLow−k材料をEBなどで硬化させるとその部分の誘電率が増加するという副作用があるが、本実施形態では硬化部位12aは除去される部位なので製造される半導体装置として悪影響にはならない。   In the etching shown in FIG. 2B, since the region of the hardened portion 12a formed in the film to be processed 12 is etched, the etching rate can be suppressed low. That is, the process controllability in the depth direction is improved, and even if the stopper film 11 is a Low-k film, the formation of a punch-through site with respect to this film can be suppressed. Therefore, it is a suitable etching method in a process in which an interlayer insulating film that is being introduced in the manufacture of highly integrated semiconductor devices is a low-k material. In general, when a low-k material is cured with EB or the like, there is a side effect that the dielectric constant of the portion increases. However, in this embodiment, the cured portion 12a is removed, so that it has an adverse effect as a manufactured semiconductor device. Don't be.

次に、本発明の別の実施形態について図3、図4を参照して説明する。図3、図4は、本発明の別の実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図である。図3、図4の順に工程が進行しまたこれらの図内では(a)、(b)、(c)の順、または(a)、(b)の順に工程が進行する。図3、図4において同一または同一相当の部位には同一符号を付しており、また既に説明した図1、図2に登場したものに同一または同一相当の部位とも同一符号を使用している。すでに説明した部位と同一または同一相当の部位については加えることがない限り説明を省略する。この実施形態も原理的・一般的説明のための一例である。   Next, another embodiment of the present invention will be described with reference to FIGS. FIG. 3 and FIG. 4 are process diagrams schematically showing a method for manufacturing a semiconductor device according to another embodiment of the present invention. The steps proceed in the order of FIGS. 3 and 4, and in these drawings, the steps proceed in the order of (a), (b), and (c), or in the order of (a) and (b). 3 and 4, the same or equivalent parts are denoted by the same reference numerals, and the same or equivalent parts are used in the same or equivalent parts as those already described in FIGS. 1 and 2. . The description of the same or equivalent parts as those already described will be omitted unless they are added. This embodiment is also an example for the principle and general explanation.

この実施形態は、被加工膜12に硬化部位を形成することは上記実施形態と同じであり、その硬化方法として紫外ないし可視域の波長を有する光の照射を利用する。以下説明する。まず、図3(a)に示すように、下部構造部位10上に、ストッパー膜11、被加工膜12からなる積層構造を形成する。   This embodiment is the same as the above-described embodiment in that a cured portion is formed in the film to be processed 12, and irradiation with light having a wavelength in the ultraviolet or visible region is used as the curing method. This will be described below. First, as shown in FIG. 3A, a laminated structure including a stopper film 11 and a film to be processed 12 is formed on the lower structure portion 10.

次に、図3(b)に示すように、被加工膜12上に所定のパターン化がされたレジスト膜15を形成する。レジスト膜15のパターン化には周知のフォトリソグラフィ技術を用いることができる。レジスト膜15のパターンは被加工膜12の加工されるべきパターンに対応している。   Next, as shown in FIG. 3B, a resist film 15 having a predetermined pattern is formed on the film 12 to be processed. A well-known photolithography technique can be used for patterning the resist film 15. The pattern of the resist film 15 corresponds to the pattern to be processed of the processed film 12.

次に、図3(c)に示すように、レジスト膜15をマスクに被加工膜12に向けて光を照射する。これにより、被加工膜12にはレジスト膜15のパターンに応じて硬化部位12aが生じる。光の波長は、被加工膜12がSiOCの組成を含む絶縁膜である場合、より詳細にはSi−CH結合を有するSiOCHである場合にはほぼ422nmとすることができる。これにより、分子レベルで炭素の結合が切断されSiOの占める割合が増加して硬化部位12aが生じる。SiOの割合が増加することで密度も増加する。照射する光の波長は、被加工膜12の組成を考慮して硬化の作用がより強く現われる波長とすることができる。一般的には150nmから500nm(紫外から可視域)の波長とすることができる。 Next, as shown in FIG. 3C, light is irradiated toward the film 12 to be processed using the resist film 15 as a mask. As a result, a cured portion 12 a is generated in the processed film 12 in accordance with the pattern of the resist film 15. The wavelength of light can be approximately 422 nm when the film to be processed 12 is an insulating film containing a composition of SiOC, more specifically, when the film to be processed is SiOCH having a Si—CH 3 bond. As a result, carbon bonds are broken at the molecular level, and the proportion of SiO is increased, resulting in a cured portion 12a. The density increases as the proportion of SiO increases. The wavelength of the irradiated light can be set to a wavelength at which the hardening effect appears more in consideration of the composition of the film 12 to be processed. Generally, the wavelength can be set to 150 nm to 500 nm (ultraviolet to visible range).

レジスト膜15の材料には、照射する光の波長が吸収帯となる性質のものを用いる。これは、その下側に位置する被加工膜12に悪影響がでないようにするためである。すなわち一般的には、被加工膜12の材質が最初に決められているとしてこの材質に応じて照射する光の波長が、被加工膜12の硬化作用の大きい波長として決められる。そしてその波長を吸収する材料のレジスト膜15を選択する。   As the material of the resist film 15, a material having a property that the wavelength of light to be irradiated becomes an absorption band is used. This is to prevent adverse effects on the film 12 to be processed located therebelow. That is, generally, assuming that the material of the film to be processed 12 is determined first, the wavelength of the light to be irradiated according to this material is determined as a wavelength with a large curing action of the film to be processed 12. Then, a resist film 15 made of a material that absorbs the wavelength is selected.

次に、図4(a)に示すように、レジスト膜15をマスクに被加工膜12の硬化部位12aをエッチング除去しエッチング部位12bを形成する。このエッチングには、上記実施形態と同様に、例えばCDE法やIRE法などの異方性エッチングを用いることができる。エッチングガスについても上記実施形態と同様である。エッチング部位12bの形成のあとレジスト膜15を除去する(図4(b))。   Next, as shown in FIG. 4A, the cured portion 12a of the film 12 to be processed is removed by etching using the resist film 15 as a mask to form an etched portion 12b. In this etching, anisotropic etching such as CDE method or IRE method can be used as in the above embodiment. The etching gas is the same as in the above embodiment. After the formation of the etching site 12b, the resist film 15 is removed (FIG. 4B).

この実施形態におけるエッチングにおいても、被加工膜12に形成された硬化部位12aの領域がエッチングされるため、そのエッチングレートが低く抑えられ得る。すなわち、深さ方向の加工制御性が向上しておりストッパー膜11がLow−k膜であってもこの膜に対する突き抜け部位の形成を抑制することができる。したがって、高集積半導体デバイス製造で導入が進められている層間絶縁膜がLow−k材料となっているプロセスにおいて好適なエッチング方法である。また、この実施形態の場合も、硬化させた部分の誘電率が増加するという副作用は、硬化部位12aが除去される部位なので製造される半導体装置として悪影響にはならない。   Also in the etching in this embodiment, since the region of the hardened portion 12a formed in the film to be processed 12 is etched, the etching rate can be suppressed low. That is, the process controllability in the depth direction is improved, and even if the stopper film 11 is a Low-k film, the formation of a punch-through site with respect to this film can be suppressed. Therefore, it is a suitable etching method in a process in which an interlayer insulating film that is being introduced in the manufacture of highly integrated semiconductor devices is a low-k material. Also in this embodiment, the side effect that the dielectric constant of the cured portion increases does not adversely affect the manufactured semiconductor device because the cured portion 12a is removed.

次に、本発明のさらに別の実施形態に係る半導体装置の製造方法について図5、図6、図7を参照して説明する。図5、図6、図7は、本発明のさらに別の実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図である。図5、図6、図7の順に工程が進行しまたこれらの図内では(a)、(b)、(c)の順に工程が進行する。これらの図において同一または同一相当の部位には同一符号を付している。   Next, a method for manufacturing a semiconductor device according to still another embodiment of the present invention will be described with reference to FIGS. 5, 6, and 7 are process diagrams schematically showing a cross-sectional view of a semiconductor device manufacturing method according to still another embodiment of the present invention. The process proceeds in the order of FIG. 5, FIG. 6, and FIG. 7, and the processes proceed in the order of (a), (b), and (c) in these drawings. In these drawings, the same or equivalent parts are denoted by the same reference numerals.

この実施形態は、いわゆるデュアルダマシン工程による配線形成における一例である。被加工膜の硬化には、後述するが電子線の照射を用いている。前提として、図5(a)に示す構造があらかじめ得られているとして以下説明する。図5(a)に示す構造では、素子形成部位50、層間絶縁膜51、52、下層配線53、ストッパー膜54、被加工膜55、56、ハードマスク用膜57が図示するように形成されている。   This embodiment is an example of wiring formation by a so-called dual damascene process. As will be described later, electron beam irradiation is used for curing the film to be processed. As a premise, the following description will be given on the assumption that the structure shown in FIG. In the structure shown in FIG. 5A, an element formation site 50, interlayer insulating films 51 and 52, a lower wiring 53, a stopper film 54, processed films 55 and 56, and a hard mask film 57 are formed as shown. Yes.

図5(a)について補足すると、素子形成部位50は少なくとも半導体基板を含んでその上にトランジスタ素子などの素子が形成された部位である。下層配線53は、図示紙面と垂直方向に延びる配線であり、例えばCuからなっており、あとから形成される上層の配線(不図示)とはビア(不図示)を介して電気的導通する。層間絶縁膜51、52(厚さは例えばそれぞれ100nm、50nm)は、下層配線53の縦方向位置と同じ縦方向位置を満たす層間絶縁膜である。   Supplementing FIG. 5A, the element formation portion 50 is a portion where at least a semiconductor substrate is included and an element such as a transistor element is formed thereon. The lower layer wiring 53 is a wiring extending in a direction perpendicular to the drawing sheet, and is made of, for example, Cu, and is electrically connected to an upper layer wiring (not shown) formed later through a via (not shown). The interlayer insulating films 51 and 52 (thicknesses are, for example, 100 nm and 50 nm, respectively) are interlayer insulating films that satisfy the same vertical position as the vertical position of the lower layer wiring 53.

ストッパー膜54(厚さ例えば50nm)、被加工膜55(厚さ例えば100nm)、被加工膜56(厚さ例えば150nm)は、図1におけるストッパー膜11、被加工膜12、ハードマスク用膜13にそれぞれ相当する膜である。すなわち、被加工膜55、ストッパー膜54は、それぞれLow−k材料の膜である。なお、被加工膜55の加工部位にはビアが、被加工膜56の加工部位には上層配線がそれぞれ形成される。ハードマスク用膜57(厚さ例えば75nm)は上側の被加工膜56を所定に加工するためのマスクとなる膜である。   The stopper film 54 (thickness, for example, 50 nm), the processing film 55 (thickness, for example, 100 nm), and the processing film 56 (thickness, for example, 150 nm) are the stopper film 11, the processing film 12, and the hard mask film 13 in FIG. These are films corresponding to the above. That is, the film to be processed 55 and the stopper film 54 are films of Low-k material, respectively. Note that a via is formed in the processed portion of the processed film 55, and an upper layer wiring is formed in the processed portion of the processed film 56. The hard mask film 57 (thickness, for example, 75 nm) is a film serving as a mask for processing the upper processed film 56 in a predetermined manner.

図5(a)に示す状態に続いて、ハードマスク用膜57上に所定のパターン化がされたレジスト膜58を形成する(図5(b))。レジスト膜58のパターン化には周知のフォトリソグラフィ技術を用いることができる。レジスト膜58のパターンは上層側の被加工膜56の加工されるべきパターン(上層配線のパターン:幅例えば200nm)に対応している。   Following the state shown in FIG. 5A, a resist film 58 having a predetermined pattern is formed on the hard mask film 57 (FIG. 5B). A well-known photolithography technique can be used for patterning the resist film 58. The pattern of the resist film 58 corresponds to the pattern to be processed of the upper layer side processed film 56 (upper layer wiring pattern: width, for example, 200 nm).

続いて、パターン化されたレジスト膜58をマスクにハードマスク用膜57をエッチング加工しハードマスク57aを形成する(図5(c))。この加工には、例えばCDE法やIRE法などの異方性エッチングを用いることができる。エッチングガスには、ハードマスク用膜57がTEOS膜の場合例えばO系やNH系などのガスを含むガスを用いることができる。ハードマスク用膜57と被加工膜56との選択比が確保できるようにエッチング時のパワー、圧力、ガス流量を調整する。ハードマスク57aの形成のあとレジスト膜58は除去される。 Subsequently, the hard mask film 57 is etched using the patterned resist film 58 as a mask to form a hard mask 57a (FIG. 5C). For this processing, for example, anisotropic etching such as CDE method or IRE method can be used. As the etching gas, when the hard mask film 57 is a TEOS film, for example, a gas containing an O-based gas or an NH 3 -based gas can be used. The power, pressure, and gas flow rate during etching are adjusted so that the selection ratio between the hard mask film 57 and the processed film 56 can be secured. After the hard mask 57a is formed, the resist film 58 is removed.

次に、図6(a)に示すように、ビアのパターンが形成されたレジスト膜59を上面上に形成し、さらにこのレジスト膜59をマスクに被加工膜56をエッチング加工し被加工膜56にビアパターン56a(径例えば100nm)を形成する。レジスト膜59の上記パターン化には周知のフォトリソグラフィ技術を用いることができる。被加工膜56のエッチングには例えばCDE法やIRE法などの異方性エッチングを用いることができる。被加工膜56にビアパターン56aを形成したあとレジスト膜59は除去される。   Next, as shown in FIG. 6A, a resist film 59 in which a via pattern is formed is formed on the upper surface, and the processed film 56 is etched using the resist film 59 as a mask to process the processed film 56. A via pattern 56a (with a diameter of, for example, 100 nm) is formed. A well-known photolithography technique can be used for the patterning of the resist film 59. For the etching of the film 56 to be processed, anisotropic etching such as CDE method or IRE method can be used. After the via pattern 56a is formed on the film 56, the resist film 59 is removed.

次に、図6(b)に示すように、被加工膜56をマスクにその下の被加工膜55に向けて電子線を照射する。これにより、被加工膜55には被加工膜56のビアパターン56aに応じて硬化部位55aが生じる。被加工膜55の下に位置するストッパー膜54についてはすでに説明したように所定に厚さおよび密度の設定をしておくのが好ましい。電子線照射で被加工膜55を通してストッパー膜54から下層配線53に電子が突き抜けるのを防止するためである。   Next, as shown in FIG. 6B, the processing target film 56 is used as a mask to irradiate the target processing film 55 with an electron beam. As a result, a hardened portion 55 a is generated in the processed film 55 according to the via pattern 56 a of the processed film 56. For the stopper film 54 positioned under the film 55 to be processed, it is preferable that the thickness and density are set in advance as described above. This is to prevent electrons from penetrating from the stopper film 54 to the lower layer wiring 53 through the film 55 to be processed by electron beam irradiation.

次に、被加工膜56をマスクに被加工膜55の硬化部位55aをエッチング除去しビアホール55bを形成する(図6(c))。このエッチングには、例えばCDE法やIRE法などの異方性エッチングを用いることができる。エッチングガスには、被加工膜55がSiOCの組成を含む絶縁膜の場合例えばCF系やCO系などのガスを含むガスを用いることができる。被加工膜55の硬化部位55aとストッパー膜54との選択比が確保できるようにエッチング時のパワー、圧力、ガス流量を調整する。   Next, the cured portion 55a of the processed film 55 is removed by etching using the processed film 56 as a mask to form a via hole 55b (FIG. 6C). For this etching, for example, anisotropic etching such as CDE method or IRE method can be used. As the etching gas, when the film 55 to be processed is an insulating film containing a composition of SiOC, for example, a gas containing a CF-based gas or a CO-based gas can be used. The power, pressure, and gas flow rate during etching are adjusted so that the selection ratio between the cured portion 55a of the film 55 to be processed and the stopper film 54 can be secured.

次に、図7に示すように、ハードマスク57aをマスクに被加工膜56をエッチング加工し上層配線用トレンチ56bを形成する。このエッチングには、例えばCDE法やIRE法などの異方性エッチングを用いることができる。このとき被加工膜56と被加工膜55との選択比が確保できるようにエッチング時のパワー、圧力、ガス流量を調整する。この後は図示しないが、ビアホール55bに露出するストッパー膜54を取り除き、ビアホール55b内および上層配線用トレンチ56b内に例えばCuからなる埋め込み部位を形成する。これにより、上層配線用トレンチ56b内には下層配線53に電気的導通する上層配線が形成できる。   Next, as shown in FIG. 7, the processed film 56 is etched using the hard mask 57a as a mask to form an upper wiring trench 56b. For this etching, for example, anisotropic etching such as CDE method or IRE method can be used. At this time, the power, pressure, and gas flow rate during etching are adjusted so that the selection ratio between the processed film 56 and the processed film 55 can be secured. Thereafter, although not shown, the stopper film 54 exposed to the via hole 55b is removed, and a buried portion made of Cu, for example, is formed in the via hole 55b and the upper wiring trench 56b. Thereby, an upper layer wiring that is electrically connected to the lower layer wiring 53 can be formed in the upper layer wiring trench 56b.

この実施形態では、図6(c)に示すエッチングにおいて、被加工膜55に形成された硬化部位55aの領域がエッチングされるため、そのエッチングレートが低く抑えられ得る。すなわち、深さ方向の加工制御性が向上しておりストッパー膜54がLow−k膜であってもこの膜に対する突き抜け部位の形成を抑制することができる。したがって、層間絶縁膜としてLow−k材料が用いられる高集積半導体デバイスの配線形成プロセスにおいて好適である。   In this embodiment, in the etching shown in FIG. 6C, the region of the hardened portion 55a formed in the film to be processed 55 is etched, so that the etching rate can be kept low. That is, the process controllability in the depth direction is improved, and even if the stopper film 54 is a Low-k film, the formation of a punch-through site with respect to this film can be suppressed. Therefore, it is suitable in a wiring formation process of a highly integrated semiconductor device in which a low-k material is used as an interlayer insulating film.

本発明の一実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図。The process drawing which shows the manufacturing method of the semiconductor device which concerns on one Embodiment of this invention with a typical cross section. 図1の続図であって、本発明の一実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図。FIG. 2 is a continuation diagram of FIG. 1, which is a process diagram schematically showing a cross-sectional view of a method for manufacturing a semiconductor device according to an embodiment of the present invention. 本発明の別の実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図。Process drawing which shows the manufacturing method of the semiconductor device which concerns on another embodiment of this invention with a typical cross section. 図3の続図であって、本発明の別の実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図。FIG. 4 is a continuation diagram of FIG. 3, which is a process chart showing in a schematic cross section a method for manufacturing a semiconductor device according to another embodiment of the present invention. 本発明のさらに別の実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図。Process drawing which shows the manufacturing method of the semiconductor device which concerns on another embodiment of this invention with a typical cross section. 図5の続図であって、本発明のさらに別の実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図。FIG. 6 is a continuation diagram of FIG. 5, and is a process diagram schematically showing a cross-sectional view of a method for manufacturing a semiconductor device according to still another embodiment of the present invention. 図6の続図であって、本発明のさらに別の実施形態に係る半導体装置の製造方法を模式的な断面で示す工程図。FIG. 7 is a continuation diagram of FIG. 6, and is a process chart showing a method for manufacturing a semiconductor device according to still another embodiment of the present invention in a schematic cross section.

符号の説明Explanation of symbols

10…下部構造部位、11…ストッパー膜、12…被加工膜、12a…硬化部位、12b…エッチング除去部、13…ハードマスク用膜、13a…ハードマスク、14…レジスト膜、15…レジスト膜、50…素子形成部位、51…層間絶縁膜、52…層間絶縁膜、53…下層配線、54…ストッパー膜、55…被加工膜(層間絶縁膜)、55a…硬化部位、55b…ビアホール、56…被加工膜(層間絶縁膜)、56a…ビアパターン、56b…上層配線用トレンチ、57…ハードマスク用膜、57a…ハードマスク、58…レジスト膜、59…レジスト膜。   DESCRIPTION OF SYMBOLS 10 ... Substructure part, 11 ... Stopper film | membrane, 12 ... Processed film, 12a ... Hardening part, 12b ... Etching removal part, 13 ... Hard mask film | membrane, 13a ... Hard mask, 14 ... Resist film, 15 ... Resist film, DESCRIPTION OF SYMBOLS 50 ... Element formation part, 51 ... Interlayer insulation film, 52 ... Interlayer insulation film, 53 ... Lower layer wiring, 54 ... Stopper film, 55 ... Processed film (interlayer insulation film), 55a ... Hardening part, 55b ... Via hole, 56 ... Film to be processed (interlayer insulating film), 56a ... via pattern, 56b ... trench for upper layer wiring, 57 ... hard mask film, 57a ... hard mask, 58 ... resist film, 59 ... resist film.

Claims (5)

被加工膜上にマスクパターンを形成する工程と、
前記マスクパターンをマスクに前記被加工膜に向けてエネルギー注入を行い前記被加工膜に硬化部位を形成する工程と、
前記マスクパターンをマスクに前記被加工膜の前記硬化部位をエッチングする工程と
を具備することを特徴とする半導体装置の製造方法。
Forming a mask pattern on the film to be processed;
Using the mask pattern as a mask to inject energy toward the processed film to form a hardened portion in the processed film; and
Etching the cured portion of the film to be processed using the mask pattern as a mask. A method for manufacturing a semiconductor device, comprising:
前記エネルギー注入が、電子線の照射によりなされることを特徴とする請求項1記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 1, wherein the energy injection is performed by electron beam irradiation. 前記マスクパターンの膜厚と密度とが、前記電子線の照射によって電子が突き抜けない膜厚および密度に設定されていることを特徴とする請求項2記載の半導体装置の製造方法。   3. The method of manufacturing a semiconductor device according to claim 2, wherein the thickness and density of the mask pattern are set to such a thickness and density that electrons do not penetrate through irradiation of the electron beam. 前記エネルギー注入が、紫外ないし可視域(波長150nmないし500nm)の光の照射によりなされることを特徴とする請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the energy injection is performed by irradiation with light in an ultraviolet or visible region (wavelength of 150 nm to 500 nm). 前記被加工膜が、炭素元素を含む組成であり、
前記照射される光が、前記被加工膜の前記組成に対して炭素元素の結合を切断する作用のある波長であり、
前記マスクパターンが、前記作用のある波長が含まれる吸収帯を有する材料を含むこと
を特徴とする請求項4記載の半導体装置の製造方法。
The film to be processed is a composition containing a carbon element,
The irradiated light is a wavelength having an action of cutting a carbon element bond with respect to the composition of the film to be processed;
The method of manufacturing a semiconductor device according to claim 4, wherein the mask pattern includes a material having an absorption band including the wavelength having the action.
JP2006099252A 2006-03-31 2006-03-31 Semiconductor device fabrication method Withdrawn JP2007273848A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006099252A JP2007273848A (en) 2006-03-31 2006-03-31 Semiconductor device fabrication method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006099252A JP2007273848A (en) 2006-03-31 2006-03-31 Semiconductor device fabrication method

Publications (1)

Publication Number Publication Date
JP2007273848A true JP2007273848A (en) 2007-10-18

Family

ID=38676311

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006099252A Withdrawn JP2007273848A (en) 2006-03-31 2006-03-31 Semiconductor device fabrication method

Country Status (1)

Country Link
JP (1) JP2007273848A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010147141A1 (en) * 2009-06-16 2010-12-23 東京エレクトロン株式会社 Film deposition method, pretreatment device, and treating system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010147141A1 (en) * 2009-06-16 2010-12-23 東京エレクトロン株式会社 Film deposition method, pretreatment device, and treating system
JP2011003569A (en) * 2009-06-16 2011-01-06 Tohoku Univ Film deposition method, pretreatment device, and treating system
CN102460653A (en) * 2009-06-16 2012-05-16 东京毅力科创株式会社 Film deposition method, pretreatment device, and treating system
US8865590B2 (en) 2009-06-16 2014-10-21 Tokyo Electron Limited Film forming method, pretreatment device, and processing system

Similar Documents

Publication Publication Date Title
JP5251033B2 (en) Manufacturing method of semiconductor device
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7838428B2 (en) Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7816253B2 (en) Surface treatment of inter-layer dielectric
US6426300B2 (en) Method for fabricating semiconductor device by using etching polymer
US11018021B2 (en) Curing photo resist for improving etching selectivity
US7732335B2 (en) Method for forming pattern in semiconductor device
JP2007300125A (en) Method for fabricating fine pattern in semiconductor device
JPH11162952A (en) Formation of self-aligned contacts in semiconductor device
JP2004304130A (en) Manufacturing method of semiconductor device
JP3348553B2 (en) Forming connection holes
US8293660B2 (en) Method of manufacturing semiconductor device
JP2007273848A (en) Semiconductor device fabrication method
JP2006024730A (en) Manufacturing method of semiconductor device
TW541618B (en) Manufacturing method of semiconductor device
KR100869845B1 (en) Method for forming oxide pattern and patterning method of semiconductor device
US20140273463A1 (en) Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer
JP2006319116A (en) Semiconductor device and its manufacturing method
JP2007036067A (en) Method for manufacturing semiconductor device
JP4380414B2 (en) Manufacturing method of semiconductor device
KR100929750B1 (en) Method for manufacturing contact hole of semiconductor device
JP2009117673A (en) Semiconductor device and manufacturing method thereof
JP2009158543A (en) Method of manufacturing semiconductor device
JP2004221104A (en) Semiconductor device and manufacturing method therefor
KR100871358B1 (en) Method for forming metal interconnection layer of semiconductor device

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20090602