JP2004221104A - Semiconductor device and manufacturing method therefor - Google Patents

Semiconductor device and manufacturing method therefor Download PDF

Info

Publication number
JP2004221104A
JP2004221104A JP2003003023A JP2003003023A JP2004221104A JP 2004221104 A JP2004221104 A JP 2004221104A JP 2003003023 A JP2003003023 A JP 2003003023A JP 2003003023 A JP2003003023 A JP 2003003023A JP 2004221104 A JP2004221104 A JP 2004221104A
Authority
JP
Japan
Prior art keywords
film
dielectric constant
low dielectric
constant film
connection hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003003023A
Other languages
Japanese (ja)
Inventor
Mitsuru Sekiguchi
満 関口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003003023A priority Critical patent/JP2004221104A/en
Publication of JP2004221104A publication Critical patent/JP2004221104A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for suppressing diffusion of N (nitrogen) which remains in a low dielectric constant film, preventing occurrence of a resist development defect near a via hole, and forming a sufficient resist pattern. <P>SOLUTION: A deterioration layer 21 of an SiOC film 20 which is formed in the SiOC (low dielectric constant film) film 20 as shown in (b) at opening the via hole 8 is irradiated with electron beams 23 as shown in (c). Thus, Si-O and C-C bonds increase in the film of the deterioration layer 21, and a cured layer 24 where hardness and film density of the film are increased is obtained. Diffusion of N is suppressed by the cured layer 24. N occurred in process gas at ashing, etching and removing polymer and in cleaning liquid is not occuluded in the SiOC film, and the sufficient resist pattern can be formed. Wiring of a conductor device cures the deterioration layer of the low dielectric constant film in the via hole by irradiating the layer with the electron beams after the via hole is opened. Thus, gas comprising N included in the low dielectric constant film and water are prevented from coming into the via hole during an exposure process, and a poisoning defect at forming the pattern can be prevented. <P>COPYRIGHT: (C)2004,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は半導体装置とその製造方法、特に低誘電率膜を有する配線形成技術に関するものである。
【0002】
【従来の技術】
0.25μm以降の加工寸法を用いる半導体装置においては、配線間隔が狭くなってきたため、配線間に生じる電気寄生容量が増大してきている。このRC遅延による遅延時間がトランジスタのオンオフに必要な時間に比べ、無視できないようになってきた。そのため、微細化を進める上で、配線間の電気寄生容量を小さくすることが必要とされている。
【0003】
配線間の電気寄生容量を低減させるためには、同じ層内の配線間、違う配線層間の絶縁膜の比誘電率を低減させることが必要である。0.25μm〜0.13μmデバイスの配線においては、従来のシリコン酸化膜(比誘電率:4.2)から、F含有シリコン酸化膜(比誘電率3.7程度)に絶縁膜は変更されてきた。また、0.13μmデバイスからは配線金属をAlからCuに変更することにより、配線抵抗値の低減が行われている。
【0004】
次世代である0.09μmデバイス以降では、F含有シリコン酸化膜よりも比誘電率を低減させる方法として、シリコン酸化膜中のシリコンの終端をアルキル基(CH基)等の分子量の大きなもので行い、シリコン酸化膜を低密度、多孔質化することにより比誘電率を低減させる(これらの膜は一般にC含有シリコン酸化膜(Cabon doped Silicon Oxide)と呼ばれている)方法や、絶縁膜として材料そのものの比誘電率が小さい有機ポリマーの導入が検討されている。
【0005】
図3は0.09μmデバイス以降の多層配線構造用として検討されている従来の半導体装置の製造方法である。
【0006】
まず図3(a)に示すように、シリコン基板(図示せず)に形成された600nm厚の第1の低誘電率膜1中にバリアメタル2、Cu3からなる深さ300nmのトレンチ配線が形成されている。この配線の上部は、第2の低誘電率膜5中にCu3が拡散するのを防ぐため、50nm厚のSiC膜4でカバーされている。SiC膜4の上には、上層配線とビアホールを形成するための、600nm厚の第2の低誘電率膜5が形成されている。ここで、第1の低誘電率膜1と第2の低誘電率膜5にはC含有シリコン酸化膜(SiOC膜)が用いられることが多い。この第2の低誘電率膜5中にビアホールパターンを形成する際には、フォトリソグラフィ時の下地配線からの反射光によるパターン形成不良を防ぐために、反射防止膜6が塗布される。次に、ビアホールパターンをフォトレジスト7で形成する。
【0007】
次に図3(b)に示すように、例えば、CF+Ar+Nのような混合ガスのプラズマで第2の低誘電率膜5のドライエッチングをキャップSiC膜4の上まで行い、ビアホール8を開口する。キャップSiC膜4でドライエッチングを止めるのは、その後で行われるレジスト除去のためのアッシングやポリマー除去洗浄においてCu膜表面にダメージが入るのを防ぐためである。このとき、アッシングガスには低圧のOやアンモニアプラズマが用いられ、できるだけVia側壁にダメージが入らないような条件でプロセスが行われている。また、ポリマー除去は一般にアミンを含んだ溶液が用いられている。上記のようなプロセスは、有機基を有する低誘電率膜において、その有機基(CH等)にできるだけダメージを与えないように設計されているが、それでも第2の低誘電率膜5の表面に形成された変質層9のようなダメージ層が形成される。これは、低誘電率膜中の有機基、例えばCH基が酸化ないしは窒化されることにより、そこに密度の疎などちらかというとSiOに近い組成の、比誘電率が本来の2.7程度よりも高くなった多孔質層ができるためである(例えば中村他、下岡他、2001秋の応用物理学会、予稿集p.655)。この変質層9には、ドライエッチ時に添加されたNや、NHアッシング時のN、アミンベースのポリマー除去液に含まれるNが吸蔵される可能性がある。
【0008】
また、低誘電率膜として、SiOC膜を用いた場合には、原料ガスの一部にNOを使う場合があり、SiOC膜中にNが混入している可能性がある。また、SiC成膜時に原料ガスの一部にNH等を用いた場合は、SiC膜4中にNが含まれる。
【0009】
以上、いずれかの理由で、SiC膜4、第2の低誘電率膜5、変質層9のいずれかの中にNが含まれた場合、図3(c)のように第2層配線のパターン形成を行う際、反射防止膜10上に形成されたフォトレジスト11を解像する際にビアホール8の上部でポイゾニング12と呼ばれるレジスト解像残りの不良が発生することが知られている。これは、露光工程において、膜中のNが、密度が低く多孔質で機械的強度の低い第2の低誘電率膜5の表面の変質層9を通して、塩基(図3(c)ではN−Hで示した。アミンが発生するとも言われている)がビアホール8を通じてフォトレジスト11に入り、露光によりレジスト内で発生した酸を中和してしまい、レジストパターンを形成する際の化学増幅作用を弱めるためと考えられている。
【0010】
以上のようなポイゾニング12が発生すると、図3(d)に示すように配線溝形成時にビアホール8の周りの第2の低誘電率膜5と変質層9がエッチングされなくなり、図3(e)に示すように絶縁膜残り18が形成される。
【0011】
次に、図3(f)に示すようにマスクを形成せずに、全面エッチバックを行い、ビアホール8底の50nm厚のSiC膜4をエッチングし、Cu3の表面を露出させる。このとき、ビアホール8の外側では、第2の低誘電率膜5の変質層9がエッチングされるが、第2の低誘電率膜5の変質層9が厚い場合は、ビアホール8の外側で第2の低誘電率膜5の変質層9が残る。
【0012】
次に、図3(g)に示すようにスパッタ法とメッキ法を組み合わせることにより、バリアメタル14と第2のCu15で配線溝13とビアホール8が埋め込まれる。
【0013】
その後、図3(h)に示すように、Cu−CMP、TaN−CMPを行い、配線溝13とビアホール8以外の第2のCu15とバリアメタル14を除去する。その後、SiC膜17を50nm堆積する。
【0014】
以上のように図3(a)〜図3(h)に示すような製造工程を繰り返し、従来の低誘電率絶縁膜を有するCu多層配線を有する半導体装置が得られる。
【0015】
【特許文献1】
特開2001−257207号公報
【0016】
【発明が解決しようとする課題】
ビアホール8を配線溝よりも先に形成する、従来の低誘電率絶縁膜を有するCu多層配線を有する半導体装置の製造方法においては、従来の技術で説明したように図3(c)に示す工程で、膜中に残留したNにより、レジスト現像不良(ポイゾニング12)が発生する場合がある。この場合、図3(h)に示すように、ビアホール8周辺に配線溝に絶縁膜残り18が発生し、ビアホール8と配線溝13の電気的接続がとれないという不良が発生する。
【0017】
また、図3(h)に示したように、配線間の絶縁膜上に第2の低誘電率膜5の変質層9が薄く残っている場合、同層配線溝間の絶縁膜の表面に多孔質で密度が低い膜が存在するということになり、配線間リーク電流が増えるという問題もある。
【0018】
本発明では、上記ポイゾニングの発生を抑制し、パターン不良を低減できる半導体装置の製造方法を提供することを目的とする。
【0019】
【課題を解決するための手段】
本発明の半導体装置の製造方法は、半導体基板上に形成された低誘電率膜上に接続孔を形成する工程(a)と、前記低誘電率膜の表面と前記接続孔内に露出した前記低誘電率膜の表面の膜密度を上げる工程(b)と、前記工程(b)の後に少なくとも前記接続孔を含む領域に開口部を有するレジストパターンを形成する工程(c)とを有する。
【0020】
この半導体装置の製造方法によれば、絶縁膜表面を硬化させることで、絶縁膜中にN(窒素)を含んだガスや吸蔵された水分が、レジスト中に拡散することを防止でき、良好なレジストパターンを形成することができる。
【0021】
また、前記低誘電率膜がSi、O及びCを主成分とすることを特徴とする。
【0022】
また、前記工程(b)は電子線を前記低誘電率膜の表面及び接続孔内に露出した前記低誘電率膜の表面に照射することを特徴とする。
【0023】
また、前記工程(b)は前記低誘電率膜の表面と前記接続孔内に露出した前記低誘電率膜の表面にSi−O及びC−C結合を形成することを特徴とする。
【0024】
本発明の半導体装置の製造方法は、半導体基板上に形成された低誘電率膜上に絶縁膜を形成する工程(a)と、前記低誘電率膜及び前記絶縁膜に接続孔を形成する工程(b)と、前記接続孔内に露出した前記低誘電率膜の表面の膜密度を上げる工程(c)と、前記工程(c)の後に少なくとも前記接続孔を含む領域に開口部を有するレジストパターンを形成する工程(d)とを有する。
【0025】
この半導体装置の製造方法によれば、あらかじめ低誘電率膜上に酸化膜を形成し、さらに絶縁膜表面を硬化させることで、絶縁膜中にN(窒素)を含んだガスや吸蔵された水分が、レジスト中に拡散することを防止でき、良好なレジストパターンを形成することができる。
【0026】
また、前記低誘電率膜がSi、O及びCを主成分とすることを特徴とする。
【0027】
また、前記工程(c)は電子線を接続孔内に露出した前記低誘電率膜の表面に照射することを特徴とする。
【0028】
また、前記工程(c)は前記接続孔内に露出した前記低誘電率膜の表面にSi−O及びC−C結合を形成することを特徴とする。
【0029】
本発明の半導体装置は、半導体基板上に形成された低誘電率膜と、前記低誘電率膜に形成された接続孔と、前記接続孔を含む領域に形成された配線溝と、前記接続孔及び前記配線溝に金属を埋め込み形成された配線と、前記低誘電率膜及び前記配線の上層に形成されたキャップ層とを有し、前記接続孔の内壁表面及び前記低誘電率膜と前記キャップ層との界面の前記低誘電率膜が前記低誘電率膜の他の部分よりもSi−O、C−C結合が多く、密度が高くなっていることを特徴とする。
【0030】
また、前記低誘電率膜が、Cを含むシリコン酸化膜であることを特徴とする。本発明の半導体装置は、半導体基板上に形成された低誘電率膜と、前記低誘電率膜上に形成された絶縁膜と、前記低誘電率膜と前記絶縁膜に形成された接続孔と、前記接続孔を含む領域に形成された配線溝と、前記接続孔及び前記配線溝に金属を埋め込み形成された配線と、前記絶縁膜及び前記配線の上層に形成されたキャップ層とを有し、前記接続孔の内壁表面の前記低誘電率膜が前記低誘電率膜の他の部分よりもSi−O、C−C結合が多く、密度が高くなっていることを特徴とする。
【0031】
また、前記低誘電率膜が、Cを含むシリコン酸化膜であることを特徴とする。
【0032】
また、前記絶縁膜が、Cを含まない絶縁膜であることを特徴とする。
【0033】
【発明の実施の形態】
以下、本発明の実施の形態について、図1〜図2を参照しながら説明する。
【0034】
(実施の形態1)
図1を参照しながら、本発明の実施の形態1について説明する。
【0035】
図1(a)〜図1(h)は、本発明の実施の形態1における半導体装置とその製造方法の工程断面図である。
【0036】
まず図1(a)に示すように、シリコン基板(図示せず)に形成された600nmの第1のSiOC膜19(C含有シリコン酸化膜)にTaNからなるバリアメタル2とCu(銅)3とからなる深さ300nmのトレンチ配線を形成する。そして、このトレンチ配線上層へのCuの拡散を防止するために、膜厚が50nmのSiC膜4を形成する。さらに、このSiC膜4の上には、膜厚が600nmの第2のSiOC膜20を形成する。この第2のSiOC膜20にビアホールパターンを形成する際のフォトリソグラフィ時の下地配線からの反射光によるパターン形成不良を防ぐために、有機化合物からなる反射防止膜6を100nm形成する。そして、ビアホールパターンを厚さ600nmのフォトレジスト7で形成する。
【0037】
次に図1(b)に示すように、例えば、CF+Ar+O+Nのような混合ガスのプラズマで第2のSiOC膜20のドライエッチングをSiC層4の上まで行い、ビアホール8を開口する。SiC層4でドライエッチングを止めるのは、その後で行われるレジストを除去するためのアッシングやポリマーを除去するための洗浄においてCu膜表面にダメージが入るのを防ぐためである。このとき、アッシングガスとして、例えば第2のSiOC膜20にダメージがなるべく入らないように、100mT程度の低圧のOプラズマでアッシングを行う。このとき、第2のSiOC膜20の有機基(CH)が酸化され、密度の疎な、SiOに近い組成の変質層21が50nm形成される。この変質層21は多孔質層であり、変質する前の第2のSiOC膜20と比較して比誘電率が高くなる。
【0038】
次に、図1(c)に示すように半導体装置を400℃に加熱し、同時に電子線照射ユニット22により、25keV、500μC/cm程度の電子線23を照射する。すると、変質層21を硬化させ、図1(d)に示すように、硬化層24を形成することができる。硬化層24内では、Si−O、C−C結合が増加し、例えば膜の硬さが1.0から2.2Gpaに増加する。これに伴い、膜密度が、400℃アニールのみのキュア処理の場合1.25g/cmであったものが、1.34g/cmに増加する。この電子線照射処理では、1000μC/cm程度までは、膜の比誘電率を2.9程度に保てるが、これ以上照射すると、膜の重合が進み、硬くはなるが、Si−CH結合がなくなりSi−O結合が増加する分、比誘電率が増加してしまう。また、温度は400℃で行ったが、加熱を行わないまたは、200℃程度の加熱処理でもよい。
【0039】
次に、図1(e)に示すように、有機化合物からなる反射防止膜10を100nm形成する。そして、ビアホール8上にトレンチ配線パターン形成を行うために、フォトレジスト11を600nm形成し、ArF露光機を用いて露光する。この時、第2のSiOC膜20、SiC膜4に混入しているN(窒素)のビアホール8への拡散は、密度の高い硬化層24で遮断されるのでポイゾニングは発生しない。また、硬化層24は密度が高いため、アッシングやエッチング、ポリマー除去時のプロセスガスや洗浄液中のNが吸蔵される可能性もない。以上のような理由から、図1(e)で示すように、本実施例ではポイゾニング発生を抑制できる。
【0040】
次に、図1(f)に示すように、エッチングにより配線溝13を形成した後、フォトレジスト11と反射防止膜10を除去する。その後、マスクの無い状態でCF+Ar+Oのプラズマを用いたドライエッチングで全面エッチバックを行い、ビアホール8の底部のSiC膜4をエッチングし、図1(g)に示すようにCu3の表面を露出させる。このとき、第2のSiOC膜20の表面の硬化層24はエッチングされるが、完全に除去されても、されなくても問題は無い(図1(g)では、硬化層24は残っている)。
【0041】
最後に、図1(h)で示すように、スパッタ法とメッキ法を組み合わせることにより、バリアメタル14とCu15を配線溝13とビアホール8に埋め込み、CMPにより配線を形成する。その後、SiC膜17を50nm堆積する。
【0042】
以上のような製造工程を繰り返し、ポイゾニング不良による配線パターンの歩留まり低下を防止する。
【0043】
なお、第1のSiOC膜19および第2のSiOC膜20は、比誘電率2.7程度の低誘電率の絶縁膜である。
【0044】
なお、図1(f)の全面エッチバックの工程で変質層21を全て除去できる場合は、電子線照射は半導体装置全面でなく、ビアホール8のみを照射してもよい。
【0045】
なお、図1(g)の第2のSiOC膜20の表面の硬化層24が残った場合においては、SiC膜との密着性が向上し、同層配線間のリーク電流が低減できることが考えられる。
【0046】
(実施の形態2)
図2を参照しながら、本発明の実施の形態2について説明する。
【0047】
図2(a)〜図2(h)は、本発明の実施の形態2における半導体装置とその製造方法の工程断面図である。
【0048】
まず図2(a)に示すように、シリコン基板(図示せず)に形成された600nmの第1のSiOC膜19(C含有シリコン酸化膜)にTaNからなるバリアメタル2とCu(銅)3とからなる深さ300nmのトレンチ配線を形成する。そして、このトレンチ配線上層へのCuの拡散を防止するために、膜厚が50nmのSiC膜4を形成する。さらに、このSiC膜4の上には、膜厚が600nmの第2のSiOC膜20を形成し、その上に、Cを含まないSiO膜25を60nm形成する。そしてこの上に、ビアホールパターンを形成する際のフォトリソグラフィ時の下地配線からの反射光によるパターン形成不良を防ぐために、有機化合物からなる反射防止膜6を100nm形成する。そして、ビアホールパターンを厚さ600nmのフォトレジスト7で形成する。
【0049】
次に図2(b)に示すように、例えば、CF+Ar+O+Nのような混合ガスのプラズマで第2のSiOC膜20のドライエッチングをSiC層4の上まで行い、ビアホール8を開口する。SiC層4でドライエッチングを止めるのは、その後で行われるレジストを除去するためのアッシングやポリマーを除去するための洗浄においてCu膜表面にダメージが入るのを防ぐためである。このとき、アッシングガスとして、例えば第2のSiOC膜20にダメージがなるべく入らないように、100mT程度の低圧のOプラズマでアッシングを行う。このとき、ビアホール8の内側表面の第2のSiOC膜20の有機基(CH)が酸化され、密度の疎な、SiOに近い組成の変質層21が50nm形成される。この変質層21は多孔質層であり、変質する前の第2のSiOC膜20と比較して比誘電率が高くなる。
【0050】
次に、図2(c)に示すように半導体装置を400℃に加熱し、同時に電子線照射ユニット22により、25keV、500μC/cm程度の電子線23を照射する。すると、変質層21を硬化させ、図2(d)に示すように、硬化層24を形成することができる。硬化層24内では、Si−O、C−C結合が増加し、例えば膜の硬さが1.0から2.2Gpaに増加する。これに伴い、膜密度が、400℃アニールのみのキュア処理の場合1.25g/cmであったものが、1.34g/cmに増加する。この電子線照射処理では、1000μC/cm程度までは、膜の比誘電率を2.9程度に保てるが、これ以上照射すると、膜の重合が進み、硬くはなるが、Si−CH結合がなくなりSi−O結合が増加する分、比誘電率が増加してしまう。また、温度は400℃で行ったが、加熱を行わないまたは、200℃程度の加熱処理でもよい。
【0051】
次に、図2(e)に示すように、有機化合物からなる反射防止膜10を100nm形成する。そして、ビアホール8上にトレンチ配線パターン形成を行うために、フォトレジスト11を600nm形成し、ArF露光機を用いて露光する。この時、第2のSiOC膜20、SiC膜4に混入しているN(窒素)のビアホール8への拡散は、密度の高い硬化層24とSiO膜25で遮断されるのでポイゾニングは発生しない。また、硬化層24とSiO膜25は密度が高いため、アッシングやエッチング、ポリマー除去時のプロセスガスや洗浄液中のNが吸蔵される可能性もない。
【0052】
次に、図2(f)に示すように、エッチングにより配線溝13を形成した後、フォトレジスト11と反射防止膜10を除去する。その後、マスクの無い状態でCF+Ar+Oのプラズマを用いたドライエッチングで全面エッチバックを行い、ビアホール8の底部のSiC膜4をエッチングし、図2(g)に示すようにCu3の表面を露出させる。このとき、SiO膜25はエッチングされるが、完全に除去されても、されなくても問題は無い(図2(g)では、SiO膜25は残っている)。
【0053】
最後に、図2(h)で示すように、スパッタ法とメッキ法を組み合わせることにより、バリアメタル14とCu15を配線溝13とビアホール8に埋め込み、CMPにより配線を形成する。その後、SiC膜17を50nm堆積する。
【0054】
以上のような製造工程を繰り返し、ポイゾニング不良による配線パターンの歩留まり低下を防止する。また、SiO膜25を第2のSiOC膜20の上にあらかじめ設けておくことにより、第2のSiOC膜20の変質を防ぎ、SiOC膜の変質層である硬化層24が原因となって同層配線間のリーク電流が増加するような不良の発生を防ぐことができる。
【0055】
なお、第1のSiOC膜19および第2のSiOC膜20は、比誘電率2.7程度の低誘電率の絶縁膜である。
【0056】
なお、図2(f)の全面エッチバックの工程でSiO膜25を全て除去できる場合は、電子ビーム照射は半導体装置全面でなく、ビアホール8のみを照射してもよい。
【0057】
なお、図2(g)のSiO膜25が残った場合においては、第2のSiOC膜20や、第1の実施の形態1の硬化層24が露出している場合と比較して、SiC膜との密着性が向上し、同層配線間のリーク電流が低減できることが考えられる。
【0058】
【発明の効果】
本発明の半導体装置の配線は、ビアホール開口後、電子線を照射することにより、ビアホール内の低誘電率膜の変質層を硬化させる。これにより、この低誘電率膜に含有されたNを含んだガスや水分が、露光工程中に、ビアホール内に出てくることを防ぐことができ、パターン形成時のポイゾニング不良を防止することができる。
【0059】
また、適切な量の電子線を低誘電率膜に照射すると、比誘電率を上昇させずに、膜密度を増加させ硬くすることができる。これは膜中のSi−CH結合が減って、Si−O、C−C結合が増え、膜強度が増すからである。本発明はこの処理を、低誘電率膜のダメージと比誘電率の増加を抑えつつ、ダメージ層の膜密度を上げてNの吸着や透過を防ぐというものである。
【0060】
このような層では、膜が硬くなった分、膜が変形したり、脆くなって、上層キャップ膜との密着性が悪くなり、配線間のリーク電流を増やしてしまう可能性があり、Cを含まないSiO膜を低誘電率膜の上部に設けておくことでアッシングやエッチングからのダメージを抑制することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係る半導体装置の製造方法の工程断面図
【図2】本発明の第2の実施形態に係る半導体装置の製造方法の工程断面図
【図3】従来の半導体装置の製造方法の工程断面図
【符号の説明】
1 第1の低誘電率膜
2 バリアメタル
3 Cu
4 SiC膜
5 第2の低誘電率膜
6 反射防止膜
7 フォトレジスト
8 ビアホール
9 変質層
10 反射防止膜
11 フォトレジスト
12 ポイゾニング
13 配線溝
14 バリアメタル
15 Cu
17 SiC膜
18 絶縁膜残り
19 第1のSiOC膜
20 第2のSiOC膜
21 変質層
22 電子線照射ユニット
23 電子線
24 硬化層
25 SiO
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly to a technique for forming a wiring having a low dielectric constant film.
[0002]
[Prior art]
In a semiconductor device using a processing dimension of 0.25 μm or less, an electric parasitic capacitance generated between wirings is increasing because a wiring interval is becoming narrower. The delay time due to the RC delay cannot be ignored compared to the time required for turning on and off the transistor. Therefore, it is necessary to reduce the electric parasitic capacitance between wirings in order to advance miniaturization.
[0003]
In order to reduce the electric parasitic capacitance between wirings, it is necessary to reduce the relative permittivity of an insulating film between wirings in the same layer or between different wiring layers. In a wiring of 0.25 μm to 0.13 μm device, an insulating film has been changed from a conventional silicon oxide film (relative dielectric constant: 4.2) to an F-containing silicon oxide film (relative dielectric constant of about 3.7). Was. Also, from the 0.13 μm device, the wiring metal is changed from Al to Cu to reduce the wiring resistance.
[0004]
In the next generation of 0.09 μm devices and later, as a method of lowering the relative dielectric constant than that of the F-containing silicon oxide film, the terminal of silicon in the silicon oxide film has a large molecular weight such as an alkyl group (CH 3 group). Then, the relative dielectric constant is reduced by making the silicon oxide film low-density and porous (these films are generally called C-containing silicon oxide films (Cabon doped Silicon Oxide)), or as an insulating film. The introduction of an organic polymer having a small relative dielectric constant of the material itself is being studied.
[0005]
FIG. 3 shows a method of manufacturing a conventional semiconductor device which has been studied for use in a multilayer wiring structure of 0.09 μm device or later.
[0006]
First, as shown in FIG. 3A, a 300 nm-thick trench wiring made of barrier metal 2 and Cu3 is formed in a 600 nm-thick first low dielectric constant film 1 formed on a silicon substrate (not shown). Have been. The upper part of the wiring is covered with a 50 nm-thick SiC film 4 in order to prevent Cu3 from diffusing into the second low dielectric constant film 5. On the SiC film 4, a second low dielectric constant film 5 having a thickness of 600 nm for forming an upper wiring and a via hole is formed. Here, a C-containing silicon oxide film (SiOC film) is often used for the first low dielectric constant film 1 and the second low dielectric constant film 5. When forming a via hole pattern in the second low dielectric constant film 5, an antireflection film 6 is applied in order to prevent pattern formation failure due to light reflected from the underlying wiring during photolithography. Next, a via hole pattern is formed with the photoresist 7.
[0007]
Next, as shown in FIG. 3B, for example, dry etching of the second low dielectric constant film 5 is performed on the cap SiC film 4 with plasma of a mixed gas such as CF 4 + Ar + N 2 to form a via hole 8. Open. The dry etching is stopped at the cap SiC film 4 in order to prevent damage to the Cu film surface in ashing or polymer removal cleaning for removing the resist to be performed later. At this time, low pressure O 2 or ammonia plasma is used as the ashing gas, and the process is performed under the condition that the via sidewall is not damaged as much as possible. Further, for removing the polymer, a solution containing an amine is generally used. The above-described process is designed so that the organic group (such as CH 3 ) is not damaged as much as possible in the low dielectric constant film having the organic group. Thus, a damaged layer such as the altered layer 9 is formed. This organic groups in the low dielectric constant film, for example, by a CH 3 group is oxidized or nitrided, there sparse rather the composition close to SiO 2 of Density, relative dielectric constant original 2. This is because a porous layer having a height higher than about 7 is formed (for example, Nakamura et al., Shimooka et al., Applied Physics Society Autumn 2001, Proceedings p.655). The affected layer 9, it is possible that N and which is added at the time of dry etching, NH 3 ashing time of N, N is contained in the amine-based polymer removal liquid is occluded.
[0008]
When a SiOC film is used as the low dielectric constant film, N 2 O may be used as a part of the source gas, and N may be mixed in the SiOC film. Further, when NH 3 or the like is used as a part of the source gas at the time of forming the SiC film, N is contained in the SiC film 4.
[0009]
As described above, when N is contained in any of the SiC film 4, the second low dielectric constant film 5, and the altered layer 9, for any reason, as shown in FIG. It is known that when pattern formation is performed, when the photoresist 11 formed on the anti-reflection film 10 is resolved, a defect of resist resolution remaining called a poisoning 12 occurs above the via hole 8. This is because, in the exposure step, N in the film passes through the altered layer 9 on the surface of the second low dielectric constant film 5 having a low density and a low mechanical strength to form a base (N- in FIG. 3C). H. It is also said that amine is generated) enters the photoresist 11 through the via hole 8, neutralizes the acid generated in the resist by exposure, and acts as a chemical amplification in forming a resist pattern. Is thought to weaken.
[0010]
When the poisoning 12 as described above occurs, as shown in FIG. 3D, the second low dielectric constant film 5 and the altered layer 9 around the via hole 8 are not etched at the time of forming the wiring groove, and FIG. As shown in FIG. 6, the remaining insulating film 18 is formed.
[0011]
Next, as shown in FIG. 3F, the entire surface is etched back without forming a mask, and the 50 nm-thick SiC film 4 at the bottom of the via hole 8 is etched to expose the surface of Cu3. At this time, the altered layer 9 of the second low dielectric constant film 5 is etched outside the via hole 8, but if the altered layer 9 of the second low dielectric constant film 5 is thick, the altered layer 9 is etched outside the via hole 8. The deteriorated layer 9 of the low dielectric constant film 5 remains.
[0012]
Next, as shown in FIG. 3G, the wiring groove 13 and the via hole 8 are filled with the barrier metal 14 and the second Cu 15 by combining the sputtering method and the plating method.
[0013]
Thereafter, as shown in FIG. 3H, Cu-CMP and TaN-CMP are performed to remove the second Cu 15 and the barrier metal 14 other than the wiring groove 13 and the via hole 8. Thereafter, a 50 nm SiC film 17 is deposited.
[0014]
By repeating the manufacturing steps shown in FIGS. 3A to 3H as described above, a semiconductor device having a conventional Cu multilayer wiring having a low dielectric constant insulating film is obtained.
[0015]
[Patent Document 1]
JP 2001-257207 A
[Problems to be solved by the invention]
In a conventional method of manufacturing a semiconductor device having a Cu multilayer wiring having a low-dielectric-constant insulating film in which a via hole 8 is formed prior to a wiring groove, a process shown in FIG. Then, resist development failure (poisoning 12) may occur due to N remaining in the film. In this case, as shown in FIG. 3H, an insulating film residue 18 is generated in the wiring groove around the via hole 8, and a failure occurs in that the electrical connection between the via hole 8 and the wiring groove 13 cannot be established.
[0017]
Further, as shown in FIG. 3H, when the deteriorated layer 9 of the second low dielectric constant film 5 remains thin on the insulating film between the wirings, the surface of the insulating film between the wiring grooves in the same layer is formed. Since a porous film having a low density is present, there is a problem that a leak current between wirings increases.
[0018]
An object of the present invention is to provide a method of manufacturing a semiconductor device capable of suppressing occurrence of the poisoning and reducing pattern defects.
[0019]
[Means for Solving the Problems]
The method of manufacturing a semiconductor device according to the present invention includes the step (a) of forming a connection hole on a low dielectric constant film formed on a semiconductor substrate, and the step of exposing the surface of the low dielectric constant film and the inside of the connection hole. The method includes a step (b) of increasing the film density on the surface of the low dielectric constant film, and a step (c) of forming a resist pattern having an opening at least in a region including the connection hole after the step (b).
[0020]
According to this method of manufacturing a semiconductor device, by curing the surface of the insulating film, the gas containing N (nitrogen) and the occluded moisture in the insulating film can be prevented from diffusing into the resist. A resist pattern can be formed.
[0021]
Further, the low dielectric constant film is mainly composed of Si, O and C.
[0022]
The step (b) is characterized in that an electron beam is irradiated on the surface of the low dielectric constant film and the surface of the low dielectric constant film exposed in the connection hole.
[0023]
Further, the step (b) is characterized in that Si—O and CC bonds are formed on the surface of the low dielectric constant film and the surface of the low dielectric constant film exposed in the connection hole.
[0024]
According to the method of manufacturing a semiconductor device of the present invention, a step (a) of forming an insulating film on a low dielectric constant film formed on a semiconductor substrate and a step of forming a connection hole in the low dielectric constant film and the insulating film (B), a step (c) of increasing the film density of the surface of the low dielectric constant film exposed in the connection hole, and a resist having an opening at least in a region including the connection hole after the step (c) (D) forming a pattern.
[0025]
According to this method of manufacturing a semiconductor device, an oxide film is formed on a low dielectric constant film in advance, and the surface of the insulating film is cured, so that a gas containing N (nitrogen) or occluded moisture is contained in the insulating film. However, diffusion into the resist can be prevented, and a good resist pattern can be formed.
[0026]
Further, the low dielectric constant film is mainly composed of Si, O and C.
[0027]
Further, the step (c) is characterized in that the surface of the low dielectric constant film exposed in the connection hole is irradiated with an electron beam.
[0028]
The step (c) is characterized in that Si—O and CC bonds are formed on the surface of the low dielectric constant film exposed in the connection hole.
[0029]
The semiconductor device according to the present invention includes: a low dielectric constant film formed on a semiconductor substrate; a connection hole formed in the low dielectric constant film; a wiring groove formed in a region including the connection hole; And a wiring formed by burying a metal in the wiring groove, and a cap layer formed on the low dielectric constant film and the wiring, and an inner wall surface of the connection hole, the low dielectric constant film, and the cap. The low dielectric constant film at the interface with the layer has more Si—O and CC bonds and a higher density than other portions of the low dielectric constant film.
[0030]
Further, the low dielectric constant film is a silicon oxide film containing C. The semiconductor device of the present invention includes a low dielectric constant film formed on a semiconductor substrate, an insulating film formed on the low dielectric constant film, and a connection hole formed in the low dielectric constant film and the insulating film. A wiring groove formed in a region including the connection hole, a wiring formed by burying a metal in the connection hole and the wiring groove, and a cap layer formed above the insulating film and the wiring. The low dielectric constant film on the inner wall surface of the connection hole has more Si—O and CC bonds and a higher density than other portions of the low dielectric constant film.
[0031]
Further, the low dielectric constant film is a silicon oxide film containing C.
[0032]
Further, the insulating film is an insulating film containing no C.
[0033]
BEST MODE FOR CARRYING OUT THE INVENTION
An embodiment of the present invention will be described below with reference to FIGS.
[0034]
(Embodiment 1)
Embodiment 1 of the present invention will be described with reference to FIG.
[0035]
1A to 1H are cross-sectional views showing the steps of a semiconductor device and a method for manufacturing the same according to the first embodiment of the present invention.
[0036]
First, as shown in FIG. 1A, a barrier metal 2 made of TaN and Cu (copper) 3 are formed on a 600 nm-thick first SiOC film 19 (C-containing silicon oxide film) formed on a silicon substrate (not shown). Is formed to have a depth of 300 nm. Then, in order to prevent the diffusion of Cu into the upper layer of the trench wiring, a 50 nm-thick SiC film 4 is formed. Further, a second SiOC film 20 having a thickness of 600 nm is formed on the SiC film 4. An antireflection film 6 made of an organic compound is formed to a thickness of 100 nm in order to prevent pattern formation failure due to light reflected from the underlying wiring during photolithography when forming a via hole pattern in the second SiOC film 20. Then, a via hole pattern is formed with a photoresist 7 having a thickness of 600 nm.
[0037]
Next, as shown in FIG. 1B, for example, dry etching of the second SiOC film 20 is performed on the SiC layer 4 with plasma of a mixed gas such as CF 4 + Ar + O 2 + N 2 to open a via hole 8. I do. The reason why dry etching is stopped in the SiC layer 4 is to prevent damage to the Cu film surface during ashing for removing the resist or cleaning for removing the polymer, which is performed later. At this time, as the ashing gas, as for example does not enter the second SiOC film 20 as possible damage, ashing is performed with an O 2 plasma low pressure of about 100 mT. At this time, the organic group (CH 3 ) of the second SiOC film 20 is oxidized, and a deteriorated layer 21 having a low density and a composition close to SiO 2 is formed to a thickness of 50 nm. The altered layer 21 is a porous layer, and has a higher relative dielectric constant than the second SiOC film 20 before being altered.
[0038]
Next, as shown in FIG. 1C, the semiconductor device is heated to 400 ° C., and at the same time, the electron beam irradiation unit 22 irradiates an electron beam 23 of about 25 keV and about 500 μC / cm 2 . Then, the deteriorated layer 21 is cured, and a cured layer 24 can be formed as shown in FIG. In the hardened layer 24, Si—O and CC bonds increase, for example, the hardness of the film increases from 1.0 to 2.2 Gpa. Along with this, the film density increased from 1.25 g / cm 3 to 1.34 g / cm 3 in the case of the curing treatment only at 400 ° C. annealing. In this electron beam irradiation treatment, the relative dielectric constant of the film can be kept at about 2.9 up to about 1000 μC / cm 2 , but when the irradiation is performed further, the polymerization of the film proceeds and the film becomes harder, but the Si—CH 3 bond Disappears, and the relative dielectric constant increases by the amount of the Si—O bond. In addition, although the temperature was set to 400 ° C., heating may not be performed, or heat treatment at about 200 ° C. may be performed.
[0039]
Next, as shown in FIG. 1E, an antireflection film 10 made of an organic compound is formed to a thickness of 100 nm. Then, in order to form a trench wiring pattern on the via hole 8, a photoresist 11 is formed to a thickness of 600 nm, and is exposed using an ArF exposure device. At this time, diffusion of N (nitrogen) mixed in the second SiOC film 20 and the SiC film 4 into the via hole 8 is blocked by the hardened layer 24 having a high density, so that poisoning does not occur. Further, since the hardened layer 24 has a high density, there is no possibility that N in the process gas or the cleaning liquid during ashing, etching, or polymer removal will be occluded. For the reasons described above, as shown in FIG. 1E, in this embodiment, the occurrence of poisoning can be suppressed.
[0040]
Next, as shown in FIG. 1F, after forming the wiring groove 13 by etching, the photoresist 11 and the antireflection film 10 are removed. Thereafter, the entire surface is etched back by dry etching using plasma of CF 4 + Ar + O 2 without a mask, the SiC film 4 at the bottom of the via hole 8 is etched, and the surface of Cu 3 is etched as shown in FIG. Expose. At this time, the hardened layer 24 on the surface of the second SiOC film 20 is etched, but there is no problem whether or not the hardened layer 24 is completely removed (the hardened layer 24 remains in FIG. 1G). ).
[0041]
Finally, as shown in FIG. 1H, by combining sputtering and plating, the barrier metal 14 and Cu 15 are buried in the wiring groove 13 and the via hole 8, and a wiring is formed by CMP. Thereafter, a 50 nm SiC film 17 is deposited.
[0042]
By repeating the above manufacturing steps, it is possible to prevent a decrease in the yield of the wiring pattern due to the poisoning defect.
[0043]
Note that the first SiOC film 19 and the second SiOC film 20 are insulating films having a low dielectric constant of about 2.7.
[0044]
In the case where the entire altered layer 21 can be removed in the entire-surface etch-back step of FIG. 1F, the electron beam irradiation may be applied only to the via hole 8 instead of the entire semiconductor device.
[0045]
When the hardened layer 24 on the surface of the second SiOC film 20 in FIG. 1G remains, it is considered that the adhesion to the SiC film is improved and the leak current between the wirings in the same layer can be reduced. .
[0046]
(Embodiment 2)
Embodiment 2 of the present invention will be described with reference to FIG.
[0047]
2A to 2H are cross-sectional views showing the steps of a semiconductor device and a method of manufacturing the same according to the second embodiment of the present invention.
[0048]
First, as shown in FIG. 2A, a barrier metal 2 made of TaN and Cu (copper) 3 are formed on a 600 nm-thick first SiOC film 19 (C-containing silicon oxide film) formed on a silicon substrate (not shown). Is formed to have a depth of 300 nm. Then, in order to prevent the diffusion of Cu into the upper layer of the trench wiring, a 50 nm-thick SiC film 4 is formed. Further, a second SiOC film 20 having a thickness of 600 nm is formed on the SiC film 4, and an SiO 2 film 25 containing no C is formed thereon to a thickness of 60 nm. Then, an antireflection film 6 made of an organic compound is formed thereon to a thickness of 100 nm in order to prevent pattern formation failure due to light reflected from the underlying wiring during photolithography when forming a via hole pattern. Then, a via hole pattern is formed with a photoresist 7 having a thickness of 600 nm.
[0049]
Next, as shown in FIG. 2B, for example, dry etching of the second SiOC film 20 is performed to the upper part of the SiC layer 4 by plasma of a mixed gas such as CF 4 + Ar + O 2 + N 2 to open the via hole 8. I do. The reason why dry etching is stopped in the SiC layer 4 is to prevent damage to the Cu film surface during ashing for removing the resist or cleaning for removing the polymer, which is performed later. At this time, as the ashing gas, as for example does not enter the second SiOC film 20 as possible damage, ashing is performed with an O 2 plasma low pressure of about 100 mT. At this time, the organic group (CH 3 ) of the second SiOC film 20 on the inner surface of the via hole 8 is oxidized, and a deteriorated layer 21 having a low density and a composition close to SiO 2 is formed to a thickness of 50 nm. The altered layer 21 is a porous layer, and has a higher relative dielectric constant than the second SiOC film 20 before being altered.
[0050]
Next, as shown in FIG. 2C, the semiconductor device is heated to 400 ° C., and at the same time, the electron beam irradiation unit 22 irradiates an electron beam 23 of about 25 keV and about 500 μC / cm 2 . Then, the deteriorated layer 21 is cured, and a cured layer 24 can be formed as shown in FIG. In the hardened layer 24, Si—O and CC bonds increase, for example, the hardness of the film increases from 1.0 to 2.2 Gpa. Along with this, the film density increased from 1.25 g / cm 3 to 1.34 g / cm 3 in the case of the curing treatment only at 400 ° C. annealing. In this electron beam irradiation treatment, the relative dielectric constant of the film can be kept at about 2.9 up to about 1000 μC / cm 2 , but when the irradiation is performed further, the polymerization of the film proceeds and the film becomes harder, but the Si—CH 3 bond Disappears, and the relative dielectric constant increases by the amount of the Si—O bond. In addition, although the temperature was set to 400 ° C., heating may not be performed, or heat treatment at about 200 ° C. may be performed.
[0051]
Next, as shown in FIG. 2E, an antireflection film 10 made of an organic compound is formed to a thickness of 100 nm. Then, in order to form a trench wiring pattern on the via hole 8, a photoresist 11 is formed to a thickness of 600 nm, and is exposed using an ArF exposure device. At this time, diffusion of N (nitrogen) mixed in the second SiOC film 20 and the SiC film 4 into the via hole 8 is blocked by the high-density hardened layer 24 and the SiO 2 film 25, so that poisoning does not occur. . In addition, since the cured layer 24 and the SiO 2 film 25 have high densities, there is no possibility that N in the process gas or the cleaning liquid during ashing, etching, or polymer removal will be occluded.
[0052]
Next, as shown in FIG. 2F, after forming the wiring groove 13 by etching, the photoresist 11 and the antireflection film 10 are removed. Thereafter, the entire surface is etched back by dry etching using a plasma of CF 4 + Ar + O 2 without a mask, the SiC film 4 at the bottom of the via hole 8 is etched, and the surface of Cu 3 is etched as shown in FIG. Expose. At this time, the SiO 2 film 25 is etched, but there is no problem whether or not it is completely removed (the SiO 2 film 25 remains in FIG. 2G).
[0053]
Finally, as shown in FIG. 2H, the barrier metal 14 and the Cu 15 are buried in the wiring groove 13 and the via hole 8 by combining the sputtering method and the plating method, and the wiring is formed by CMP. Thereafter, a 50 nm SiC film 17 is deposited.
[0054]
By repeating the above manufacturing steps, it is possible to prevent a decrease in the yield of the wiring pattern due to the poisoning defect. In addition, by providing the SiO 2 film 25 on the second SiOC film 20 in advance, the quality of the second SiOC film 20 is prevented from being deteriorated, and the same is caused by the cured layer 24 which is a deteriorated layer of the SiOC film. It is possible to prevent occurrence of a defect such as an increase in leakage current between layer wirings.
[0055]
Note that the first SiOC film 19 and the second SiOC film 20 are insulating films having a low dielectric constant of about 2.7.
[0056]
In the case where the entire SiO 2 film 25 can be removed in the step of etching back the entire surface of FIG. 2F, the electron beam irradiation may be applied to only the via hole 8 instead of the entire semiconductor device.
[0057]
In the case where the SiO 2 film 25 of FIG. 2G remains, the SiC film is compared with the case where the second SiOC film 20 and the hardened layer 24 of the first embodiment are exposed. It is conceivable that the adhesion to the film is improved and the leak current between the wirings in the same layer can be reduced.
[0058]
【The invention's effect】
The wiring of the semiconductor device of the present invention hardens the deteriorated layer of the low dielectric constant film in the via hole by irradiating an electron beam after opening the via hole. As a result, it is possible to prevent the gas or moisture containing N contained in the low dielectric constant film from coming out into the via hole during the exposure process, and to prevent the poisoning defect at the time of pattern formation. it can.
[0059]
When an appropriate amount of electron beam is irradiated on the low dielectric constant film, the film density can be increased and the film can be hardened without increasing the relative dielectric constant. This is because the Si—CH 3 bonds in the film decrease, the Si—O and CC bonds increase, and the film strength increases. According to the present invention, this treatment is to increase the film density of the damaged layer and prevent N adsorption and permeation while suppressing damage to the low dielectric constant film and increase in relative dielectric constant.
[0060]
In such a layer, the film becomes deformed or brittle to the extent that the film is hardened, the adhesion to the upper cap film is deteriorated, and there is a possibility that the leak current between wirings may be increased. By providing a SiO 2 film that does not include the upper part of the low dielectric constant film, damage from ashing and etching can be suppressed.
[Brief description of the drawings]
FIG. 1 is a process sectional view of a method of manufacturing a semiconductor device according to a first embodiment of the present invention; FIG. 2 is a process sectional view of a method of manufacturing a semiconductor device according to a second embodiment of the present invention; Process sectional view of a conventional semiconductor device manufacturing method
1 first low dielectric constant film 2 barrier metal 3 Cu
Reference Signs List 4 SiC film 5 Second low dielectric constant film 6 Antireflection film 7 Photoresist 8 Via hole 9 Altered layer 10 Antireflection film 11 Photoresist 12 Poisoning 13 Wiring groove 14 Barrier metal 15 Cu
Reference Signs List 17 SiC film 18 Remaining insulating film 19 First SiOC film 20 Second SiOC film 21 Altered layer 22 Electron beam irradiation unit 23 Electron beam 24 Hardened layer 25 SiO 2 film

Claims (13)

半導体基板上に形成された低誘電率膜上に接続孔を形成する工程(a)と、
前記低誘電率膜の表面と前記接続孔内に露出した前記低誘電率膜の表面の膜密度を上げる工程(b)と、
前記工程(b)の後に少なくとも前記接続孔を含む領域に開口部を有するレジストパターンを形成する工程(c)とを有する半導体装置の製造方法。
(A) forming a connection hole on a low dielectric constant film formed on a semiconductor substrate;
(B) increasing the film density of the surface of the low dielectric constant film and the surface of the low dielectric constant film exposed in the connection hole;
Forming a resist pattern having an opening at least in a region including the connection hole after the step (b).
前記低誘電率膜がSi、O及びCを主成分とすることを特徴とする請求項1記載の半導体装置の製造方法。2. The method according to claim 1, wherein the low dielectric constant film mainly contains Si, O and C. 前記工程(b)は電子線を前記低誘電率膜の表面及び接続孔内に露出した前記低誘電率膜の表面に照射することを特徴とする請求項1記載の半導体装置の製造方法。2. The method according to claim 1, wherein in the step (b), an electron beam is irradiated on a surface of the low dielectric constant film and a surface of the low dielectric constant film exposed in a connection hole. 前記工程(b)は前記低誘電率膜の表面と前記接続孔内に露出した前記低誘電率膜の表面にSi−O及びC−C結合を形成することを特徴とする請求項1記載の半導体装置の製造方法。2. The method according to claim 1, wherein in the step (b), Si-O and CC bonds are formed on the surface of the low dielectric constant film and the surface of the low dielectric constant film exposed in the connection hole. A method for manufacturing a semiconductor device. 半導体基板上に形成された低誘電率膜上に絶縁膜を形成する工程(a)と、
前記低誘電率膜及び前記絶縁膜に接続孔を形成する工程(b)と、
前記接続孔内に露出した前記低誘電率膜の表面の膜密度を上げる工程(c)と、
前記工程(c)の後に少なくとも前記接続孔を含む領域に開口部を有するレジストパターンを形成する工程(d)とを有する半導体装置の製造方法。
(A) forming an insulating film on a low dielectric constant film formed on a semiconductor substrate;
Forming a connection hole in the low dielectric constant film and the insulating film (b);
(C) increasing the film density of the surface of the low dielectric constant film exposed in the connection hole;
Forming a resist pattern having an opening at least in a region including the connection hole after the step (c).
前記低誘電率膜がSi、O及びCを主成分とすることを特徴とする請求項5記載の半導体装置の製造方法。6. The method according to claim 5, wherein the low dielectric constant film contains Si, O, and C as main components. 前記工程(c)は電子線を接続孔内に露出した前記低誘電率膜の表面に照射することを特徴とする請求項5記載の半導体装置の製造方法。6. The method according to claim 5, wherein in the step (c), the surface of the low dielectric constant film exposed in the connection hole is irradiated with an electron beam. 前記工程(c)は前記接続孔内に露出した前記低誘電率膜の表面にSi−O及びC−C結合を形成することを特徴とする請求項5記載の半導体装置の製造方法。6. The method according to claim 5, wherein in the step (c), Si-O and CC bonds are formed on the surface of the low dielectric constant film exposed in the connection hole. 半導体基板上に形成された低誘電率膜と、
前記低誘電率膜に形成された接続孔と、
前記接続孔を含む領域に形成された配線溝と、
前記接続孔及び前記配線溝に金属を埋め込み形成された配線と、
前記低誘電率膜及び前記配線の上層に形成されたキャップ層とを有し、
前記接続孔の内壁表面及び前記低誘電率膜と前記キャップ層との界面の前記低誘電率膜が前記低誘電率膜の他の部分よりもSi−O、C−C結合が多く、密度が高くなっていることを特徴とする半導体装置。
A low dielectric constant film formed on a semiconductor substrate,
A connection hole formed in the low dielectric constant film;
A wiring groove formed in a region including the connection hole,
A wiring formed by burying a metal in the connection hole and the wiring groove;
Having a cap layer formed on the low dielectric constant film and the wiring,
The low-k film on the inner wall surface of the connection hole and the interface between the low-k film and the cap layer has more Si-O and CC bonds than other portions of the low-k film, and the density is low. A semiconductor device characterized by being higher.
前記低誘電率膜が、Cを含むシリコン酸化膜であることを特徴とする、請求項9に記載の半導体装置。10. The semiconductor device according to claim 9, wherein the low dielectric constant film is a silicon oxide film containing C. 半導体基板上に形成された低誘電率膜と、
前記低誘電率膜上に形成された絶縁膜と、
前記低誘電率膜と前記絶縁膜に形成された接続孔と、
前記接続孔を含む領域に形成された配線溝と、
前記接続孔及び前記配線溝に金属を埋め込み形成された配線と、
前記絶縁膜及び前記配線の上層に形成されたキャップ層とを有し、
前記接続孔の内壁表面の前記低誘電率膜が前記低誘電率膜の他の部分よりもSi−O、C−C結合が多く、密度が高くなっていることを特徴とする半導体装置。
A low dielectric constant film formed on a semiconductor substrate,
An insulating film formed on the low dielectric constant film,
Connection holes formed in the low dielectric constant film and the insulating film,
A wiring groove formed in a region including the connection hole,
A wiring formed by burying a metal in the connection hole and the wiring groove;
A cap layer formed on the insulating film and the wiring,
A semiconductor device, wherein the low dielectric constant film on the inner wall surface of the connection hole has more Si-O and CC bonds and a higher density than other parts of the low dielectric constant film.
前記低誘電率膜が、Cを含むシリコン酸化膜であることを特徴とする、請求項11に記載の半導体装置。The semiconductor device according to claim 11, wherein the low dielectric constant film is a silicon oxide film containing C. 前記絶縁膜が、Cを含まない絶縁膜であることを特徴とする請求項11に記載の半導体装置。The semiconductor device according to claim 11, wherein the insulating film is an insulating film containing no C.
JP2003003023A 2003-01-09 2003-01-09 Semiconductor device and manufacturing method therefor Pending JP2004221104A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003003023A JP2004221104A (en) 2003-01-09 2003-01-09 Semiconductor device and manufacturing method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003003023A JP2004221104A (en) 2003-01-09 2003-01-09 Semiconductor device and manufacturing method therefor

Publications (1)

Publication Number Publication Date
JP2004221104A true JP2004221104A (en) 2004-08-05

Family

ID=32894407

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003003023A Pending JP2004221104A (en) 2003-01-09 2003-01-09 Semiconductor device and manufacturing method therefor

Country Status (1)

Country Link
JP (1) JP2004221104A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335847A (en) * 2003-05-09 2004-11-25 Mitsubishi Electric Corp Method for manufacturing semiconductor integrated circuit wafer
WO2007032563A1 (en) * 2005-09-16 2007-03-22 Nec Corporation Wiring structure and semiconductor device and production methods thereof
JP2009117743A (en) * 2007-11-09 2009-05-28 Panasonic Corp Semiconductor device and method of manufacturing same
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335847A (en) * 2003-05-09 2004-11-25 Mitsubishi Electric Corp Method for manufacturing semiconductor integrated circuit wafer
WO2007032563A1 (en) * 2005-09-16 2007-03-22 Nec Corporation Wiring structure and semiconductor device and production methods thereof
US8039921B2 (en) 2005-09-16 2011-10-18 Nec Corporation Wiring structure, semiconductor device and manufacturing method thereof
US8592283B2 (en) 2005-09-16 2013-11-26 Renesas Electronics Corporation Wiring structure, semiconductor device and manufacturing method thereof
JP5355892B2 (en) * 2005-09-16 2013-11-27 ルネサスエレクトロニクス株式会社 Wiring structure, semiconductor device and manufacturing method thereof
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
JP2009117743A (en) * 2007-11-09 2009-05-28 Panasonic Corp Semiconductor device and method of manufacturing same

Similar Documents

Publication Publication Date Title
US7611986B2 (en) Dual damascene patterning method
US7217654B2 (en) Semiconductor device and method of manufacturing the same
US7208408B2 (en) Method for fabricating a dual damascene contact in an insulating film having density gradually varying in the thickness direction
US6898851B2 (en) Electronic device manufacturing method
JP3323055B2 (en) Semiconductor device and manufacturing method thereof
TWI423330B (en) Method for selective removal of damaged multi-stack bilayer films
US20110183518A1 (en) Method of manufacturing a semiconductor device
US20020106891A1 (en) Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
JP2006128543A (en) Method for manufacturing electronic device
JP2005072384A (en) Method for manufacturing electronic device
US20060163730A1 (en) Electronic device and its manufacturing method
JP2005094014A (en) Formation of low-resistance via contact in interconnection structure
JP2005294525A (en) Manufacturing method of semiconductor device
JP2006128542A (en) Method for manufacturing electronic device
US20040175933A1 (en) Method of forming wiring structure
JPH10303295A (en) Manufacture of semiconductor device
US6716741B2 (en) Method of patterning dielectric layer with low dielectric constant
JP2004221104A (en) Semiconductor device and manufacturing method therefor
JP2004260001A (en) Method for manufacturing semiconductor device
JP2004006708A (en) Method for manufacturing semiconductor device
JP2004207604A (en) Semiconductor device and its manufacturing method
KR100391992B1 (en) METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER
JP2006133315A (en) Planarization material, anti-reflection coating formation material, and method of manufacturing semiconductor device using them
JP2005005697A (en) Manufacturing method of semiconductor device
JP2006269580A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20051017

Free format text: JAPANESE INTERMEDIATE CODE: A621

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20051114

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080129

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080603