JP2006037229A - Pecvd膜の改善された堆積反復性 - Google Patents

Pecvd膜の改善された堆積反復性 Download PDF

Info

Publication number
JP2006037229A
JP2006037229A JP2005202149A JP2005202149A JP2006037229A JP 2006037229 A JP2006037229 A JP 2006037229A JP 2005202149 A JP2005202149 A JP 2005202149A JP 2005202149 A JP2005202149 A JP 2005202149A JP 2006037229 A JP2006037229 A JP 2006037229A
Authority
JP
Japan
Prior art keywords
substrate
film
substrates
processing
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005202149A
Other languages
English (en)
Inventor
Furuta Gaku
フルタ ガク
Tae Kyung Won
キュン ウォン タエ
M White John
ジョン エム. ホワイト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006037229A publication Critical patent/JP2006037229A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

【課題】 プロセスチャンバ内で順に一連の基板を処理する間、均一なPECVD堆積速度を可能にする一貫した反応チャンバ環境を提供する。
【解決手段】 我々は、多くの基板が堆積チャンバ内で連続して順に処理される場合に、膜の化学気相堆積(CVD)の堆積速度の均一性を改善する方法を有する。本方法は、堆積チャンバ内に基板が存在する場合に、基板を取り囲んでいる処理容積内の少なくとも1つの処理容積構造体をプラズマ予熱することを含んでいる。我々は、また、堆積チャンバ内で連続して順に多くの基板の処理の最初に数枚の基板の堆積時間を調整するデバイス制御法を有するので、堆積した膜厚が一連の基板処理中に本質的に一定に保たれる。これらの方法を単一方法に組合わせると、基板から基板までの平均膜厚を制御する点で最良の結果が得られる。
【選択図】 図1A

Description

発明の背景
[0003]1.発明の分野
[0004]本発明は、一般的には、酸化シリコンの薄層を含む物質を製造する化学気相堆積の分野に関する。特に、本発明は、同一の処理チャンバにおいて順に処理される一連の基板上に酸化シリコン層/膜の堆積を制御する方法及び装置に関する。
[0005]2.背景技術の簡単な説明
[0006]化学気相堆積(CVD)は、典型的には、液体又は固体前駆物質から蒸気を生成するとともに蒸気が反応して膜を形成する基板の表面(典型的には加熱された)にこれら蒸気を分配することにより、基板上に膜を形成する方法である。化学気相堆積のシステムは半導体製造のような用途に使われ、CVDは半導体の薄膜、誘電体、金属層を形成するために使われる。プラズマ増強型化学気相堆積(PECVD)は、デバイス品質TEOS系酸化シリコン(SiOx)膜を得るために用いられる最も一般的な堆積法である。当該技術の現在の状況においては、単一チャンバで連続的に処理される一連の基板の場合、TEOS系酸化シリコン堆積速度は、基板から基板までに生ずるPECVD反応チャンバの変化があることから、続いての各基板処理が徐々に増加する。一連の基板処理中に均一な堆積速度の欠如によって、デバイス性能及び/又はプロセス歩留まりの点で負に影響する。堆積した膜厚の潜在的な変動を適合させるために、デバイス設計は負に影響する。かなりの制限がデバイス設計に課せられなければならない。
[0007]例として、基板がゲート絶縁膜厚が臨界値である薄膜トランジスタ、又はダイオードのようなデバイスである場合、堆積した膜の厚さの変動は設計性能にかなり影響する。そのような場合、単一チャンバにおいて順に処理される基板の堆積速度の変化は、堆積した膜厚の狭い許容限界を早い段階で破ることがある。膜の堆積速度の一貫性と、一処理基板から次への反復性の改善を援助する一つの要因は、PECVD反応チャンバの頻繁な洗浄である。基板処理の間、堆積物質は反応チャンバの内部壁と他の領域に付着し、それによって続いての処理可変部に影響する。湿式洗浄と乾式洗浄双方を含む反応チャンバ内からこの堆積した物質を洗浄する多くの方法が存在する。湿式洗浄においては、反応チャンバは開放され、チャンバ表面は手で洗浄される。手動洗浄は非常に時間を使い、基板処理量に負に影響する。乾式洗浄が反応チャンバを分解する必要のないインサイチュ洗浄プロセスであることから、湿式洗浄法より改善されている。典型的には、乾式洗浄は、必要とされる時だけ適用される湿式洗浄と共に頻繁に用いられる。
[0008]処理チャンバの乾式洗浄法の多くの例が当該技術の現況に存在し、いずれも本発明の譲受人に譲渡された米国特許第5,753,137号と同第5,158,644号を含み、一般的にはチャンバから容易に取り除かれるガス状反応生成物を生成する反応チャンバ内で汚染物質と洗浄化学種を反応させる方法に関する。洗浄の必要とされる頻度を減少させる他の方法は、反応処理ガスによる化学的攻撃からチャンバ壁を保護する炭素物質でチャンバ壁をコーティングすること(米国特許第5,085,727号);前記チャンバ内のポリマー蓄積を防止するチャンバに犠牲的な構造体を加えること(米国特許第4,786,359号)を含んでいる。基板処理後の基板処理チャンバの頻繁な補修洗浄は、処理チャンバ内の動作の変化を減少させる。
[0009]しかしながら、処理チャンバ表面が洗浄された条件で維持される場合でさえ、一連の基板がプロセスチャンバにおいて順に処理されるときにPECVD堆積膜の堆積速度においてなお著しい変化がある。他のプロセス可変部は、順に一連の基板が処理される間の膜堆積速度に影響する。
[0010]堆積した膜厚が比較的に一定に保持されるかなりの製品歩留まりを達成するために、当業者は処理された各基板の堆積時間の手での調整に頼ってきた。このことはかなりの労力を強いることであり、誤差を受けやすい。
[0011]反応チャンバ内の供給源ガスの堆積速度は、少なくとも圧力、ガス組成、電力、時間、温度を含む多くの変数の関数である。(例えば、Kim,EJ,Gill,WN,分離ガスインジェクターリアクタにおけるTEOS/オゾンからSiO2 CVDのモデリング,Korean J.Chem.Eng,15(1),56-63(1998)とその中の文献を参照のこと。)堆積速度の可変性を制御する多くの方法は、これらの変数を制御するための物質と方法を提供するものである。一般に、これらの方法は、堆積物質の蓄積を引き起こすあらゆる負の影響を相殺するために作用する反応チャンバ内に化学的雰囲気を与えるものである。一例は、米国特許第6,723,660B1号であり、基板処理中に反応チャンバ内の圧力を制御することにより安定な膜の薄膜を形成するために供給源ガス堆積速度の変動を減少させるための方法が記載されている。この特許には、供給源ガス分配機構(シャワーヘッド)の温度の増加が基板上に堆積される供給源ガスの性質の変化をどのように引き起こされるかが記載されている。文献には、このような温度制御デバイスの複雑な力学が前記供給源ガスの分配に負に影響することから、シャワーヘッドの温度増加を防止するために反応チャンバに温度制御デバイスを付加することが適していないことが述べられている。従って、‘660特許の方法は、処理チャンバ内の圧力を調整することによってシャワーヘッド温度の上昇を無効にし、それによって堆積速度が安定し、基板処理における変動が減少する。しかしながら、この方法は化学気相堆積技術が圧力変化に非常に敏感であるということに制限されるので、堆積速度における変動を相殺するために圧力でなし得る狭い範囲の調整だけである。
[0012]従って、プロセスチャンバ内で順に一連の基板を処理する間、均一なPECVD堆積速度を可能にする更に一貫した反応チャンバ環境を提供することが当該技術において求められている。更に、半導体業界において膜のPECVD堆積中に改善された基板処理量が当該技術において求められている。
発明の概要
[0014]我々は、多くの基板が堆積チャンバ内で一連に連続して処理される場合、化学気相堆積(CVD)膜、特にプラズマ増強型化学気相堆積(PECVD)膜の堆積速度の反復性を改善する方法を発見した。我々は、また、大基板全体に堆積した膜の均一性を改善することを可能にする。膜堆積速度の反復性の改善のほかに、我々は、堆積される膜厚が基板から基板まで一貫するように、順に一連の基板を処理する間に膜堆積時間を調整するデバイス制御された方法を開発した。反復性堆積速度と堆積時間調整の組合わせは、堆積した膜の膜厚と均一性について制御を高めつつ、膜堆積チャンバを洗浄する前に処理することができる基板数の大幅な増加を可能にした。本方法は、堆積チャンバの洗浄前に少なくとも10基板、典型的には実質的に10を超える基板の処理を可能にする。本方法の最も重要な態様の一つは、堆積する膜が形成される更に一定の熱条件を供給することである。本方法は、プロセスチャンバの洗浄後に処理される一連の最初の基板がCVD堆積膜に必要とされる膜厚と均一性を満たすことができない可能性を避け、減少もさせる。処理チャンバを洗浄することにより、湿式洗浄又は化学的反応性プラズマを用いた洗浄のどちらかを意味する。PECVD堆積酸化シリコン膜に関して、このような化学的反応性洗浄プラズマは、例えば、NF3プラズマである。
[0015]堆積チャンバにおいて順に処理される一連の基板の場合、化学気相堆積によって堆積した膜の基板全体に化学気相堆積速度の統一と厚さの均一性を改善する方法は、堆積チャンバ内に基板があるプラットフォームを予熱することと;基板が基板支持プラットフォーム上にある場合に、基板を取り囲む処理チャンバ容積内の少なくとも1つの処理容積構造体を予熱することを含んでいる。典型的には、少なくとも1つの処理容積構造体は、基板が処理チャンバ(例えば、ガス分配プレート)内に存在する場合に、側壁構造体と基板の上に横たわっている構造体からなるグループより選ばれ、プロセス容積内の少なくとも1つの前記予熱は、プラズマ化学種による物理的衝撃を用いて達成される。典型的には、プラズマ化学種は、基本的には化学的に不活性であるが、特例としてプラズマ化学種は反応性化学種を含むことができる。
[0016]任意により、基板支持プラットフォームに載置される基板は、プラットフォーム上に載置する前に予熱することができる。また任意により、基板の上面は、プラズマ化学種による物理的衝撃によりプラットフォーム上の定位置で加熱することができる。基板上面がプラットフォーム上の定位置でプラズマ加熱されるときの例においては、基板表面加熱の物理的衝撃を与えるために用いられるプラズマは、基板表面に対する潜在的な害を減少させるように選ばれる。このことは、非不活性プラズマ化学種の使用を必要とするものである。例えば、我々は、シリコン、ポリシリコン、又はアモルファスシリコン基板表面に衝突させるためのアルゴンプラズマの使用は、その基板上の酸化シリコン誘電体層の体積時に連続して形成されるインタフェースに負に影響することを発見した。このようなインタフェース損傷を避けるために、酸素を含むプラズマが基板表面の物理的衝撃加熱のための化学種を供給するために用いることができる。
[0017]プロセスチャンバ容積構造体は、一連の基板処理中にプラズマ処理によって定期的加熱(ある洗浄を同時に施すことができる)を必要とするので、加熱するためのプロセスチャンバ容積構造体のプラズマ処理と、基板表面の加熱が同時に行うことができる。
[0018]処理容積構造体を加熱するために用いられるプラズマが前もって処理された基板上の膜堆積中に処理容積構造体上に蓄積された残留膜堆積副生成物を同時に取り除く場合に有利である。このことを記載するために、我々は処理容積構造体の加熱/洗浄に言及する。典型的には、しかし任意により、プロセス容積構造体予熱は処理チャンバへ基板を装填する前に実施される。このことは、予熱プロセス中に基板汚染を防止するためである。
[0019]本発明の第一実施形態は、処理チャンバがチャンバ内の内部にプラズマを生成するか又は遠隔で生成されたプラズマを導入する場合に、堆積される膜が膜堆積チャンバ内でプラズマの利用可能性を必要とする熱環境を制御することによって一定の膜堆積速度を得ることに関する。しかしながら、ある例においては、プラズマがチャンバ内で生成される場合、処理容積表面と接触する時間にイオンの形であり又はさらに高いエネルギーレベルにあるプラズマの割合が増加するにつれて有利である。
[0020]一貫した膜厚を得ることに関する本発明の第二実施形態は、順に処理される一連の基板において基板の一部の膜堆積時間を調整するための制御システムの利用可能性を必要とする。
[0021]最良の結果(連続して処理される一連の基板の基板表面全体に一定の膜厚を得る点で)は、処理された基板の全ての熱環境の制御と、基板の一部の膜堆積時間の調整と双方組合わせた方法によって得られる。典型的には、熱環境と膜堆積時間の双方が処理される最初の数枚の基板に処理され、熱環境と一定の堆積時間の制御が連続して処理される基板の残りに十分である。
[0022]処理チャンバにおける上処理容積表面は、平行プレートPECVD装置のアノードとすることができる。アノードは、しばしば膜を堆積するために用いられるガスが流れるガス分配プレートである。PECVD膜堆積中に基板があるプラットフォームは、カソードであることができる。前述のように、PECVD堆積チャンバのアノードとカソードは、多くの例でチャンバ表面を洗浄しつつ、処理チャンバの処理容積を予熱するために用いられる処理チャンバにプラズマを生成するために用いることができる。
[0023]プラズマは、構造体の表面に衝突することによって処理容積構造体の温度を増加させるので、これらの構造体はこの方法で容易に加熱され、プラズマと接触によって有害な微粒子汚染物質を生じない物質から構成される必要がある。例えば、処理チャンバがアルミニウムであるとき、ライナーが典型的にはアルミニウムに隣接して用いられ、ライナーは、高エネルギー化学種との衝撃によって加熱されるものである(保護コーティングが処理チャンバ又は成分の表面に直接適用することができる)。ライナー又は保護コーティングは、典型的には、陽極酸化コーティング又は他のセラミックコーティングがアルミニウム表面上に適用されたセラミック又はアルミニウムのような物質から構成される。
[0024]化学気相堆積によって堆積した膜の基板を全体に膜堆積速度の一貫性と膜均一性を改善する上記の方法の場合、当業者は、本開示を考慮して、基板表面の領域における熱環境を制御するために多くの異なる技術を用いることができる。単なる例として限定ではなく、基板は処理チャンバへ移す前に予熱することができる。別法においては、基板表面はプラズマ処理によって予熱することができ、基板の下面は支持プラットフォームからの熱伝達によって予熱される。(基板にイオンを引き付けるために、基板があるプラットフォームに電力を印加することによりバイアスを掛けることができる。)他の別法においては、基板は単に支持プラットフォームから熱伝達によって予熱することができる。
[0025]膜堆積チャンバは、チャンバ処理容積表面の予熱又は本明細書に記載される方法で予熱と同時に洗浄を行うためだけにプラズマがリモートプラズマ生成供給源から供給されるCVDチャンバとすることができる。別法においては、膜堆積チャンバは、プラズマがリモートプラズマ生成供給源から内部で生成されるか又は供給され且つプラズマがチャンバ処理容積表面の予熱に用いられるPECVDチャンバとすることができる。これらの場合のどちらも、基板支持プラットフォームは基板に熱を伝達することができるものである。当業者は、本開示を考慮して方法を達成するために用いられる装置を調整する。
発明の詳細な説明
[0037]SiOx膜がTEOS前駆物質を用いてPECVDによって堆積される本発明の実施形態の一つは、本発明の概念を記載し、本発明の特に重要な特徴を示す手段として以下に詳述する。本開示を読んだ後に、CVD又はPECVD処理チャンバ内の基板処理容積表面のプラズマ予熱使用の重要性は、当業者に理解される。更に、膜堆積速度と一連の連続して処理された基板の基板数との間にある経験的な関係の発見は、ソフトウェアで制御された堆積時間を与えるために使用し得る代表的なアルゴリズムの展開を可能にする。基板容積のプラズマ予熱と、処理窓が開くまで最初の数枚の基板の堆積時間を制御するためのソフトウェア使用との組合わせは、基板全体に堆積した膜厚と膜厚均一性に関して最良の制御を与える。
[0038]続いて開示される具体例に関係するデータを作成するために用いられる処理装置は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手できるPECVD反応チャンバであるAKT5500−PXとした。この具体的な処理プラットフォームは、例として限定でなく、TFT−LCDを製造するための低温ポリシリコンPECVD技術の適用に有効である。この処理プラットフォームは単に具体例であり、様々の用途において膜を堆積するための他のCVDとPECVD処理装置の使用が本発明者らによって企図される。出願人の発明は、他のAKTCVD処理チャンバや業界で既知の他のCVDとPECVDの膜堆積装置で膜堆積に適用するときに、基板表面上の更に一貫した膜堆積速度と更に一定の膜堆積を達成するのに有益である。
例示的な基板処理装置
[0040]本明細書に記載されるPECVDプロセスの実施形態例は、カリフォルニア州サンタクララのアプライドマテリアルズ社の子会社であるAKT(登録商標)から入手できる匹敵するプレート処理チャンバAKT(登録商標)PECVD25KAシステムにおいて実施した。システム100は、一般的には、ガス源104に結合された処理チャンバ102を含んでいる。処理チャンバ102は、プロセス容積112を部分的に画成する壁106と底面108を有する。プロセス容積112は、典型的には、処理チャンバ102の内外へ基板140の移動を容易にする壁106におけるポート(図示せず)によって接続される。壁106は、プロセス容積112(種々の排気成分を含む、図示せず)を排気ポートを結合する排気空間114を含むリッドアセンブリ110を支持する。
[0041]温度制御基板支持アセンブリ138は、処理チャンバ102内の中央に配置される。支持アセンブリ138は、処理中にガラス(例であり、限定するものとしてでない)基板140を支持する。基板支持アセンブリ138は、典型的には、抵抗素子のような少なくとも1つの備えられたヒータ132を封入し、その素子は、備えられたヒータ素子132を加熱するために用いられ、支持アセンブリ138とその上に位置する基板140を制御可能に加熱する、電源130に結合される。典型的には、CVDプロセスにおいては、ヒータは、基板の処理パラメータによって、約120℃〜約460℃の間の均一な温度で基板140を維持する。
[0042]一般的には、支持アセンブリ138は、下側126と上側134を有する。上側134は、ガラス基板140を支持する。下側126は、そこに連結されるステム142を有する。ステム142は、支持アセンブリ138をリフトシステム(図示せず)に結合する。そのリフトシステムは、支持アセンブリ138(図示した)を上昇した処理位置と基板を処理チャンバ102へ、また、処理チャンバ102から基板移動を容易する下降位置との間に移動させる。ステム142は、更に、システム100の支持アセンブリ138と他の成分との間にリード線と熱電対リードのコンジットを備えている。
[0043]支持アセンブリ138は、一般的には、リッドアセンブリ110と基板支持アセンブリ138(又はチャンバのリッドアセンブリ内又は近傍の他の電極)との間に配置されるガス分配プレートアセンブリ118に電源122によって供給されるRF電力が支持アセンブリ138とガス分配プレートアセンブリ118との間のプロセス容積112に存在するガスを励起させることができるように、接地される。電源122からのRF電源は、一般的には、化学気相堆積プロセスを動作させるために、基板のサイズに同じ大きさに選ばれる。距離“d”は、基板支持アセンブリ138の上面134とガス分配プレートアセンブリ118の下面131との間の間隔を示している。基板140の厚さを合わせた間隔“d”は、本質的には処理容積112を決定する。間隔“d”は、所望される処理条件を与えるために必要なものとして調整し得る。
[0044]リッドアセンブリ110は、典型的には、ガス源104によって供給されたプロセスガスが処理チャンバ102に導入される挿入ポート180を含んでいる。挿入ポート180は、また、クリーニング源182に結合される。クリーニング源182は、典型的には、解離されたフッ素のような洗浄物質を供給し、処理チャンバハードウェアから堆積副生成物と膜を取り除くために処理チャンバ102内に導入される。
[0045]ガス分配プレートアセンブリ118は、リッドアセンブリ110の内側120に結合される。ガス分配プレートアセンブリ118は、典型的には、例えば、大面積基板の多角形やウェハの円形の、基板のプロフィールに本質的に従って構成される。ガス分配プレートアセンブリ118は、ガス源104から供給されるプロセスガスと他のガスがプロセス容積112に分配される貫通した領域116を含んでいる。ガス分配プレートアセンブリ118の貫通した領域116は、処理チャンバ102にガス分配プレートアセンブリ118を通過するガスの均一な分布を与えるように構成される。
[0046]ガス分配プレートアセンブリ118は、典型的には、ハンガープレート160から掛けられた拡散プレート158を含んでいる。拡散プレート158とハンガープレート160は、或いは単一ユニタリ部材を含むができる。複数のガス経路162は、ガス分配プレートアセンブリ118を通ってプロセス容積112へ前駆物質供給源ガスの所定の分配を可能にするために、拡散プレート158を通って形成される。ハンガープレート160は、リッドアセンブリの拡散プレート158と内部表面120を隔置された離れた関係で維持するので、その間の空間164を画成する。空間164は拡散プレート158の幅全体に一様に分布するようにリッドアセンブリ110を通ってガスを流すので、ガスは中央の貫通した領域116上に一様に供給され、ガス経路162を通って均一な分布で流れる。
[0047]処理容積112の領域において処理チャンバの内部表面を保護しつつ、本発明の使用を可能にするために、典型的には、アルミニウムプロセスチャンバ壁106ことを保護するためにプロセスチャンバライナ190の利用が必要である。チャンバライナ190は、典型的には、セラミック材、例えば、限定としてでない酸化アルミニウムで被覆されたセラミック材又はアルミニウムから構成されている。ライナは、アルゴン、ネオン、クリプトン、キセノン、ヘリウム、窒素(処理される基板によって)及びその組合わせのような本質的に不活性ガスの活性化学種(イオンのような)による衝撃に耐性がある。更に、プラズマと接触することにより表面が加熱することが有利であるある例においては、プラズマは加熱される表面に有益な反応性化学種を含むことができる。更に、処理容積112の内部表面を形成するガス分配プレート118の表面131は、ベアアルミニウム(未変性酸化物コーティング)であってもよく、陽極酸化アルミニウムであってもよい。他のコーティングはアルミニウム表面に適用することができるが、このようなコーティングはCVDコーティング/膜が適用されている基板の汚染源を供給してはならない。基板支持アセンブリ138の上面を保護するシャドウリング148もまた、セラミックのようなプラズマ耐性物質、又はアルミニウムのような金属から形成され、それはガス分配プレート118について前述された種類のプラズマ耐性コーティングでコーティングされている。
発明の方法の例示的実施形態
[0049]一連の基板が処理チャンバ内で連続して処理される場合に、基板上のCVD膜堆積前に処理容積構造体(基板を取り囲んでいる)の予熱が化学気相堆積速度の一貫性を改善することを出願人は発見した。基板全体に膜厚均一性も更に改善される。処理容積構造体の予熱は、典型的には、処理容積構造体と、化学的に反応性でないが加熱すべき処理容積構造体の物理的衝撃に高エネルギー化学種を与えるために供給するプラズマとを接触させることによって実施される。このような構造体は、処理チャンバ102によって前に述べたように、プロセスチャンバライナ190、ガス分配プレート118の下面131、シャドウリング148の表面を含んでいる。
[0050]典型的には、プラズマはアルゴン、窒素、ヘリウム又はその組合わせのような供給源ガスから生成され、チャンバ102の処理制御容積112を望ましい温度にすることと一連の基板処理運転の間、処理制御容積112内に安定な温度を維持をすることの双方に有効であり、それによって更に均一な堆積速度、また、処理後の更に均一な膜堆積厚を与える。
[0051]図1Bは、マルチチャンバ処理システム103を示す概略図であり、図1Aに示される種類のPECVD膜堆積処理チャンバ100を用いている。基板140は、図1Bに示される任意の加熱チャンバ109において予め加熱することができ、又は一別法においては、基板は、業界において既知の技術を用いて、圧力サイクルによってロードロックチャンバ107で予熱することができる。他の別法においては、基板は支持プラットフォーム138(図1Aの)に載るまで予熱されない。しかしながら、処理チャンバ102における付加的な加熱負荷によって、基板とプロセス容積構造体を加熱するために必要とする時間が延びる。任意に加熱された基板は、チャンバ壁116(図1A)におけるスリットバルブ111を通ってチャンバ102の処理容積112(図1A)へ中央基板搬送チャンバ105に位置するロボット113を用いてPECVD膜堆積処理チャンバ102へ搬送される。基板140は、予熱された基板支持プラットフォーム138(以後“プラットフォーム138”)の上面134上に載置され、はめ込み式シャフト部材156(以後“シャフト156”)によって処理チャンバ容積112内に油圧で上げられる。間隔“d”は、プラットフォーム138の上面134とガス分配プレート118(以後“シャワーヘッド118”又は“ガス拡散プレート/シャワーヘッド118”)の下面131の間に作られる。間隔“d”は、基板140の上面141とシャワーヘッド118の下面131の間の第二間隔“d2”を与えるように調整される。プラットフォーム138は、プラットフォーム138の上面134とシャワーヘッド118の下面131の間の基板間隔“d”が典型的には約400ミル(ミリインチ)〜1,500ミルの範囲にあるように基板を持ち上げる。TEOS前駆物質からのこのSiOx堆積の場合、距離“d”は約500ミルとした。
[0052]少なくとも1つの加熱素子132はプラットフォーム138と第一基板を基板上のCVD膜の堆積に所望される温度に加熱する。本発明の例示的実施形態においては、CVD膜は、TEOS前駆物質から堆積した酸化シリコン(SiOx)膜である。CVD膜がTEOS堆積SiOxである場合、加熱素子132の二重制御された設定は、典型的には、プラットフォーム138をプラットフォームの内部の中央領域を約390℃に、プラットフォーム138の外部エッジの周りを約410℃に加熱し、基板140のエッジからの熱損失を相殺し、基板140を約365℃〜約380℃の範囲にある均一な温度範囲に加熱する。デュアルヒータは、基板の外側エッジの周りに著しい熱損失がある大面積基板に特に有効である。
[0053]例示的実施形態においては、基板はTFT−LCDであり、寸法が730mm×920mmであり、均一な処理を必要とする6,716平方センチメートルの表面積を与える。しかしながら、前記方法がSiOx以外の膜のCVDとPECVD堆積にちょうど適用できる種々の大きさの様々な基板に適用できる。更に進歩した処理システムのこれらの基板は、均一な処理を必要とする12,000平方センチメートル〜42,000平方センチメートル(例として、限定としてではなく、)の範囲の表面積に増加する。
[0054]堆積チャンバ102の外部は、堆積チャンバ102の外面に取付けたコイルヒータを用いて約100℃に加熱される。典型的には、堆積チャンバ102は熱伝達率の高いアルミニウムから作られ、堆積チャンバ102の外面を加熱することは、チャンバから周囲に熱損失を減少させるのに有効である。しかしながら、本明細書で前述したように、SiOx膜のPECVD堆積中の基板温度は、約370℃の範囲にある。SiOx膜の堆積中のプロセスチャンバ容積内の周囲構造体への潜在的な熱損失の結果として、プロセスチャンバ内に連続して一連の基板を処理する間、プロセスチャンバ容積温度の変動を減少させるために出願人は本発明を開発した。
[0055]出願人の発明の一実施形態は、基板上のPECVDによるSiOx膜の堆積前に処理チャンバライナ190、シャワーヘッド118の下面131、シャドウリング148のようなプロセス容積構造体の予熱を与える。このことは、処理チャンバ102に搬送する前に基板140を予熱することと、プラットフォーム138を予熱することとの組み合わせで、基板処理中に更に安定した環境を与える。しかしながら、ある例においては、特に基板がプラットフォーム138上に配置される前に予熱されない場合、一連の基板に処理される最初の2枚〜5枚の基板は、トランジスタやダイオードデバイスの用途において誘電体膜の膜層の堆積に所望される反復性の要求を満たさない可変の膜堆積速度を示す。このことは、特に、全基板が堆積した酸化物層の不均一な厚さのために使用できなくなることがあるTFTフラットパネルディスプレイ用途について問題である。
[0056]プロセス容積構造体のプラズマ予熱は、典型的には、約150℃〜約300℃の範囲にある温度にこのような構造体の温度を上げる。プラズマ予熱によって達成される温度は、プラズマ容積構造体が構成される物質や用いられるプラズマ加熱プロセス条件に左右される。前述のように、プラズマ容積構造体の予熱に用いられるプラズマは、典型的には、加熱される基板について本質的に不活性であるプラズマ供給源ガスから生成される。典型的には、このような供給源ガスは、アルゴン、ヘリウム、窒素、キセノン及びその組合わせからなるグループより選ばれるが、例としてで限定としてではない。
[0057]別法においては、基板上面はプラズマ処理によって処理チャンバ内で予熱することができ、基板の下面は支持プラットフォームからの熱伝達によって予熱される。(基板にイオンを引き付けるために、基板があるプラットフォームに電力を印加することにより基板にバイアスをかけることができる。)他の別法においては、基板は支持プラットフォームからの熱伝達によって単独で予熱することができる。基板の上面がプラズマ化学種による衝突によってプラットフォーム上の定位置に加熱される場合、基板表面を加熱するために用いられるプラズマは、基板表面に対して害の少ないように選ばれる。このことは、非不活性プラズマ化学種の使用を必要とする。例えば、我々は、シリコン又はポリシリコン又はアモルファスシリコン基板表面に衝突させるためのアルゴンプラズマの使用が、その表面上の酸化シリコン誘電体層の堆積時に続いて形成されるインタフェースに負に影響することを発見した。このようなインタフェースの損傷を避けるために、酸素を含むプラズマが、基板の物理的衝撃による加熱のための化学種を供給するために用いることができる。処理チャンバ容積構造体が一連の基板処理中にプラズマ処理による定期的な加熱/洗浄処理を必要とするので、加熱/洗浄処理のため処理チャンバ容積構造体のプラズマ処理と、基板表面の加熱を同時に行うことができる。
[0058]プラズマ供給源ガスは、ガス供給源104を接続している少なくとも1つのポートを通って、例えば、上リッド110を通って、次に挿入ポート180を通って、最後にガス分配プレート/シャワーヘッド118を通ってチャンバ102の処理容積112に供給される。
[0059]チャンバライナ190とシャワーヘッド118の表面131、シャドウリング148のような処理容積構造体を加熱するために用いられるプラズマを生成するために、例示的な実施形態の基板が処理された、AKT(登録商標)PECVD5500PXにおいて、典型的には13.56MHzの周波数で、約100W〜約7500Wの範囲にある電圧で、高周波電力(RF電力)が、電源122によってガス分配プレート/シャワーヘッド118に印加される。それ故、電源122は、プラズマ生成プロセス(シャワーヘッド表面積は、基板全体に均一なプラズマ密度が必要とされるために基板表面積にかなり近く合わせる)においてアノードとして働くシャワーヘッド118の基板131上に約1.5×10-2W/cm2〜約1.12W/cm2の範囲にある電力密度を生じる。接地されたプラットフォーム138内の加熱素子132を作動させる電力は、電源130によって印加され、約370℃に基板温度を上昇させる。プラズマ供給源ガスは、前述の条件の存在において処理制御容積112に導入され、プラズマ供給源ガスはイオンを含む高エネルギー化学種に変換されてプラズマを形成する。また、プラズマは基板処理容積112を取り囲む表面と接触し、イオンと他の高エネルギー化学種による物理的衝撃によって処理容積内の少なくとも1つの構造体を加熱する。
[0060]本発明の好適実施形態においては、処理容積構造体の加熱中に基板が膜堆積処理チャンバ内に存在しない場合、アルゴンが言及されたガスの中で最も重い元素であり物理的衝撃により最も迅速に加熱するので、プラズマ供給源ガスはアルゴンとした。アルゴンプラズマ供給源ガスは、約1標準リットル毎分(slm)〜約30slmの範囲にある流量で、処理容積112とともにガス供給源104に接続しているポートを通って処理容積112に導入される。実験用の基板によって得られるデータの場合、アルゴンの流量は3slmに設定した。処理第一基板の場合、加熱プラズマが生成される時間から約5分以内に、処理容積構造体が処理チャンバに基板を搬送するのに許容できる温度であるとみなした。処理容積構造体の正確な温度は不明であるが、推定温度は約250〜約300℃の範囲にある。処理される続いての基板の場合、処理容積の温度が第一基板の処理によって上昇されるので、処理容積構造体のプラズマ加熱処理は約1分短縮された。プラズマ容積構造体のプラズマ予熱中の処理容積112の圧力は、典型的には約100mTorr〜約10Torrの範囲にある。更に典型的には、プラズマ予熱中の処理容積の圧力は、約1Torr〜約3Torrの範囲にある。生成されたプラズマは、典型的には、前述のように、密度が1.5W/cm-2〜約1.12W/cm2の範囲にある。
[0061]処理容積構造体表面の物理的衝撃は、これら表面の温度を上昇させるだけでなく、処理チャンバ102内の減圧条件と組合わせた表面温度上昇が、予め処理された基板上の膜堆積中に処理容積構造体に残された反応副生成物の少なくとも一部の除去を可能にする。このことは、チャンバ洗浄前の一連の連続した基板処理中に、更に一定量の副生成物蓄積がプロセスチャンバ表面上に維持されるので、処理チャンバを更に清浄に保つことを援助する。副生成物蓄積量が熱伝達に影響するので、CVD又はPECVD膜堆積前のプロセス容積表面の予熱は、一連の連続した基板が反応プラズマ及び/又はプロセスチャンバの湿式洗浄の間に処理される場合に、2つの方法で更に一定のプロセス容積温度に維持することを援助する。
[0062]次に、テトラエチルオルソシリケート(TEOS)、O2、ヘリウムの膜形成混合ガスは、ガス供給源104から処理制御容積112に導入される。例示的実施形態においては、これらのガスのプラズマは、典型的には13.56MHzでRF電力発電機を用いて形成、維持され、ここで、印加電力は1000W〜7500Wの範囲にあり、約0.15W/cm2〜0.15W/cm2の範囲にある基板上のプラズマ密度を生成する。ガスの流量は、約100sccm〜約3000sccmのTEOS、約0〜約2000sccmのHe、約1000〜約30,000sccmのO2の範囲にあることができる。
[0063]SiOx膜は基板140上に堆積され、ガス分配プレート/シャワーヘッド118から流れるガス状前駆物質から生成される。膜の供給源ガスは、基板140上のプラズマに入り、プラズマからの活性化学種が基板140の表面131上に吸着されて膜(図示せず)を形成する。膜形成ステップからの副生成物は、基板140の表面131から脱着させる。基板上のTEOS膜の堆積速度と堆積処理時間は、基板140の表面131上の前記堆積膜の厚さを決定する。PECVD膜の堆積時間は、約10秒〜約600秒の範囲にあることができ、具体的な生成の要求に左右される。経済的に望ましい条件下、膜厚が約1000オングストロームである本発明の実施形態のSiOx薄膜の堆積時間は約30秒である。本例における膜厚測定のためにエリプソメータを用いた。
[0064]堆積プロセス後、第一基板は処理制御容積112から取り除かれ、続いて予熱された基板が処理のために処理制御容積112に装填される。本明細書の続いて示されるように、一連の基板における各々の新しい基板を装填する前にプロセス容積周囲構造体を予熱するために基板から基板への一貫した堆積速度の点で更に有利である。しかしながら、少なくとも第一基板、又は最初の数枚の基板を処理する前にプロセス容積構造体を予熱することも同様に有益である。当業者は、一連の基板の連続処理中の更に一貫した堆積速度のために得られる歩留まりの改善に対する処理容積構造体の予熱の時間の費用増加と釣り合わせることができる。第一基板が処理された後、予熱時間量は減少させることができる。例えば、一実施形態においては本発明の場合、処理容積チャンバを取り囲む構造体は、第一基板の装填前に5分間アルゴンプラズマを用いて予熱される。基板を装填する前の続いての予熱は1分短縮された。
[0065]代替的実施形態においては、プラズマ容積構造体を予熱するためのプラズマ処理プロセス時間が一貫して1分である場合、第一基板に関連した予熱プロセスの後、予熱に用いられるプラズマの密度は調整することができる。例えば、一定に保持される変数に関して、プラズマを生成するために用いられるアルゴンのガス流量は約3slmで一定であり、膜堆積中の基板に熱を加えるための電源130に印加される電力は外部加熱素子の約2.5kWであり、2つの内部加熱素子は各々約1.25kWに出力された。プロセスチャンバ圧は約1.5Torrであった。プラズマを生成するために用いられる122の電源への電力は、処理される第一基板と関連するプラズマ容積構造体の予熱プロセス中に1200〜1800Wの範囲であった。続いて、122の電源への電力は、残っている基板の各々の処理に関連するプラズマ容積構造体の予熱が約600Wに減少した。チャンバライナ190とシャワーヘッド118を含む処理容積構造体は、本方法を用いたSiOx膜堆積中に250℃〜300℃の範囲の温度に維持された。
[0066]実施例
[0067]出願人は、プロセス容積構造体の加熱プロセスの潜在的なプラズマ源ガスとして、アルゴン、ヘリウム、窒素の数値を評価した。これらのプラズマ源ガスの各々が裏づけを示したが、最長の連続した一連(10試料)の基板が処理される試験の場合、アルゴンを用いることが決定された。本明細書に示されるデータは、他のプロセス変数がデータに影響を及ぼし、数枚(3試料)の基板だけが順に処理されたときに膜堆積の動作の傾向を明瞭に見ることができなかったことから、これらの長い連続した一連の基板処理に制限される。例えば、基板プラットフォーム138における加熱素子が基板の処理中にオンとオフになり、プラットフォーム138からの熱の入力変動が膜堆積速度に影響する。基板プラットフォーム138におけるヒータサイクルによる堆積速度の変化のパターンは、多くの数の基板が順に処理されるときに無視することができ、処理容積構造体の予熱の利点が明白になる。
[0068]実施例1‐3
[0069]図2A、図2B、図2Cは、プロセス容積周囲構造体の予熱の使用を関数としてPECVD堆積SiOx膜の堆積速度を示す一連のグラフである。図2Aは、TEOS前駆物質から堆積したSiOx膜の堆積速度をオングストローム/分で示したグラフであり、一連の10枚の基板が同一のPECVD処理チャンバにおいて順に処理され、処理容積チャンバ表面のプラズマ予熱処理をしなかった。図2Bは、同一のTEOS前駆物質から堆積したSiOx膜の堆積速度をオングストローム/分で示したグラフであり、第一基板の処理前だけに処理容積チャンバ表面のプラズマ予熱処理した以外は、図2Aの膜堆積中に用いた同様のプロセス条件下で、一連の基板を同一のPECVD処理チャンバにおいて順に処理した。図2Cは、同一のTEOS前駆物質から堆積したSiOx膜の堆積速度をオングストローム/分で示したグラフであり、一連の基板が同一のPECVD処理チャンバにおいて順に処理され、各基板の処理前に処理容積チャンバ表面のプラズマ予熱処理がされた。
[0070]この一連の実施例から、反応性プラズマ洗浄ステップを含まずに順に多くの基板を処理した後、SiOx膜の堆積速度の差と基板表面全体に膜厚の差が見える。
[0071]PECVD堆積チャンバは、使用前に前調整された(使い込まれた)AKT5500PXチャンバとした。前調整は、当該技術において既知の遠隔生成NF3プラズマを用いたプラズマ洗浄後に実施した。前調整は、処理チャンバを一晩中使用されない状態にさせることを含み、その後プラズマを含まずに約2300sccmの流量で5分間TEOSをチャンバ表面上に流した。使い込んでいる間の支持プラットフォームは、内部ヒータ温度を390℃、外部ヒータ温度を410℃に設定した。この使い込むことは、PECVD膜堆積チャンバのNF3プラズマ洗浄後、第一基板処理前のみ実施した。
[0072]図2Aに関して、SiOx膜がPECVDによって適用される10枚の基板を、プロセス容積周囲構造体のあらゆるプラズマ予熱の利点を含めずに処理した。10枚の基板の各々を、処理チャンバをいかなる洗浄もせずに順に処理した。10枚の各々の基板の膜堆積プロセス条件は以下のようにした。TEOSガス流量は850sccm、ヘリウムガス流量は300sccm、酸素流量は10slmであった。2700Wの電力を、処理チャンバ102のガス分配プレート118に印加した。膜堆積中の処理チャンバにおける圧力は、0.95Torrであった。プラットフォーム138の上面134とガス分配プレート118の下面131との間の間隔“d”は、470ミル(0.470インチ)であった。プラットフォーム138の内部加熱素子132の温度は390℃、外部加熱素子(図示せず)の温度は410℃であった。各基板の膜堆積時間は37秒であった。
[0073]図2Aは、軸202に基板処理数、軸204にオングストローム/分でSiOxの堆積速度を示し、曲線206が順に処理された基板数が増加するにつれて増加する堆積速度を示すグラフ200である。データの変動は、オフとオンになる基板支持プラットフォームにおける加熱素子に関連する。しかしながら、曲線206によって示される傾向は、一連の基板の連続した処理中にSiOx膜の堆積速度の一定の増加を示している。SiOx膜の堆積後、各基板表面を図3Aに示されるパターンでエリプソメータを用いて測定した。平均SiOx堆積速度は、平均膜厚測定に基づいて各基板を計算した。図2Aにプロットされたグラフ200に相関するデータは、図3Aのパターンからx軸とy軸の座標によって図3Bに示される表320に示される。平均堆積速度(DR)は324に示され、平均SiOx膜厚は322に示される。このデータはエッジ効果によって影響されるので、図3Bに示されるボックス内のデータは平均膜厚又は平均堆積速度の計算に用いなかった。
[0074]図2Aに示されるグラフと相関する膜堆積速度と膜厚均一性データを下記表1に示す。基板数1〜基板数10間の平均堆積速度の増加は、5.4%であった。
[0075]図2Bに関して、SiOx膜をPECVDによって適用する10枚の基板を、第一基板上にSiOx膜を堆積する前に実施される処理容積周囲構造体における単一プラズマ予熱の利点で処理した。10枚の基板の各々を、プロセスチャンバをいかなる洗浄もせずに順に処理した。プロセス容積周囲構造体の予熱は以下のようにした。予熱した基板のPECVD処理チャンバに導入する前に、5分間プロセス容積周囲構造体にアルゴンプラズマを適用した。ガス拡散プレート118に適用された電力は600W、処理チャンバの圧力は1.5Torr、間隔“d”は1500ミル、アルゴン流量は3slmであった。プロセス容積構造体の推定温度は約390℃の範囲にあった。SiOx膜が堆積されるプロセス条件は、前実施例と同様にした。
[0076]図2Bは、軸222に基板処理数、軸224にオングストローム/分でSiOxの堆積速度を示し、曲線226が順に処理された基板数が増加するにつれて増加する堆積速度を示すグラフ220を示している。SiOx膜の堆積後、各基板表面を図3Aに示されるパターンでエリプソメータを用いて測定した。平均SiOx堆積速度を、前実施例について記載された方法で、平均膜厚測定に基づいて各基板を計算した。
[0077]図2Bに示されるグラフと相関する膜堆積速度と膜厚均一性データを、下記表2に示す。基板数1から基板数10の間で増加する平均堆積速度は、3.8%であった。
[0078]図2Cに関して、SiOx膜がPECVDによって適用された10枚の基板を、処理された10枚の基板の各々のSiOx膜の堆積前に実施したプロセス容積周囲構造体におけるプラズマ予熱の利点で処理した。10枚の基板の各々を、プロセスチャンバのいかなる洗浄もせずに順に処理した。処理チャンバに最初の予熱基板を導入する前に、アルゴンプラズマを処理容積周囲構造体に5分間適用した。プラズマ処理時間は、処理時間の短縮の問題で残りの9枚の基板について1分短縮した。ガス分配プレート118に印加された電力は600W、プロセスチャンバの圧力は1.5Torr、間隔“d”は1500ミル、アルゴン流量は3slmであった。この処理後のプロセスチャンバライナ190とシャワーヘッド118の温度は、約390℃であると推定された。SiOx膜が堆積されるプロセス条件は、前の2つの実施例について示されたものと同様にした。
[0079]図2Cは、軸232に基板処理数、軸234にオングストローム/分でSiOxの堆積速度であり、曲線236が順に処理された基板数が増加するにつれて増加する堆積速度を示すグラフ230を示している。SiOx膜堆積後、各基板表面を図3Aに示されるパターンでエリプソメータを用いて測定した。平均SiOx堆積速度を、前の実施例について記載された方法で平均膜厚測定に基づいて各基板を計算した。
[0080]図2Cに示されるグラフと相関する膜堆積速度と膜厚均一性データを、下記表3に示す。基板数1〜基板数10間の平均堆積速度の増加は、3.4%であった。
Figure 2006037229
Figure 2006037229
Figure 2006037229
[0084]本発明の第一実施形態においては、CVD又はPECVDによる膜堆積前に、少なくとも1つのプロセス容積周囲構造体を予熱すると(一連の基板が同一処理チャンバで連続して処理される場合)、膜堆積速度の不変性を著しく改善し、基板全体の膜均一性を改善すること発見した。この改善は、基板の処理量を増加させるとともに製造歩留まりを高めるために使用し得る。
[0085]本発明の第二実施形態においては、我々は、膜堆積チャンバの湿式洗浄(又は反応性プラズマ洗浄)の直後に基板から基板までの平均膜厚を制御することに関連した問題を解決した。表1から3までのデータ検証から明らかなように、処理された第一基板と第四又は第五基板処理の間の膜堆積速度の著しい増加がある。この後、膜堆積速度はほぼ横ばいである。我々は、最初の数枚の基板の処理中の膜堆積時間を調整するデバイス制御(例えば、コンピュータ制御)法を開発することによって最初の数枚の試料のこの堆積速度増加を相殺することができた。典型的には、最初の4枚〜5枚の基板の堆積時間の調整が限定しないものとして十分であるので、堆積される膜厚が処理された全ての一連の基板全体に基板から基板まで一貫する。
[0086]図4Aは、軸402に基板数(処理数)、軸404に秒で堆積時間が示される、一連の連続して処理された基板のデータを示すグラフ400である。推定平均膜厚は、オングストロームで軸406に示される。曲線408は、一連の基板の処理中に基板から基板までの堆積時間を調整しないときの平均膜厚を示している。曲線410は、曲線408に基づく一貫した膜厚を達成するために必要とされる堆積時間を示している。曲線412は、処理された最初の5枚の基板の堆積時間を制御するためにソフトウェアを用いたときの膜厚を示している。
[0087]図4Bは、図4Aに示されたグラフのデータを支持する表420である。経験的に得られたデータに基づき、SiOx膜のPECVD堆積がTEOS前駆物質を用いて実施されたときの一連の連続して処理された基板の堆積時間を設定するために用いることができる代表的アルゴリズム422を展開した。この代表的アルゴリズムは、例えば(また、限定するものとしてでなく)、処理された最初の5枚の基板の膜堆積時間を制御するために用いられる制御システムにプログラムされる。当業者は、本明細書の開示を考慮して、異なる処理条件及び/又は異なる処理装置を経験的に適用できるアルゴリズムを同様に決定することができ、次に、処理された最初の数枚の基板の堆積時間を制御するために用いられるソフトウェアとしてアルゴリズムを適用することができる。
[0088]反復性堆積速度と堆積時間調整の組み合わせによって、堆積した膜の膜厚と均一性について制御を高めつつ、膜堆積チャンバを洗浄する前に処理することができる基板数を大きく増加が可能になった。本方法によって、化学的反応性プラズマ処理又は湿式洗浄プロセスを用いて堆積チャンバを洗浄する前に少なくとも10枚の基板、典型的に連続して10枚をかなり超える基板の処理が可能である。
[0089]本発明の種々の修正及び変更は当業者に明らかであり、このような修正は、次の特許請求の範囲によって定義される本発明の精神及び範囲から逸脱しない。
図1Aは、膜のPECVD堆積に有効である種類の処理チャンバの断面概略図である。 図1Bは、図1Aに示される種類のプロセスチャンバを使うマルチチャンバ処理システムの概略図である。 図2Aは、TEOS前駆物質から堆積されたSiOx膜のオングストローム/分での堆積速度を示したグラフであり、一連の基板は同一のPECVD処理チャンバにおいて順に処理され、処理容積チャンバ表面のプラズマ予熱処理はなされなかった。 図2Bは、TEOS前駆物質から堆積されたSiOx膜のオングストローム/分での堆積速度を示したグラフであり、一連の基板は同一のPECVD処理チャンバにおいて順に処理され、第一基板の処理前だけに処理容積チャンバ表面のプラズマ予熱処理がなされた。 図2Cは、TEOS前駆物質から堆積されたSiOx膜におけるオングストローム/分での堆積速度を示したグラフであり、一連の基板は同一のPECVD処理チャンバにおいて順に処理され、各基板の処理前に処理容積チャンバ表面のプラズマ予熱処理がなされた。 図3Aは、730mm×920mmのガラス基板全体に膜堆積速度と膜均一性を求めるために作られたSiOx膜厚測定の位置を示すチャートである。 図3Bは、図3Aチャートに示されたmmでxとyの位置に相関する本発明の方法によって堆積したPECVD膜のオングストロームで測定されたSiOx厚さ322を示す表320である。表は、また、膜厚が供給される各位置での膜の堆積速度324(DR)を示している。 図4Aは、一連の連続して処理をされた基板のデータを示すグラフ400であり、基板数(処理数)は軸402に示され、堆積時間、秒単位は軸404に示され、オングストロームでの推定膜厚(堆積速度に基づく平均値)は軸406に示される。曲線408は、一連の基板処理中に基板から基板までの堆積時間の調整がないときの膜厚を示している。曲線412は、ソフトフェアが処理される最初の5枚の基板の堆積時間を制御するために用いられるときの膜厚を示している。 図4Bは、図4Bに示されるグラフの支持データを示した表420である。経験的に得られたデータに基づき、SiOx膜のOPECVD堆積がTEOS前駆物質を用いて実施される場合、一連の連続的に処理された基板の堆積時間を設定するために用いることができる代表的なアルゴリズム422が開発された。この代表的アルゴリズムは、典型的で限定としてではなく、連続して処理された最初の5枚の基板の膜堆積時間を制御するために用いられた制御システム内にプログラムされる。
符号の説明
100…システム、102…処理チャンバ、104…ガス供給源、105…基板搬送チャンバ、106…壁、108底面、110…リッドアセンブリ、111…スリットバルブ、112…プロセス容積、113…ロボット、114…排気空間、116…貫通領域、118…ガス分配プレートアセンブリ、122…電源、126…下側、131…下面、132…ヒータ、134…上側、138…基板支持アセンブリ、140…基板、142…ステム、148…シャドウリング、156…シャフト、158…拡散プレート、160…ハンガープレート、162…ガス通路、164…空間、180…挿入ポート、182…クリーニング源、190…プロセスチャンバライナ。

Claims (20)

  1. 複数の基板が堆積チャンバ内で連続して処理される場合に、SiOx膜の化学気相堆積速度の均一性を改善する方法であって、
    a)基板が前記堆積チャンバ内に残っている基板支持プラットフォームを予熱するステップと、
    b)前記基板が前記基板支持プラットフォーム上に存在する場合に、基板を取り囲んでいる少なくとも1つの処理容積構造体を予熱するステップと、
    を含み、前記少なくとも1つの処理容積構造体が側壁構造体、前記基板が存在する場合にその上に横たわっている構造体、及び前記基板に隣接している挿入構造体からなるグループより選ばれ、前記少なくとも1つの処理容積構造体の前記予熱がプラズマの高エネルギー化学種による物理的衝撃を用いて達成される、前記方法。
  2. 前記方法が追加ステップ:
    c)ので、前記基板が前記予熱した基板支持プラットフォーム上に残るように予熱した基板を前記処理チャンバに搬送するステップ、
    を含む、請求項1記載の方法。
  3. 前記処理容積構造体を予熱するために用いられる前記プラズマが、一連の基板の1枚として処理される基板上に膜堆積する間、該処理容積構造体上に蓄積した残留副生成物の少なくとも一部を除去する、請求項1又は2記載の方法。
  4. 前記方法によって堆積した前記SiOx膜が、プラズマ増強型化学気相堆積を用いて堆積される、請求項1又は2記載の方法。
  5. 前記膜がTEOSを含む前駆ガスの組合わせから堆積される、請求項4記載の方法。
  6. 前記少なくとも1つの処理容積構造体の前記予熱が、前記処理チャンバに基板を搬送した後に実施される、請求項1記載の方法。
  7. 前記少なくとも1つの処理容積構造体の予熱のプラズマ源ガスが、アルゴン、ネオン、クリプトン、キセノン、ヘリウム、窒素及びその組合わせからなるグループより選ばれる、請求項1又は2記載の方法。
  8. 前記少なくとも1つの処理容積構造体の予熱のプラズマ源ガスが酸素を含んでいる、請求項6記載の方法。
  9. 膜堆積速度の均一性を改善することに加えて、前記基板表面全体の膜厚均一性も改善される、請求項1又は2記載の方法。
  10. 前記複数の基板が10枚以上の基板である、請求項1又は2記載の方法。
  11. 複数の基板が堆積チャンバ内で連続して処理される場合に、面積が少なくとも6,000平方センチメートルの基板上に堆積されるSiOx膜の平均膜厚を制御する方法であって、
    a)前記複数の基板の各々の表面上に前記SiOx膜の適度な膜厚を各基板に対して一定の膜堆積時間を用いて堆積させるステップと、
    b)前記複数の基板の各々に対して平均膜厚を経験的に求めるステップと、
    c)各基板の平均膜厚の差に基づいてアルゴリズムを展開するステップであって、該アルゴリズムが基板のシーケンスにおける基板数の関数であり、該アルゴリズムを展開するために用いられる該基板の平均膜厚値が、増加する膜厚に向かう傾向がある平均膜厚に限定される、前記ステップと、
    d)少なくとも10枚の処理中にほぼ一定の平均膜厚を維持する方法で膜堆積時間を制御するために用いられるソフトウェアに前記アルゴリズムを組込むステップと、
    を含む、前記方法。
  12. 前記平均膜厚が約3.5%以下の変動を示す、請求項11記載の方法。
  13. 前記SiOx膜がプラズマ増強型化学気相堆積を用いて堆積した、請求項11記載の方法。
  14. 前記膜が、TEOSを含む前駆ガスの組合わせから堆積される、請求項13記載の方法。
  15. 複数の基板が堆積チャンバ内で連続して処理される場合に、SiOx膜の化学気相堆積速度の均一性を改善する方法であって、
    a)基板が前記堆積チャンバ内に残っている基板支持プラットフォームを予熱するステップと、
    b)前記基板が前記基板支持プラットフォーム上に存在する場合に、基板を取り囲んでいる少なくとも1つの処理容積構造体を予熱するステップであって、前記少なくとも1つの処理容積構造体が側壁構造体、前記基板が存在する場合にその上に横たわっている構造体、及び前記基板に隣接している挿入構造体からなるグループより選ばれ、前記少なくとも1つの処理容積構造体の前記予熱がプラズマの高エネルギー化学種による物理的衝撃を用いて達成される、前記ステップと、
    c)少なくとも10枚の基板の処理中にほぼ一定の平均膜厚を維持する方法で膜堆積時間を制御するために用いられるソフトウェアにアルゴリズムを組込むステップと、
    を含む、前記方法。
  16. 前記平均膜厚が約3.5%以下の変動を示す、請求項15記載の方法。
  17. 前記方法が、前記予熱した基板支持プラットフォーム上に配置する前に前記基板が予熱される追加ステップを含む、請求項15記載の方法。
  18. 前記処理容積構造体を予熱するために用いられる前記プラズマが、一連の基板の1枚として処理される基板上に膜堆積する間、該処理容積構造体上に蓄積した残留副生成物の少なくとも一部を除去する、請求項15又は16記載の方法。
  19. 前記SiOx膜がプラズマ増強型化学気相堆積を用いて堆積した、請求項15又は16記載の方法。
  20. 前記膜がTEOSを含む前駆ガスの組合わせから堆積される、請求項19記載の方法。
JP2005202149A 2004-07-23 2005-07-11 Pecvd膜の改善された堆積反復性 Pending JP2006037229A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/898,472 US7879409B2 (en) 2004-07-23 2004-07-23 Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber

Publications (1)

Publication Number Publication Date
JP2006037229A true JP2006037229A (ja) 2006-02-09

Family

ID=35657514

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005202149A Pending JP2006037229A (ja) 2004-07-23 2005-07-11 Pecvd膜の改善された堆積反復性

Country Status (5)

Country Link
US (2) US7879409B2 (ja)
JP (1) JP2006037229A (ja)
KR (1) KR20060046723A (ja)
CN (1) CN1724704B (ja)
TW (1) TWI281706B (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
US7445726B2 (en) * 2005-09-05 2008-11-04 United Microelectronics Corp. Photoresist trimming process
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US20080292811A1 (en) * 2007-05-25 2008-11-27 Choi Young-Jin Chamber idle process for improved repeatability of films
JP2009188173A (ja) * 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US8393197B2 (en) * 2008-07-24 2013-03-12 Pivotal Systems Corporation Method and apparatus for the measurement of atmospheric leaks in the presence of chamber outgassing
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
CN101824647B (zh) * 2009-03-04 2012-07-25 和舰科技(苏州)有限公司 一种pecvd薄膜沉积的自动化制程控制方法
CN101994097B (zh) * 2009-08-25 2013-08-21 鸿富锦精密工业(深圳)有限公司 镀膜装置
US8188575B2 (en) * 2010-10-05 2012-05-29 Skyworks Solutions, Inc. Apparatus and method for uniform metal plating
KR101452828B1 (ko) * 2012-08-28 2014-10-23 주식회사 유진테크 기판처리장치
TW201425637A (zh) * 2012-11-06 2014-07-01 Applied Materials Inc 用於具有再循環之空間原子層沉積之設備及其使用方法
CN103924191A (zh) * 2013-01-15 2014-07-16 上海北玻玻璃技术工业有限公司 在基片上镀制ito薄膜的方法
US9299558B2 (en) 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
GB201504202D0 (en) 2015-03-12 2015-04-29 Spts Technologies Ltd PE-CVD apparatus and method
JP2017045849A (ja) * 2015-08-26 2017-03-02 東京エレクトロン株式会社 シーズニング方法およびエッチング方法
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
CN108962597B (zh) * 2018-07-18 2020-12-18 清华大学 一种高温高性能电容器薄膜连续生产装置及方法
CN113196449A (zh) * 2018-10-16 2021-07-30 朗姆研究公司 用于薄膜沉积的等离子体增强晶片浸泡
US11613808B2 (en) * 2020-10-22 2023-03-28 Applied Materials, Inc. Clean processes for boron carbon film deposition

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235506A (ja) * 1993-12-27 1995-09-05 Toshiba Corp 薄膜形成法
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
JP2000114256A (ja) * 1998-10-07 2000-04-21 Toshiba Corp 薄膜形成方法
JP2002512440A (ja) * 1998-04-21 2002-04-23 アプライド マテリアルズ インコーポレイテッド 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
JP2003249491A (ja) * 2002-02-26 2003-09-05 Nec Kansai Ltd Cvd装置及び方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
DE3913781A1 (de) * 1989-04-26 1990-10-31 Hoechst Ag Verfahren zur herstellung von nitro-benzoesaeure-alkylestern
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5486235A (en) * 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6294219B1 (en) * 1998-03-03 2001-09-25 Applied Komatsu Technology, Inc. Method of annealing large area glass substrates
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
US6777347B1 (en) * 2001-01-19 2004-08-17 Taiwan Semiconductor Manufacturing Company Method to produce porous oxide including forming a precoating oxide and a thermal oxide
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6716693B1 (en) * 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235506A (ja) * 1993-12-27 1995-09-05 Toshiba Corp 薄膜形成法
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
JP2002512440A (ja) * 1998-04-21 2002-04-23 アプライド マテリアルズ インコーポレイテッド 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
JP2000114256A (ja) * 1998-10-07 2000-04-21 Toshiba Corp 薄膜形成方法
JP2003249491A (ja) * 2002-02-26 2003-09-05 Nec Kansai Ltd Cvd装置及び方法

Also Published As

Publication number Publication date
US7879409B2 (en) 2011-02-01
CN1724704B (zh) 2011-05-04
TW200605196A (en) 2006-02-01
KR20060046723A (ko) 2006-05-17
US20110041873A1 (en) 2011-02-24
US20060019031A1 (en) 2006-01-26
TWI281706B (en) 2007-05-21
CN1724704A (zh) 2006-01-25

Similar Documents

Publication Publication Date Title
JP2006037229A (ja) Pecvd膜の改善された堆積反復性
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
KR101601662B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
CN111989768A (zh) 用于半导体处理的室部件的非原位涂覆
US9062376B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer readable recording medium
US9644265B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer readable recording medium
KR101576135B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US11049730B2 (en) Workpiece processing method
TWI430364B (zh) 薄膜形成裝置及使用其之方法
KR102626138B1 (ko) 피처리체의 처리 방법
JP5897617B2 (ja) 基板処理装置及び半導体装置の製造方法
JP5726281B1 (ja) 基板処理装置及び半導体装置の製造方法
JP2004179426A (ja) 基板処理装置のクリーニング方法
KR20170001587A (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기억 매체 및 프로그램
TWI785095B (zh) 被處理體之處理方法
JP2018024927A (ja) 成膜装置、およびそれに用いるガス吐出部材
KR101577964B1 (ko) 질화 티탄막의 형성 방법, 질화 티탄막의 형성 장치 및 프로그램을 기록한 기록 매체
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
JP6817168B2 (ja) 被処理体を処理する方法
TW202229582A (zh) 改良阻障性質之鈦材料的氮化物覆蓋
US9299558B2 (en) Run-to-run stability of film deposition
WO2020110363A1 (ja) 基板処理方法および基板処理システム
WO2018163399A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080701

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111025