JP2005203569A - Fabrication process of semiconductor device and semiconductor device - Google Patents

Fabrication process of semiconductor device and semiconductor device Download PDF

Info

Publication number
JP2005203569A
JP2005203569A JP2004008418A JP2004008418A JP2005203569A JP 2005203569 A JP2005203569 A JP 2005203569A JP 2004008418 A JP2004008418 A JP 2004008418A JP 2004008418 A JP2004008418 A JP 2004008418A JP 2005203569 A JP2005203569 A JP 2005203569A
Authority
JP
Japan
Prior art keywords
barrier metal
film
thin film
metal thin
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004008418A
Other languages
Japanese (ja)
Other versions
JP2005203569A5 (en
Inventor
Akira Furuya
晃 古谷
Hiroshi Okamura
浩志 岡村
Nobuyuki Otsuka
信幸 大塚
Shinichi Ogawa
真一 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Leading Edge Technologies Inc
Original Assignee
Semiconductor Leading Edge Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Leading Edge Technologies Inc filed Critical Semiconductor Leading Edge Technologies Inc
Priority to JP2004008418A priority Critical patent/JP2005203569A/en
Publication of JP2005203569A publication Critical patent/JP2005203569A/en
Publication of JP2005203569A5 publication Critical patent/JP2005203569A5/ja
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To strike a balance between adhesiveness and diffusion preventive properties of a barrier metal, and to improve controllability of composition in the film thickness direction. <P>SOLUTION: The fabrication process of a semiconductor device comprises a step for forming a TaN film on a substrate using Ta[N(CH<SB>3</SB>)<SB>2</SB>]<SB>5</SB>gas and NH<SB>3</SB>gas for reducing the Ta[N(CH<SB>3</SB>)<SB>2</SB>]<SB>5</SB>gas, and a step for forming a Ta film on the TaN film formed by the TaN film forming step using the Ta[N(CH<SB>3</SB>)<SB>2</SB>]<SB>5</SB>gas and He/H<SB>2</SB>gas for reducing the Ta[N(CH<SB>3</SB>)<SB>2</SB>]<SB>5</SB>gas without exposing the substrate to the atmosphere. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、半導体装置或いは半導体装置の製造方法に関し、特に、層間絶縁膜を有しCu(銅)配線を用いる半導体素子装置の製造方法に関する。   The present invention relates to a semiconductor device or a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor element device having an interlayer insulating film and using Cu (copper) wiring.

65nmノード世代に代表される近年の半導体装置においては、配線での信号伝搬の遅延が素子動作を律速している。配線での遅延定数は、配線抵抗と配線間容量との積により表される。このため、配線抵抗を下げて素子動作を高速化するために、層間絶縁膜の材料として従来のSiOよりも比誘電率の小さい材料が用いられ、配線材料として比抵抗の小さいCu(銅)が用いられつつある。 In recent semiconductor devices typified by the 65 nm node generation, the delay of signal propagation in the wiring determines the device operation. The delay constant in wiring is represented by the product of wiring resistance and wiring capacitance. For this reason, in order to reduce the wiring resistance and speed up the device operation, a material having a relative dielectric constant smaller than that of conventional SiO 2 is used as the material of the interlayer insulating film, and Cu (copper) having a small specific resistance as the wiring material. Is being used.

Cu多層配線は、ダマシン(damascene)法により形成されることが多い。   Cu multilayer wiring is often formed by a damascene method.

図15、ダマシン法の要部を表す工程断面図である。
すなわち、まず、同図(a)に表したように、シリコン(Si)基板などの基体200の上に、層間絶縁膜220を形成する。次に、図15(b)に表したように、層間絶縁膜220に開口部Hを形成する。開口部Hは、配線層のための配線溝や、ビア(via)のためのビア孔としての役割を有する。次に、図15(c)に表したように、開口部Hの内壁にバリアメタル層240を形成する。さらに、図15(d)に表したように、配線材料としてCu層260を埋め込む。ここで、Cu層260の埋め込みにあたっては、まず物理気相成長法(physical vapor deposition:PVD)法などの方法によってCuを薄膜状に堆積し、そのCu薄膜をカソード電極として電解鍍金法などにより埋め込みを実施する場合が多い。
FIG. 15 is a process cross-sectional view illustrating a main part of the damascene method.
That is, first, as shown in FIG. 2A, an interlayer insulating film 220 is formed on a base body 200 such as a silicon (Si) substrate. Next, as illustrated in FIG. 15B, an opening H is formed in the interlayer insulating film 220. The opening H serves as a wiring groove for a wiring layer and a via hole for a via. Next, as shown in FIG. 15C, the barrier metal layer 240 is formed on the inner wall of the opening H. Further, as shown in FIG. 15D, a Cu layer 260 is embedded as a wiring material. Here, in embedding the Cu layer 260, first, Cu is deposited in a thin film by a method such as physical vapor deposition (PVD), and the Cu thin film is buried as a cathode electrode by an electrolytic plating method or the like. Are often implemented.

また、ダマシン法においては、バリアメタル層240やCu層260を堆積した後に、開口部Hの外に堆積したバリアメタル層240及びCu層260を化学機械研磨(ケミカル・メカニカル・ポリッシング:chemical mechanical polishing:CMP)によって除去することにより、図15(d)に表したような埋め込み構造を形成する。   In the damascene method, after depositing the barrier metal layer 240 and the Cu layer 260, the barrier metal layer 240 and the Cu layer 260 deposited outside the opening H are subjected to chemical mechanical polishing (chemical mechanical polishing). : CMP) to form a buried structure as shown in FIG.

ここで、バリアメタル層240は、シリコン基板などの基体200に対するCuの拡散を防止し、層間絶縁膜220とCu層260との密着性を向上させ、Cu層260の酸化を防止する役割を有する。
以上説明したような、層間絶縁膜を用いた配線構造を開示した文献として、例えば、非特許文献1及び2、或いは特許文献1を挙げることができる。
K.Maex,M.R.Baklanov,D.Shamiryan,F.Iacopi,S.H.Brongersma,Z.S.Yanovitskaya,Journal of Applied Physics 93(11),pp.8793-8841,2003. W.Besling,A.Satta,J.Schuhmacher,T.Abell,V.Sutcliffe,A.-M.Hoyas,G.Beyer,D.Gravesteijn,K.Maex,Proceedings of IEEE 2002 International Interconnect Technology Conference,pp.288-291 特開2002−359243号公報
Here, the barrier metal layer 240 has a role of preventing Cu diffusion to the base 200 such as a silicon substrate, improving adhesion between the interlayer insulating film 220 and the Cu layer 260, and preventing oxidation of the Cu layer 260. .
Non-Patent Documents 1 and 2 or Patent Document 1 can be cited as documents disclosing a wiring structure using an interlayer insulating film as described above.
K. Maex, MR Baklanov, D. Shamiryan, F. Iacopi, SHBrongersma, ZSYanovitskaya, Journal of Applied Physics 93 (11), pp.8793-8841, 2003. W. Besling, A. Satta, J. Schuhmacher, T. Abell, V. Sutcliffe, A.-M. Hoyas, G. Beyer, D. Gravesteijn, K. Maex, Proceedings of IEEE 2002 International Interconnect Technology Conference, pp. 288-291 JP 2002-359243 A

近年、バリアメタルを薄膜化して配線抵抗やビア抵抗を低減することが検討されている。現在、最も多く検討されているバリアメタルの形成方法は、PVDにより非晶質のTaN(窒化タンタル)上に多結晶のTa(タンタル)を形成する積層膜である。Cuの高速拡散経路となる結晶粒界がない非晶質のTaNでCuによる層間絶縁膜への拡散を防止し、TaでCuとの密着性を確保している。PVD法は、バリアメタルの形成方法として現在主流であるが、最小寸法でのパターン側壁における被覆率が30%以下と悪く、また、フィールド上の膜厚は、TaNとTaとでそれぞれ10nm以上となることが多い。すなわち、膜厚が10nmより小さなバリアメタルを形成することが困難である。仮に、バリアメタルを薄膜化するにしても、PVD法を用いた場合、被覆率が悪く、現状でも配線溝やビア孔の側壁での膜厚が薄いため、これ以上の薄膜化をおこなうとバリア性や密着性を確保できなくなる。   In recent years, it has been studied to reduce the wiring resistance and via resistance by thinning the barrier metal. Currently, the most frequently studied method for forming a barrier metal is a laminated film in which polycrystalline Ta (tantalum) is formed on amorphous TaN (tantalum nitride) by PVD. Amorphous TaN which does not have a grain boundary which becomes a high-speed diffusion path of Cu prevents diffusion of Cu into the interlayer insulating film, and ensures adhesion with Cu by Ta. The PVD method is currently the mainstream as a barrier metal forming method, but the coverage on the pattern side wall at the minimum dimension is as bad as 30% or less, and the film thickness on the field is 10 nm or more for TaN and Ta, respectively. Often becomes. That is, it is difficult to form a barrier metal having a film thickness smaller than 10 nm. Even if the barrier metal is thinned, when the PVD method is used, the coverage is poor and the film thickness on the side wall of the wiring groove or via hole is still thin. It becomes impossible to secure the property and adhesion.

そのため、PVD法よりも薄膜を被覆率良く形成するのが容易な化学気相成長(chemical vapor deposition:CVD)法によりバリアメタルを形成することが求められている。近年、CVD法の一種で従来のCVD法よりも均一かつ被膜性の良い膜が得やすい原子層気相成長(atomic layer deposition:ALD)法によるバリアメタル開発がおこなわれている。ALD法は、例えば、元素Aを含む原料1を基板上に照射して飽和吸着させた後、元素Bを含む原料2を基板に照射して飽和吸着させて元素Aと元素Bとの化合物ABを形成する方法であるため、従来のCVD法よりも膜厚均一性、組成均一性、膜厚制御性及び被膜性に優れている。このため、従来のCVD法では難しかった被膜率90%以上かつ膜厚10nmより小さなバリアメタルを形成することが可能である。現在、ALD法により形成されるバリアメタルとして、TaN(窒化タンタル)、TaCN(炭化窒化タンタル)、WN(窒化タングステン)、WCN(炭化窒化タングステン)、TiN(窒化チタン)等の高融点金属の窒化膜或いは窒化炭素膜が報告されている。   Therefore, it is required to form a barrier metal by a chemical vapor deposition (CVD) method, which can easily form a thin film with a higher coverage than the PVD method. In recent years, barrier metals have been developed by an atomic layer deposition (ALD) method, which is a kind of CVD method, and is easier to obtain a film having a uniform and better film property than conventional CVD methods. In the ALD method, for example, a raw material 1 containing an element A is irradiated onto a substrate and saturatedly adsorbed, and then a raw material 2 containing an element B is irradiated onto the substrate and saturatedly adsorbed, whereby a compound AB of an element A and an element B is obtained. Therefore, the film thickness uniformity, the composition uniformity, the film thickness controllability, and the film property are superior to those of the conventional CVD method. For this reason, it is possible to form a barrier metal having a coating ratio of 90% or more and a film thickness smaller than 10 nm, which is difficult with the conventional CVD method. Currently, as a barrier metal formed by the ALD method, nitriding of high melting point metals such as TaN (tantalum nitride), TaCN (tantalum carbonitride), WN (tungsten nitride), WCN (tungsten carbonitride), TiN (titanium nitride), etc. Films or carbon nitride films have been reported.

しかしながら、ALD法により形成される高融点金属の窒化膜は、Cuの拡散防止性に優れる一方で、密着性が乏しいといった問題がある。密着性が乏しいため、配線形成を困難にしている。したがって、配線信頼性を低下させてしまう。単層膜で密着性と拡散防止性を両立することは困難である。密着性と拡散防止性を両立するためには、膜厚方向での組成を制御して多層膜とすることが望ましいが、ALD法は、飽和吸着機構により形成するため、上述したようにある決まった組成の膜を得るのは容易であるが、異なった組成の膜を得ることが困難である。すなわち、ALD法では、金属元素と窒素との組成比を制御した薄膜の形成が困難であるため、積層構造薄膜の形成が難しいことが課題である。
したがって、ALD法により形成したバリアメタルにおいて膜厚方向の組成を制御することが膜厚10nmより小さな超薄膜バリアメタル開発の課題となる。
However, a refractory metal nitride film formed by the ALD method has a problem of poor adhesion while being excellent in Cu diffusion prevention. Wiring formation is difficult because of poor adhesion. Therefore, the wiring reliability is lowered. It is difficult to achieve both adhesion and diffusion prevention with a single layer film. In order to achieve both adhesion and anti-diffusion properties, it is desirable to control the composition in the film thickness direction to form a multilayer film. However, since the ALD method is formed by a saturated adsorption mechanism, it is determined as described above. It is easy to obtain films with different compositions, but it is difficult to obtain films with different compositions. That is, in the ALD method, since it is difficult to form a thin film in which the composition ratio of the metal element and nitrogen is controlled, it is difficult to form a laminated structure thin film.
Therefore, controlling the composition in the film thickness direction in a barrier metal formed by the ALD method is an issue in developing an ultra-thin barrier metal having a film thickness smaller than 10 nm.

本発明は、バリアメタルの密着性と拡散防止性とを両立させることを目的とする。また、膜厚方向での組成の制御性を改善することを目的とする。
また、バリアメタルの密着性と拡散防止性とを両立させた半導体装置を提供することを目的とする。
An object of this invention is to make the adhesiveness and diffusion prevention property of a barrier metal compatible. Another object of the present invention is to improve the controllability of the composition in the film thickness direction.
It is another object of the present invention to provide a semiconductor device that achieves both barrier metal adhesion and diffusion prevention.

本発明に係る半導体装置の製造方法は、
第1のガスと前記第1のガスを還元する第2のガスとを用いて第1のバリアメタル薄膜を前記基体に形成する第1のバリアメタル薄膜形成工程と、
前記基体を大気に暴露することなく、前記第1のバリアメタル薄膜形成工程により形成された第1のバリアメタル薄膜の上に、第3のガスと前記第3のガスを還元する第4のガスとを用いて第2のバリアメタル薄膜を形成する第2のバリアメタル薄膜形成工程と
を備えたことを特徴とする。
A method for manufacturing a semiconductor device according to the present invention includes:
A first barrier metal thin film forming step of forming a first barrier metal thin film on the substrate using a first gas and a second gas for reducing the first gas;
A fourth gas that reduces the third gas and the third gas on the first barrier metal thin film formed by the first barrier metal thin film forming step without exposing the substrate to the atmosphere. And a second barrier metal thin film forming step of forming a second barrier metal thin film using

第1のバリアメタル薄膜の上に、第3のガスと前記第3のガスを還元する第4のガスとを用いて第2のバリアメタル薄膜を形成することで、バリアメタルの膜厚方向での組成を制御して多層膜とする。また、前記基体を大気に暴露することなく、第2のバリアメタル薄膜を形成することで、第1のバリアメタル薄膜を酸化させない。   By forming the second barrier metal thin film on the first barrier metal thin film using the third gas and the fourth gas for reducing the third gas, the thickness of the barrier metal is increased. The composition is controlled to form a multilayer film. In addition, the first barrier metal thin film is not oxidized by forming the second barrier metal thin film without exposing the substrate to the atmosphere.

また、前記第1のバリアメタル薄膜形成工程は、
前記基体に、前記第1のガスを供給する第1のガス供給工程と、
前記第1のガス供給工程の後に、前記第2のガスを前記基体に供給する第2のガス供給工程と
を有し、
前記第1のバリアメタル薄膜形成工程において、前記第1のガス供給工程と第2のガス供給工程とを繰り返すことにより、所定の膜厚の第1のバリアメタル薄膜を形成し、
前記第2のバリアメタル薄膜形成工程は、
前記第2のガス供給工程の後に、前記第3のガスを前記基体に供給する第3のガス供給工程と、
前記第3のガス供給工程の後に、前記第4のガスを前記基体に供給する第4のガス供給工程と
を有し、
前記第2のバリアメタル薄膜形成工程において、前記第3のガス供給工程と第4のガス供給工程とを繰り返すことにより、前記所定の膜厚の第1のバリアメタル薄膜よりも大きい膜厚の第2のバリアメタル薄膜を形成することを特徴とする。
In addition, the first barrier metal thin film forming step includes
A first gas supply step of supplying the first gas to the substrate;
A second gas supply step for supplying the second gas to the substrate after the first gas supply step;
In the first barrier metal thin film forming step, by repeating the first gas supply step and the second gas supply step, a first barrier metal thin film having a predetermined thickness is formed,
The second barrier metal thin film forming step includes
A third gas supply step for supplying the third gas to the substrate after the second gas supply step;
A fourth gas supply step for supplying the fourth gas to the substrate after the third gas supply step;
In the second barrier metal thin film forming step, by repeating the third gas supply step and the fourth gas supply step, the first barrier metal thin film having a thickness larger than that of the first barrier metal thin film having the predetermined thickness is obtained. 2 barrier metal thin films are formed.

第1のガス供給工程の後に、前記第1のガスを還元する前記第2のガスを前記基体に供給し、原子層レベルの薄膜の形成を行い、繰り返すことで第1のバリアメタル薄膜を所望する膜厚に形成することが可能となる。また、前記第2のガス供給工程の後に、すなわち、第1のバリアメタル薄膜形成後に、前記第3のガスを前記基体に供給し、その後に、前記第4のガスを前記基体に供給し、原子層レベルの薄膜の形成を行い、繰り返すことで第1のバリアメタル薄膜の上に組成の異なる第2のバリアメタル薄膜を所望する膜厚に形成することが可能となる。また、前記所定の膜厚の第1のバリアメタル薄膜よりも大きい膜厚の第2のバリアメタル薄膜を形成することで、第1のバリアメタル薄膜をCuとの拡散防止に、第2のバリアメタル薄膜をCuとの密着性向上に使用する。   After the first gas supply step, the second gas for reducing the first gas is supplied to the substrate, a thin film at an atomic layer level is formed, and the first barrier metal thin film is desired by repeating. It becomes possible to form in the film thickness which does. Further, after the second gas supply step, that is, after the formation of the first barrier metal thin film, the third gas is supplied to the base, and then the fourth gas is supplied to the base. By forming and repeating a thin film at the atomic layer level, a second barrier metal thin film having a different composition can be formed on the first barrier metal thin film in a desired film thickness. Further, by forming a second barrier metal thin film having a thickness larger than that of the first barrier metal thin film having the predetermined thickness, the first barrier metal thin film can be prevented from diffusing with Cu, and the second barrier metal thin film can be prevented. A metal thin film is used for improving adhesion with Cu.

また、前記第1と第2のバリアメタル薄膜形成工程は、原子層気相成長法を用いて前記第1と第2のバリアメタル薄膜を形成することを特徴とする。   In the first and second barrier metal thin film forming steps, the first and second barrier metal thin films are formed using atomic layer vapor deposition.

原子層気相成長法を用いたことから原子層レベルの第1のバリアメタル薄膜の上に組成の異なる原子層レベルの第2のバリアメタル薄膜の形成が可能となる。   Since the atomic layer vapor deposition method is used, it is possible to form the second barrier metal thin film at the atomic layer level having a different composition on the first barrier metal thin film at the atomic layer level.

また、前記第2のバリアメタル薄膜形成工程において、前記第4のガス供給工程により供給された第4のガスのプラズマ雰囲気に前記基体を晒すことを特徴とする。第4のガスのプラズマ雰囲気に前記基体を晒すことで、第4のガスのラジカルにより第3のガスを還元する。   In the second barrier metal thin film forming step, the substrate is exposed to a plasma atmosphere of the fourth gas supplied in the fourth gas supply step. By exposing the substrate to a plasma atmosphere of a fourth gas, the third gas is reduced by radicals of the fourth gas.

また、前記基体には、多孔質の絶縁性材料を用いた絶縁膜が形成され、
前記第1のバリアメタル薄膜形成工程において、前記絶縁膜の表面に前記第1のバリアメタル薄膜を形成することを特徴とする。
In addition, an insulating film using a porous insulating material is formed on the base,
In the first barrier metal thin film forming step, the first barrier metal thin film is formed on a surface of the insulating film.

多孔質の絶縁性材料という孔への拡散防止が必要となる絶縁膜に対し、第1のバリアメタル薄膜を形成する。   A first barrier metal thin film is formed on an insulating film which needs to be prevented from diffusing into a hole, which is a porous insulating material.

また、前記第1のバリアメタル薄膜形成工程において、前記第1のバリアメタル薄膜として金属窒化膜を形成し、
前記第2のバリアメタル薄膜形成工程において、前記第2のバリアメタル薄膜として、前記第1のバリアメタル薄膜よりも窒素濃度が低い金属膜を形成することを特徴とする。
In the first barrier metal thin film forming step, a metal nitride film is formed as the first barrier metal thin film,
In the second barrier metal thin film forming step, a metal film having a nitrogen concentration lower than that of the first barrier metal thin film is formed as the second barrier metal thin film.

前記第2のバリアメタル薄膜として、前記第1のバリアメタル薄膜よりも窒素濃度が低い金属膜を形成することで、窒素濃度が高い前記第1のバリアメタル薄膜よりもその後に形成される導電性材料との密着性が向上する。   By forming a metal film having a lower nitrogen concentration than the first barrier metal thin film as the second barrier metal thin film, the conductivity is formed after the first barrier metal thin film having a higher nitrogen concentration. Adhesion with the material is improved.

本発明に係る半導体装置は、
基体の上に配置された絶縁性材料を用いた絶縁層と、
前記絶縁層に配置された配線材料を用いた配線部と、
前記配線部と前記絶縁性材料との間に配置された、各膜厚の最小寸法が10nmよりも小さく形成された第1と第2のバリアメタル薄膜部と
を備えたことを特徴とする
A semiconductor device according to the present invention includes:
An insulating layer using an insulating material disposed on the substrate;
A wiring portion using a wiring material disposed in the insulating layer;
It is provided with the 1st and 2nd barrier metal thin film part with which the minimum dimension of each film thickness arrange | positioned between the said wiring part and the said insulating material was formed smaller than 10 nm.

第1と第2のバリアメタル薄膜部を備えたことからバリアメタルの膜厚方向での組成が多層膜となる。また、第1と第2のバリアメタル薄膜部の各膜厚の最小寸法が10nmよりも小さいことから従来と比べ配線抵抗やビア抵抗を低減させる。   Since the first and second barrier metal thin film portions are provided, the composition of the barrier metal in the film thickness direction is a multilayer film. In addition, since the minimum dimension of each film thickness of the first and second barrier metal thin film portions is smaller than 10 nm, wiring resistance and via resistance are reduced as compared with the conventional case.

本発明によれば、バリアメタルの膜厚方向での組成を制御して多層膜とすることができ、密着性と拡散防止性を備えたバリアメタルを形成することができる。また、第1のバリアメタル薄膜が酸化することにより絶縁性を帯びることを防止し、第1のバリアメタル薄膜を導電膜として形成することができる。   According to the present invention, the composition of the barrier metal in the film thickness direction can be controlled to form a multilayer film, and a barrier metal having adhesion and diffusion prevention can be formed. Further, it is possible to prevent the first barrier metal thin film from being insulative due to oxidation, and to form the first barrier metal thin film as a conductive film.

後述するように、Cuとの密着性を向上させるために必要な膜厚の方が、Cuとの拡散防止のために必要な膜厚より大きい膜厚が必要となる。本発明によれば、第1のバリアメタル薄膜の上に組成の異なる第2のバリアメタル薄膜の形成を可能とし、第1のバリアメタル薄膜をCuとの拡散防止のために用い、第2のバリアメタル薄膜をCuとの密着性を向上させるために用いることができる。   As will be described later, the film thickness necessary for improving the adhesion with Cu is larger than the film thickness necessary for preventing diffusion with Cu. According to the present invention, a second barrier metal thin film having a different composition can be formed on the first barrier metal thin film, the first barrier metal thin film is used for preventing diffusion with Cu, and the second barrier metal thin film is used. A barrier metal thin film can be used to improve adhesion to Cu.

本発明によれば、原子層気相成長法を用いたことから、原子層レベルの第1のバリアメタル薄膜の上に組成の異なる原子層レベルの第2のバリアメタル薄膜の形成が可能とすることができ、超薄膜バリアメタルを形成することができる。さらに、従来のCVD法よりも膜厚均一性、組成均一性、膜厚制御性及び被膜性に優れている。このため、従来のCVD法では難しかった被膜率90%以上かつ膜厚10nmより小さなバリアメタル各層を形成することができる。   According to the present invention, since the atomic layer vapor deposition method is used, it is possible to form the second barrier metal thin film at the atomic layer level having a different composition on the first barrier metal thin film at the atomic layer level. And an ultra-thin barrier metal can be formed. Furthermore, it is superior in film thickness uniformity, composition uniformity, film thickness controllability, and coating properties as compared with conventional CVD methods. For this reason, it is possible to form each barrier metal layer having a coating rate of 90% or more and a film thickness smaller than 10 nm, which is difficult with the conventional CVD method.

本発明によれば、プラズマを用いることで、第3のガスに有機金属化合物を用いた場合でも第4のガスのラジカルにより従来ALD法において困難であった窒化成分あるいは炭化成分を除いた膜を得ることができる。さらに、第4のガスに窒素が含有されていた場合でも第2のバリアメタル薄膜中への第3と第4のガスによる窒化成分の進入割合を小さくし、窒化成分を押さえた膜を得ることができる。   According to the present invention, by using plasma, a film in which a nitriding component or a carbonizing component, which has been difficult in the conventional ALD method, is removed by the radical of the fourth gas even when an organometallic compound is used as the third gas, can be obtained. Can be obtained. Furthermore, even when nitrogen is contained in the fourth gas, the ratio of the nitriding component entering into the second barrier metal thin film by the third and fourth gases is reduced to obtain a film that suppresses the nitriding component. Can do.

本発明によれば、多孔質の絶縁性材料という孔への拡散防止が必要となる絶縁膜に対し、第1と第2のバリアメタル薄膜を形成し、第1と第2のバリアメタル薄膜でCuの密着性と拡散防止性を向上できれば、低誘電率の多孔質の絶縁性材料を絶縁膜に用いることができるようになる。低誘電率の多孔質の絶縁性材料を絶縁膜に用いることができるようになることから配線間容量を小さくすることができる。配線間容量を小さくすることができることから配線での遅延定数を小さくすることができる。よって、素子動作を高速化することができる。   According to the present invention, the first and second barrier metal thin films are formed on the insulating film which needs to be prevented from diffusing into the holes, which is a porous insulating material. If Cu adhesion and diffusion prevention can be improved, a porous dielectric material having a low dielectric constant can be used for the insulating film. Since a porous dielectric material having a low dielectric constant can be used for the insulating film, the capacitance between wirings can be reduced. Since the inter-wiring capacitance can be reduced, the delay constant in the wiring can be reduced. Therefore, the device operation can be speeded up.

本発明によれば、前記第2のバリアメタル薄膜として、前記第1のバリアメタル薄膜よりも窒素濃度が低い金属膜を形成することで、窒素濃度が高い前記第1のバリアメタル薄膜で拡散防止性を向上させ、前記第2のバリアメタル薄膜で密着性を向上させることができる。   According to the present invention, as the second barrier metal thin film, a metal film having a nitrogen concentration lower than that of the first barrier metal thin film is formed, thereby preventing diffusion with the first barrier metal thin film having a high nitrogen concentration. And the adhesion can be improved with the second barrier metal thin film.

本発明によれば、密着性と拡散防止性を有する多層膜とすることで、密着性と拡散防止性を有するバリアメタルを備えた半導体装置を得ることができる。また、第1と第2のバリアメタル薄膜部の各膜厚の最小寸法が10nmよりも小さいことから従来と比べ配線抵抗やビア抵抗を低減させることができる。   According to the present invention, it is possible to obtain a semiconductor device provided with a barrier metal having adhesion and diffusion prevention by using a multilayer film having adhesion and diffusion prevention. Moreover, since the minimum dimension of each film thickness of the 1st and 2nd barrier metal thin film part is smaller than 10 nm, wiring resistance and via | veer resistance can be reduced compared with the past.

まず、発明者等は、第1のバリアメタル膜をALD法にて成膜後、有機金属化合物を基板に照射することで組成制御をおこなう手法を試みたが、かかる手法では炭素系の不純物が多く十分に密着性が改善しない場合が存在することを見出した。密着性が改善しないため導電性も悪くなってしまう。また、ALD法に用いる有機金属原料は、還元性或いは酸化性原料を照射しない限り基板上で安定して1分子層以上が吸着しないため、所望する膜厚に厚膜化することが困難であることを見出した。また、酸化性原料を照射すると、絶縁膜になってしまい、導電膜として使用したいバリアメタル膜を得ることができないことを見出した。   First, the inventors tried a method of controlling the composition by irradiating the substrate with an organometallic compound after forming the first barrier metal film by the ALD method. It has been found that there are cases where adhesion is not sufficiently improved. Since the adhesion is not improved, the conductivity is also deteriorated. In addition, since the organometallic raw material used for the ALD method does not adsorb one or more molecular layers stably on the substrate unless it is irradiated with a reducing or oxidizing raw material, it is difficult to increase the film thickness to a desired thickness. I found out. Moreover, when an oxidizing raw material was irradiated, it became an insulating film, and it discovered that the barrier metal film which it would like to use as a electrically conductive film cannot be obtained.

実施の形態1.
図1は、実施の形態1における半導体装置の製造方法の要部を表すフローチャートである。図1では、特に、バリアメタルを形成するための工程を示している。
図1において、本実施の形態では、第1のバリアメタル膜としてのTaN膜を形成するために、Ta[N(CH(ペンタジメチルアミドタタリウム)とAr(アルゴン)とを供給するTa[N(CH,Ar供給工程(S102)、Arを供給するAr供給工程(S104)、NH(アンモニア)を供給するNH供給工程(S106)、Arを供給するAr供給工程(S108)という一連の工程を1巡回或いは2巡回以上の複数回実施する。すなわち前記一連の工程を少なくとも1巡回実施する。
そして、第2のバリアメタル膜としてのTa膜を形成するために、Ta[N(CHとArとを供給するTa[N(CH,Ar供給工程(S110)、Arを供給するAr供給工程(S112)、He(ヘリウム)とH(水素)とを供給するHe/H供給工程(S114),Arを供給するAr供給工程(S116)という一連の工程を1巡回或いは2巡回以上の複数回実施する。すなわち前記一連の工程を少なくとも1巡回実施する。
Embodiment 1 FIG.
FIG. 1 is a flowchart showing the main part of the semiconductor device manufacturing method according to the first embodiment. FIG. 1 particularly shows a process for forming a barrier metal.
In FIG. 1, in the present embodiment, Ta [N (CH 3 ) 2 ] 5 (pentadimethylamidotalium) and Ar (argon) are used to form a TaN film as a first barrier metal film. supplying Ta [N (CH 3) 2 ] 5, Ar supplying step (S102), Ar supplying step of supplying an Ar (S104), NH 3 supply step (S106) for supplying the NH 3 (ammonia), supplying Ar A series of steps called Ar supply step (S108) is performed one round or two or more rounds. That is, the series of steps is performed at least once.
Then, in order to form a Ta film as the second barrier metal film, Ta [N (CH 3 ) 2 ] 5 and Ar [N (CH 3 ) 2 ] 5 and Ar supply step (S110) are supplied. ), Ar supply process for supplying Ar (S112), He / H 2 supply process for supplying He (helium) and H 2 (hydrogen) (S114), Ar supply process for supplying Ar (S116) The process is carried out a plurality of times such as one round or two rounds. That is, the series of steps is performed at least once.

図2は、図1のフローチャートに対応して実施される工程を表す工程断面図である。
図2では、図1におけるTaN膜(第1のバリアメタル薄膜の一例である)を形成するための第1のバリアメタル薄膜形成工程として、Ta[N(CH,Ar供給工程(S102)からAr供給工程(S108)までを示している。それ以降の工程は後述する。前記第1のバリアメタル薄膜形成工程は、原子層気相成長を用いておこなう。
FIG. 2 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
In FIG. 2, a Ta [N (CH 3 ) 2 ] 5 , Ar supply process is used as a first barrier metal thin film forming process for forming the TaN film (which is an example of the first barrier metal thin film) in FIG. The process from (S102) to the Ar supply process (S108) is shown. Subsequent steps will be described later. The first barrier metal thin film forming step is performed using atomic layer vapor deposition.

まず、前提として、基体10の上に、絶縁性材料の一例としてSiCを用いたSiC絶縁膜11と前記SiC絶縁膜11の上に形成された多孔質の絶縁性材料(絶縁性材料の一例である)を用いた多孔質絶縁膜12と多孔質絶縁膜12の上に形成されたSiO(絶縁性材料の一例である)を用いたSiO絶縁膜13とからなる絶縁膜15を形成する。
また、基体10には、後述する導電性材料が堆積するための開口部50が形成されている。開口部50の形成方法としては、例えば、図示しないレジストマスクを形成し、露出した絶縁膜をエッチングした後に、レジストマスクをアッシングなどの方法により除去すればよい。
First, as a premise, an SiC insulating film 11 using SiC as an example of an insulating material on a substrate 10 and a porous insulating material (an example of an insulating material) formed on the SiC insulating film 11 are described. An insulating film 15 is formed which includes a porous insulating film 12 using (a) and a SiO 2 insulating film 13 using SiO 2 (which is an example of an insulating material) formed on the porous insulating film 12. .
Further, an opening 50 for depositing a conductive material described later is formed in the base 10. The opening 50 may be formed by, for example, forming a resist mask (not shown), etching the exposed insulating film, and then removing the resist mask by a method such as ashing.

SiCを用いたSiC絶縁膜11を形成することで、開口部50を形成する際のエッチングストッパとなる。また、多孔質絶縁膜12の材料としては、例えば、比誘電率の低い多孔質のメチルシルセスキオキサン(methyl silsequioxane:MSQ)を用いることができる。また、その形成方法としては、例えば、溶液をスピンコートし熱処理して薄膜を形成するスピン・オン・グラス(spin on glass:SOG)法を用いることができる。MSQの材料や形成条件などを適宜調節することにより、所定の物性値を有する多孔質の絶縁膜が得られる。例えば、以下の物性値を有する多孔質絶縁膜12が得られる。

密度 :0.68g/cm
空孔率 :54%
空孔の径の分布の最大値:1.9nm
比誘電率 :1.81
弾性率 :1.6GPa
硬度 :0.1GPa
By forming the SiC insulating film 11 using SiC, it becomes an etching stopper when the opening 50 is formed. In addition, as the material of the porous insulating film 12, for example, porous methyl silsequioxane (MSQ) having a low relative dielectric constant can be used. As the formation method, for example, a spin-on-glass (SOG) method in which a thin film is formed by spin-coating a solution and heat-treating can be used. A porous insulating film having a predetermined physical property value can be obtained by appropriately adjusting the MSQ material, formation conditions, and the like. For example, the porous insulating film 12 having the following physical property values is obtained.

Density: 0.68 g / cm 3
Porosity: 54%
Maximum value of pore diameter distribution: 1.9 nm
Relative permittivity: 1.81
Elastic modulus: 1.6 GPa
Hardness: 0.1 GPa

図2(a)において、Ta[N(CH,Ar供給工程(第1のガス供給工程の一例である)として、前記絶縁膜15が上面に形成された基体10に前記Ta[N(CHとArとの混合ガス(第1のガスの一例である)を供給する。前記Ta[N(CHとArとの混合ガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記Ta[N(CHとArとの混合ガスが基体10に照射されることで、基体10表面及び開口部50の内面側(内壁)にTa[N(CHが吸着する。吸着は、飽和吸着させる。 In FIG. 2A, as the Ta [N (CH 3 ) 2 ] 5 , Ar supply process (which is an example of the first gas supply process), the Ta 10 is formed on the base 10 having the insulating film 15 formed on the upper surface. A mixed gas of [N (CH 3 ) 2 ] 5 and Ar (an example of a first gas) is supplied. The mixed gas of Ta [N (CH 3 ) 2 ] 5 and Ar is supplied to the substrate 10 so that the substrate 10 is irradiated from above the substrate 10. Said Ta [N (CH 3) 2 ] that a gas mixture of 5 and Ar are irradiated to the substrate 10, Ta on the substrate 10 surface and the inner surface of the opening portion 50 (the inner wall) [N (CH 3) 2 ] 5 is adsorbed. Adsorption is saturated adsorption.

図2(b)において、Ar供給工程として、基体10に前記Arガスを供給する。前記Arガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記Arガスが基体10に照射されることで、基体10表面及び開口部50付近の雰囲気を置換する。置換することで必要以上のTa[N(CHを除去することができる。 In FIG. 2B, the Ar gas is supplied to the substrate 10 as an Ar supply step. The Ar gas is supplied to the base 10 so that the base 10 is irradiated from above the base 10. By irradiating the base 10 with the Ar gas, the atmosphere around the surface of the base 10 and the opening 50 is replaced. By substitution, Ta [N (CH 3 ) 2 ] 5 more than necessary can be removed.

図2(c)において、NH供給工程(第2のガス供給工程の一例である)として、Ta[N(CH,Ar供給工程の後に、前記Ta[N(CHが飽和吸着した基体10にTa[N(CHを還元する前記NHガス(第2のガスの一例である)を供給する。前記NHガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記NHガスが基体10に照射されることで、NHが基体10表面及び開口部50の内面側(内壁)に飽和吸着されたTa[N(CHを還元して、基体10表面及び開口部50の内面側(内壁)に非晶質のTaN膜20を形成する。 In FIG. 2C, as the NH 3 supply step (which is an example of the second gas supply step), the Ta [N (CH 3 ) 2 ] 5 , Ar supply step is followed by the Ta [N (CH 3 ) 2 ] The NH 3 gas (which is an example of the second gas) for reducing Ta [N (CH 3 ) 2 ] 5 is supplied to the substrate 10 on which saturated adsorption of 5 is performed. The NH 3 gas is supplied to the substrate 10 so that the substrate 10 is irradiated from above the substrate 10. By irradiating the substrate 10 with the NH 3 gas, the NH 3 is reduced in Ta [N (CH 3 ) 2 ] 5 that is saturated and adsorbed on the surface of the substrate 10 and the inner surface side (inner wall) of the opening 50, An amorphous TaN film 20 is formed on the surface of the substrate 10 and the inner surface side (inner wall) of the opening 50.

図2(d)において、Ar供給工程として、基体10に前記Arガスを供給する。前記Arガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記Arガスが基体10に照射されることで、基体10表面及び開口部50付近の雰囲気を置換する。置換することで必要以上のNHを除去することができる。
以上のように、Ta[N(CH,Ar供給工程の後に、前記Ta[N(CHを還元する前記NHガスを前記基体10に供給するALD法を用いて行われるため、原子層レベルのTaNの薄膜を基体10上に、特に、前記絶縁膜15表面(その中でも特に多孔質絶縁膜12表面)に被膜性良く(例えば、90%以上)、そして均一に形成することが可能となる。
In FIG. 2D, the Ar gas is supplied to the substrate 10 as an Ar supply step. The Ar gas is supplied to the base 10 so that the base 10 is irradiated from above the base 10. By irradiating the base 10 with the Ar gas, the atmosphere around the surface of the base 10 and the opening 50 is replaced. By substitution, more NH 3 than necessary can be removed.
As described above, the ALD method of supplying the NH 3 gas for reducing the Ta [N (CH 3 ) 2 ] 5 to the substrate 10 after the Ta [N (CH 3 ) 2 ] 5 , Ar supplying step. Therefore, a thin film of TaN at the atomic layer level is formed on the substrate 10, particularly on the surface of the insulating film 15 (particularly, the surface of the porous insulating film 12) with good film properties (for example, 90% or more), and It becomes possible to form uniformly.

かかる図2(a)から図2(d)を少なくとも1巡回以上繰り返すことで、膜厚が1〜5nmのTaN膜20を形成することができる。   The TaN film 20 having a film thickness of 1 to 5 nm can be formed by repeating such FIG. 2A to FIG. 2D at least once.

図3は、図1のフローチャートに対応して実施される工程を表す工程断面図である。
図3では、図1におけるTa膜(第2のバリアメタル薄膜の一例である)を形成するための第2のバリアメタル薄膜形成工程として、Ta[N(CH,Ar供給工程(S110)からAr供給工程(S116)までを示している。前記第2のバリアメタル薄膜形成工程は、前記第1のバリアメタル薄膜形成工程と同様に、原子層気相成長を用いておこなう。
FIG. 3 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
In FIG. 3, a Ta [N (CH 3 ) 2 ] 5 , Ar supply process is performed as a second barrier metal thin film forming process for forming the Ta film (which is an example of the second barrier metal thin film) in FIG. The process from (S110) to the Ar supply process (S116) is shown. The second barrier metal thin film forming step is performed using atomic layer vapor deposition, as in the first barrier metal thin film forming step.

図3(e)において、Ta[N(CH,Ar供給工程(第3のガス供給工程の一例である)として、前記TaN膜20が形成された基体10に前記Ta[N(CHとArとの混合ガス(第3のガスの一例である)を供給する。前記Ta[N(CHとArとの混合ガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記Ta[N(CHとArとの混合ガスが基体10に照射されることで、基体10表面及び開口部50の内面側(内壁)にTa[N(CHが吸着する。吸着は、飽和吸着させる。 In FIG. 3E, as a Ta [N (CH 3 ) 2 ] 5 , Ar supply process (which is an example of a third gas supply process), the Ta [N (CH 3 ) 2 ] 5 and Ar mixed gas (an example of a third gas) is supplied. The mixed gas of Ta [N (CH 3 ) 2 ] 5 and Ar is supplied to the substrate 10 so that the substrate 10 is irradiated from above the substrate 10. Said Ta [N (CH 3) 2 ] that a gas mixture of 5 and Ar are irradiated to the substrate 10, Ta on the substrate 10 surface and the inner surface of the opening portion 50 (the inner wall) [N (CH 3) 2 ] 5 is adsorbed. Adsorption is saturated adsorption.

図3(f)において、Ar供給工程として、基体10に前記Arガスを供給する。前記Arガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記Arガスが基体10に照射されることで、基体10表面及び開口部50付近の雰囲気を置換する。置換することで必要以上のTa[N(CHを除去することができる。 In FIG. 3F, the Ar gas is supplied to the substrate 10 as an Ar supply step. The Ar gas is supplied to the base 10 so that the base 10 is irradiated from above the base 10. By irradiating the base 10 with the Ar gas, the atmosphere around the surface of the base 10 and the opening 50 is replaced. By substitution, Ta [N (CH 3 ) 2 ] 5 more than necessary can be removed.

図3(g)において、He/H供給工程(第4ガス供給工程の一例である)として、Ta[N(CH,Ar供給工程の後に、前記Ta[N(CHが飽和吸着した基体10にTa[N(CHを還元する前記He/Hの混合ガス(第4のガスの一例である)を供給する。前記He/Hガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。ここでは、He/Hガスのプラズマ雰囲気に前記基体10を晒す。He/Hガスのプラズマ雰囲気に前記基体10を晒すことで、He/Hガスのラジカルが基体10の上部から基体10へ照射する。前記He/Hガスのラジカルが基体10に照射されることで、Hラジカルが基体10表面及び開口部50の内面側(内壁)に飽和吸着されたTa[N(CHを還元して、基体10表面及び開口部50の内面側(内壁)に多結晶のTa膜25を形成する。プラズマを用いることで、He/Hのガスのラジカルにより従来ALD法において困難であったTa[N(CHのガスを還元して窒化成分及び炭化成分を除いた膜を得ることができる。 In FIG. 3G, as the He / H 2 supply step (an example of the fourth gas supply step), after the Ta [N (CH 3 ) 2 ] 5 , Ar supply step, the Ta [N (CH 3 ) The mixed gas of He / H 2 (which is an example of the fourth gas) that reduces Ta [N (CH 3 ) 2 ] 5 is supplied to the substrate 10 on which 2 ] 5 is saturated and adsorbed. The He / H 2 gas is supplied to the base 10 so that the base 10 is irradiated from above the base 10. Here, the substrate 10 is exposed to a plasma atmosphere of He / H 2 gas. By exposing the substrate 10 to a plasma atmosphere of the He / H 2 gas, the radical of the He / H 2 gas is irradiated to the substrate 10 from the top of the substrate 10. By irradiating the base 10 with radicals of the He / H 2 gas, Ta [N (CH 3 ) 2 ] 5 in which the H 2 radicals are saturated and adsorbed on the surface of the base 10 and the inner surface side (inner wall) of the opening 50. To form a polycrystalline Ta film 25 on the surface of the substrate 10 and the inner surface side (inner wall) of the opening 50. By using plasma, the gas of Ta [N (CH 3 ) 2 ] 5 , which has been difficult in the conventional ALD method, is reduced by the radicals of He / H 2 gas to obtain a film from which the nitriding component and the carbonizing component are removed. be able to.

図3(h)において、Ar供給工程として、基体10に前記Arガスを供給する。前記Arガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記Arガスが基体10に照射されることで、基体10表面及び開口部50付近の雰囲気を置換する。置換することで必要以上のHe及びHを除去することができる。 In FIG. 3H, the Ar gas is supplied to the substrate 10 as an Ar supply step. The Ar gas is supplied to the base 10 so that the base 10 is irradiated from above the base 10. By irradiating the base 10 with the Ar gas, the atmosphere around the surface of the base 10 and the opening 50 is replaced. Excessive He and H 2 can be removed by substitution.

図4は、ALD法によるバリアメタル膜形成をおこなう装置の概念図である。
図4において、装置350では、チャンバ300の内部にて、下部電極310を兼ねた所定の温度に制御された基板ホルダの上に基体10を設置する。そして、チャンバ300の内部に上部電極320内部からガスを供給する。また、真空ポンプ330によりチャンバ300の内部が所定の成膜圧力になるように真空引きされる。そして、He/H供給工程の際には、チャンバ300の内部の上記上部電極320と下部電極310との間に高周波電源を用いてプラズマを生成させる。そして、基体10をガスのプラズマの雰囲気に晒し、原子層気相成長させることで開口部50内面及び基体10の上面に多結晶のTa膜25を形成する。そして、He/H供給工程以外のALD法によるガス供給工程では、プラズマを生成させずに各ガスの供給が行なわれる。
FIG. 4 is a conceptual diagram of an apparatus for forming a barrier metal film by the ALD method.
In FIG. 4, in the apparatus 350, the base body 10 is placed on the substrate holder controlled to a predetermined temperature that also serves as the lower electrode 310 inside the chamber 300. Then, gas is supplied into the chamber 300 from the upper electrode 320. Further, the vacuum pump 330 is evacuated so that the inside of the chamber 300 has a predetermined film forming pressure. In the He / H 2 supply process, plasma is generated between the upper electrode 320 and the lower electrode 310 inside the chamber 300 using a high frequency power source. Then, the polycrystalline Ta film 25 is formed on the inner surface of the opening 50 and the upper surface of the substrate 10 by exposing the substrate 10 to a gas plasma atmosphere and performing atomic layer vapor phase growth. In the gas supply process by the ALD method other than the He / H 2 supply process, each gas is supplied without generating plasma.

以上のように、Ta[N(CH供給の後に、前記Ta[N(CHの還元を、ALD法を用いて前記基体10に供給する前記He/Hガスのプラズマ雰囲気で形成された特に水素ラジカルにより行なうため、原子層レベルのTaの薄膜を基体10上に被膜性良く(例えば、90%以上)、そして均一に形成することが可能となる。 As described above, Ta [N (CH 3) 2] after 5 supply, said Ta [N (CH 3) 2 ] 5 reduction of the supply to the substrate 10 using ALD the He / H 2 Since it is carried out particularly by hydrogen radicals formed in a gas plasma atmosphere, it becomes possible to form an atomic layer level Ta thin film on the substrate 10 with good coating properties (for example, 90% or more) and uniformly.

かかる図3(e)から図3(h)を少なくとも1巡回以上繰り返すことで、膜厚が1〜5nmのTa膜25を形成することができる。   The Ta film 25 having a film thickness of 1 to 5 nm can be formed by repeating such FIG. 3 (e) to FIG. 3 (h) at least once.

図5は、Ta[N(CH,Ar供給工程(S102,S110)によるTa[N(CHとArとの混合ガスを供給する手法の一例を示す図である。
図5において、容器に入った固体のTa[N(CHを50〜90℃に加熱して暖める。暖められ溶融したTa[N(CH内にArガスを供給することで、Arと共にガス化したTa[N(CHを一種のバブリング法によりチャンバ300に供給することができる。
FIG. 5 is a diagram illustrating an example of a method of supplying a mixed gas of Ta [N (CH 3 ) 2 ] 5 and Ar in the Ta [N (CH 3 ) 2 ] 5 , Ar supply step (S102, S110). is there.
In FIG. 5, solid Ta [N (CH 3 ) 2 ] 5 contained in a container is heated to 50 to 90 ° C. and warmed. Warmed melted Ta [N (CH 3) 2 ] By supplying Ar gas into 5, and supplies to the chamber 300 by the gasified Ta [N (CH 3) 2 ] 5 the kind of bubbling with Ar be able to.

図6は、TaN膜形成工程における各ガスの供給フローを示す図である。
上述したように、各工程(S102〜S108)を1サイクルとして繰り返すことで、所望する膜厚のTaNを得ることができる。
FIG. 6 is a diagram showing a supply flow of each gas in the TaN film formation step.
As described above, TaN having a desired film thickness can be obtained by repeating each step (S102 to S108) as one cycle.

本実施の形態におけるTaN膜形成工程の条件は、一例として、以下のようにした。
まず、基板ホルダの上に設置された基体10を所定の温度として250〜300℃に制御する。また、各工程(S102〜S108)にて各ガスが供給されている際のチャンバ300内の成膜圧力は、真空ポンプ330により133Pa(1Torr)以上1333Pa(10Torr)とする。
The conditions of the TaN film forming process in the present embodiment are as follows as an example.
First, the substrate 10 placed on the substrate holder is controlled to 250 to 300 ° C. as a predetermined temperature. Further, the film forming pressure in the chamber 300 when each gas is supplied in each step (S102 to S108) is set to 133 Pa (1 Torr) or more and 1333 Pa (10 Torr) by the vacuum pump 330.

Ta[N(CH,Ar供給工程(S102)においては、Ta[N(CHとArとの混合ガスとして、Arの流量を0.17Pa・m/s(100sccm)〜1.7Pa・m/s(1000sccm)として、0.25s〜2s間供給する。また、供給されたArと共にガス化したTa[N(CHをチャンバ300に供給する。 In the Ta [N (CH 3 ) 2 ] 5 , Ar supply step (S102), the flow rate of Ar is 0.17 Pa · m 3 / s as a mixed gas of Ta [N (CH 3 ) 2 ] 5 and Ar. (100 sccm) to 1.7 Pa · m 3 / s (1000 sccm) is supplied for 0.25 s to 2 s. Further, Ta [N (CH 3 ) 2 ] 5 gasified together with the supplied Ar is supplied to the chamber 300.

次に、Ar供給工程(S104,S108)においては、Arの流量を0.84Pa・m/s(500sccm)〜5.1Pa・m/s(3000sccm)として、0.25s〜2s間供給する。Arの流量をTa[N(CHより多くすることで、基板表面を十分置換することができる。基板表面を十分置換することで形成されるTaN膜の不純物を少なくすることができる。 Then, in the Ar supply step (S104, S108), the flow rate of Ar as 0.84Pa · m 3 /s(500sccm)~5.1Pa · m 3 / s (3000sccm), supplied between 0.25s~2s To do. By making the flow rate of Ar more than Ta [N (CH 3 ) 2 ] 5 , the substrate surface can be sufficiently replaced. By sufficiently replacing the substrate surface, impurities in the TaN film formed can be reduced.

NH供給工程(S106)においては、NHの流量を0.84Pa・m/s(500sccm)〜5.1Pa・m/s(3000sccm)として、0.25s〜2s間供給する。NHの流量をTa[N(CHより多くすることで、還元作用を促進し、形成されるTaN膜の不純物を少なくすることができる。 NH 3 in the supplying step (S106), the flow rate of NH 3 as 0.84Pa · m 3 /s(500sccm)~5.1Pa · m 3 / s (3000sccm), supplied between 0.25S~2s. By making the flow rate of NH 3 higher than Ta [N (CH 3 ) 2 ] 5 , the reduction action can be promoted and impurities in the formed TaN film can be reduced.

係る各工程(S102〜S108)を1サイクルとして20サイクル〜100サイクル繰り返すことで、1〜5nmの膜厚のTaN膜を得ることができる。   By repeating each of the steps (S102 to S108) as 20 cycles to 100 cycles, a TaN film having a thickness of 1 to 5 nm can be obtained.

図7は、ALD法において、TaN膜が形成される様子を説明するための概念図である。
図7(a)において、TaR20(Ta化合物)(本実施の形態では、Ta[N(CH)を供給することにより、基体10にTaR20(Ta化合物)が吸着する。また、基体10の周辺には、吸着していないTaR20が浮遊する。
図7(b)において、Arを供給することにより、浮遊するTaR20が置換される。
図7(c)において、NHを供給することにより基体10に吸着されたTaR20を還元してTaN膜22が形成される。
FIG. 7 is a conceptual diagram for explaining how a TaN film is formed in the ALD method.
In FIG. 7A, TaR20 (Ta compound) is adsorbed on the substrate 10 by supplying TaR20 (Ta compound) (Ta [N (CH 3 ) 2 ] 5 in this embodiment). Further, TaR 20 that is not adsorbed floats around the substrate 10.
In FIG. 7B, the floating TaR 20 is replaced by supplying Ar.
In FIG. 7C, by supplying NH 3 , TaR 20 adsorbed on the substrate 10 is reduced to form a TaN film 22.

図8は、Ta膜形成工程における各ガスの供給フローを示す図である。
上述したように、各工程(S110〜S116)を1サイクルとして繰り返すことで、所望する膜厚のTa膜を得ることができる。
FIG. 8 is a diagram showing a supply flow of each gas in the Ta film forming step.
As described above, a Ta film having a desired thickness can be obtained by repeating each step (S110 to S116) as one cycle.

本実施の形態におけるTaN膜形成工程の条件は、一例として、以下のようにした。
まず、基板ホルダの上に設置された基体10を所定の温度として250〜300℃に制御する。また、Ta[N(CH,Ar供給工程(S110)とAr供給工程(S112,S116)との各工程では、各ガスが供給されている際のチャンバ300内の成膜圧力は、真空ポンプ330により133Pa(1Torr)以上1333Pa(10Torr)とする。
The conditions of the TaN film forming process in the present embodiment are as follows as an example.
First, the substrate 10 placed on the substrate holder is controlled to 250 to 300 ° C. as a predetermined temperature. Further, in each step of Ta [N (CH 3 ) 2 ] 5 , Ar supply step (S110) and Ar supply step (S112, S116), the film forming pressure in the chamber 300 when each gas is supplied. Is set to 133 Pa (1 Torr) or more and 1333 Pa (10 Torr) by the vacuum pump 330.

Ta[N(CH,Ar供給工程(S110)においては、Ta[N(CHとArとの混合ガスとして、Arの流量を0.17Pa・m/s(100sccm)〜1.7Pa・m/s(1000sccm)として、0.25s〜2s間供給する。また、TaN膜の形成と同様に、暖められ溶融したTa[N(CH内にArガスを供給することで、供給されたArと共にガス化したTa[N(CHをチャンバ300に供給する。 In the Ta [N (CH 3 ) 2 ] 5 , Ar supply step (S110), the flow rate of Ar is 0.17 Pa · m 3 / s as a mixed gas of Ta [N (CH 3 ) 2 ] 5 and Ar. (100 sccm) to 1.7 Pa · m 3 / s (1000 sccm) is supplied for 0.25 s to 2 s. Similarly to the formation of the TaN film, by supplying Ar gas into the heated and melted Ta [N (CH 3 ) 2 ] 5 , gasified Ta [N (CH 3 ) 2 together with the supplied Ar. ] 5 is supplied to the chamber 300.

次に、Ar供給工程(S112,S116)においては、Arの流量を0.84Pa・m/s(500sccm)〜5.1Pa・m/s(3000sccm)として、0.25s〜2s間供給する。Arの流量をTa[N(CHより多くすることで、基板表面を十分置換することができる。基板表面を十分置換することで形成されるTaN膜の不純物を少なくすることができる。 Then, in the Ar supply step (S112, S116), the flow rate of Ar as 0.84Pa · m 3 /s(500sccm)~5.1Pa · m 3 / s (3000sccm), supplied between 0.25s~2s To do. By making the flow rate of Ar more than Ta [N (CH 3 ) 2 ] 5 , the substrate surface can be sufficiently replaced. By sufficiently replacing the substrate surface, impurities in the TaN film formed can be reduced.

He/H供給工程(S114)においては、Heが2〜10%、Hが90〜98%の混合ガスの流量を0.084Pa・m/s(50sccm)〜0.84Pa・m/s(500sccm)として、0.25s〜2s間供給する。また、ガスが供給されている際のチャンバ300内の成膜圧力は、真空ポンプ330により0.13Pa(10mTorr)以上1.3Pa(100mTorr)とする。プラズマ電力を300〜3000Wとする。He含有する混合ガスを流すことでプラズマを生成し、プラズマによりラジカルとなり還元作用が強くなったHラジカルによりTa[N(CHを還元することができる。 In the He / H 2 supply step (S114), the flow rate of the mixed gas in which He is 2 to 10% and H 2 is 90 to 98% is 0.084 Pa · m 3 / s (50 sccm) to 0.84 Pa · m 3. / S (500 sccm) is supplied for 0.25 s to 2 s. The film forming pressure in the chamber 300 when the gas is supplied is set to 0.13 Pa (10 mTorr) or more and 1.3 Pa (100 mTorr) by the vacuum pump 330. The plasma power is set to 300 to 3000 W. By flowing a He-containing mixed gas, plasma is generated, and Ta [N (CH 3 ) 2 ] 5 can be reduced by H 2 radicals that become radicals by the plasma and have a strong reducing action.

係る各工程(S110〜S116)を1サイクルとして繰り返すことで、1〜5nmの膜厚のTa膜を得ることができる。   By repeating each step (S110 to S116) as one cycle, a Ta film having a thickness of 1 to 5 nm can be obtained.

図9は、ALD法において、Ta膜が形成される様子を説明するための概念図である。
図9(a)において、TaR20(Ta化合物)(本実施の形態では、Ta[N(CH)を供給することにより、基体10にTaR20(Ta化合物)が吸着する。また、基体10の周辺には、吸着していないTaR20が浮遊する。
図9(b)において、Arを供給することにより、浮遊するTaR20が置換される。
図9(c)において、He/H混合ガスを供給することにより基体10に吸着されたTaR20を還元してTa膜32が形成される。
FIG. 9 is a conceptual diagram for explaining how a Ta film is formed in the ALD method.
In FIG. 9A, TaR20 (Ta compound) is adsorbed on the substrate 10 by supplying TaR20 (Ta compound) (in this embodiment, Ta [N (CH 3 ) 2 ] 5 ). Further, TaR 20 that is not adsorbed floats around the substrate 10.
In FIG. 9B, the floating TaR 20 is replaced by supplying Ar.
In FIG. 9C, the Ta film 20 is formed by reducing the TaR 20 adsorbed on the substrate 10 by supplying a He / H 2 mixed gas.

しかがって、上記工程により図3におけるTaN膜20の上に組成の異なるTa膜25が形成された10nm以下の超薄膜の積層膜を基板上に被膜性良く均一に形成することができる。言い換えれば、TaN膜20の上に、Ta[N(CHのガスと前記Ta[N(CHのガスを還元するHe/Hガスとを用いてTa膜25を形成することで、バリアメタルの膜厚方向での組成を制御して多層膜とすることができる。そして、TaN膜20の上に、前記TaN膜20よりも窒素濃度が低い金属膜の一例であるTa膜25を形成することで、後述するその後に形成される導電性材料に対し、窒素濃度が高い前記TaN膜20で拡散防止性を向上させ、前記Ta膜25で密着性を向上させることができる。ここで、TaN膜20の形成工程とTa膜25の形成工程は連続しておこなうことが望ましい。大気に触れることで酸化するのを防止することができる。連続しておこなうには、チャンバ300から基体10を取り出すことなく、続けてTaN膜20の形成工程とTa膜25の形成工程をおこなうことが望ましい。チャンバ300から基体10を取り出さないことにより大気に暴露しないようにすることができる。 Accordingly, an ultra-thin laminated film having a thickness of 10 nm or less in which the Ta film 25 having a different composition is formed on the TaN film 20 in FIG. 3 can be uniformly formed on the substrate with good film properties by the above process. In other words, on the TaN film 20, Ta [N (CH 3 ) 2] wherein the 5 gas Ta [N (CH 3) 2 ] Ta film using the the He / H 2 gas for reducing the 5 gas By forming 25, the composition in the film thickness direction of the barrier metal can be controlled to form a multilayer film. Then, by forming a Ta film 25 which is an example of a metal film having a nitrogen concentration lower than that of the TaN film 20 on the TaN film 20, the nitrogen concentration can be reduced with respect to a conductive material formed later. The high TaN film 20 can improve the diffusion preventing property, and the Ta film 25 can improve the adhesion. Here, it is desirable that the TaN film 20 forming step and the Ta film 25 forming step be performed continuously. Oxidation can be prevented by exposure to the atmosphere. In order to carry out continuously, it is desirable to perform the formation process of TaN film | membrane 20 and the formation process of Ta film | membrane 25 continuously, without taking out the base | substrate 10 from the chamber 300. FIG. By not removing the substrate 10 from the chamber 300, exposure to the atmosphere can be avoided.

また、発明者等は、TaN膜20でCuの拡散防止を図るためには、1nm以上が望ましいことを見出した。また、Ta膜25でCuの密着性向上を図るためには、2〜5nm以上が望ましいことを見出した。よって、本実施の形態では、Ta膜25を1〜5nm形成するとしているが、2〜5nm形成する方がより望ましい。したがって、最小膜厚にすることによりできるだけバリアメタル膜全体を薄くするためには、Ta膜25とTaN膜20との比が2〜5倍になるように、Ta膜25の膜厚をTaN膜20の膜厚より大きく形成するのがより望ましい。しかしながら、これに限るものではなく、TaN膜20がCuの拡散防止ができる膜厚で形成されれば、その他の比率であっても構わない。例えば、TaN膜20が2nmの膜厚に対してTa膜25の膜厚を1〜2倍にしてもよい。だたし、できるだけバリアメタル膜全体を薄くするように形成することが望ましい。   The inventors have also found that 1 nm or more is desirable in order to prevent diffusion of Cu in the TaN film 20. In addition, in order to improve the adhesion of Cu with the Ta film 25, it has been found that 2 to 5 nm or more is desirable. Therefore, in the present embodiment, the Ta film 25 is formed to have a thickness of 1 to 5 nm, but it is more preferable to form the Ta film 25 to 2 to 5 nm. Therefore, in order to make the entire barrier metal film as thin as possible by setting the minimum film thickness, the film thickness of the Ta film 25 is set so that the ratio of the Ta film 25 and the TaN film 20 is 2 to 5 times. It is more desirable to form a film having a thickness greater than 20. However, the present invention is not limited to this, and other ratios may be used as long as the TaN film 20 is formed with a film thickness capable of preventing Cu diffusion. For example, the thickness of the Ta film 25 may be 1 to 2 times the thickness of the TaN film 20 having a thickness of 2 nm. However, it is desirable to form the barrier metal film as thin as possible.

図10は、図1のフローチャートに対応して実施される工程の後に実施される工程の要部を表す工程断面図である。
図10では、図3(h)に示すAr供給工程に続く、シード層形成工程から平坦化工程までを示している。
FIG. 10 is a process cross-sectional view illustrating a main part of a process performed after the process performed corresponding to the flowchart of FIG. 1.
FIG. 10 shows from the seed layer formation step to the planarization step following the Ar supply step shown in FIG.

図10(i)において、導電性材料堆積工程の一部としてのシード層形成工程として、スパッタ等の物理気相成長(PVD)法により、次の工程である電解めっき工程のカソード極となるCu薄膜をシード層30としてバリアメタル膜であるTa膜25が形成された開口部50内壁及び基体10表面に堆積(形成)させる。   In FIG. 10 (i), as a seed layer forming step as a part of the conductive material deposition step, Cu serving as a cathode electrode in the next electrolytic plating step is performed by physical vapor deposition (PVD) method such as sputtering. A thin film is deposited (formed) on the inner wall of the opening 50 where the Ta film 25 as a barrier metal film is formed and the surface of the substrate 10 as a seed layer 30.

図10(j)において、導電性材料堆積工程の一部としてのめっき工程として、シード層としてのCu薄膜をカソード極として、電解めっき等の電気気相成長(Electro chemical deposition:ECD法)により導電性材料からなる導電部としてのCu35を開口部50及び基体10表面に堆積させる。   In FIG. 10 (j), as a plating process as a part of the conductive material deposition process, a conductive film is formed by electro chemical deposition (ECD method) such as electrolytic plating using a Cu thin film as a seed layer as a cathode electrode. Cu 35 serving as a conductive portion made of a conductive material is deposited on the opening 50 and the surface of the substrate 10.

図10(k)において、平坦化工程として、CMP法によってSiO絶縁膜13の表面に堆積された導電部としての配線層となるCu35及びシード層30と、その下のバリアメタル層となるTa膜25とTaN膜20とを研磨除去することにより、図5(k)に表したような埋め込み構造を形成する。 In FIG. 10 (k), as a planarization step, Cu 35 and seed layer 30 serving as a wiring layer as a conductive portion deposited on the surface of the SiO 2 insulating film 13 by the CMP method, and Ta serving as a barrier metal layer therebelow. By polishing and removing the film 25 and the TaN film 20, a buried structure as shown in FIG. 5K is formed.

以上のように、本実施の形態に係る半導体装置は、基体10の上に配置された絶縁性材料を用いた絶縁層となる絶縁膜15と、前記絶縁層に配置された導電性材料Cuを用いた導電部となるCu35及びシード層30と、前記シード層30と前記絶縁性材料との間に配置された、各膜厚の最小寸法が10nmよりも小さく形成された第1と第2のバリアメタル薄膜部となるTa膜25とTaN膜20とを備えた。そして、Ta膜25とTaN膜20とを備え、密着性と拡散防止性を有する多層膜とすることで、密着性と拡散防止性を有するバリアメタルを備えた半導体装置を得ることができる。また、Ta膜25とTaN膜20との各膜厚の最小寸法が10nmよりも小さいことから従来と比べ配線抵抗やビア抵抗を低減させることができる。   As described above, the semiconductor device according to the present embodiment includes the insulating film 15 serving as the insulating layer using the insulating material disposed on the base 10 and the conductive material Cu disposed in the insulating layer. The first and second Cu35 and seed layer 30 that are used as the conductive parts, and the first and second layers are arranged between the seed layer 30 and the insulating material and have a minimum dimension of less than 10 nm. A Ta film 25 and a TaN film 20 are provided as barrier metal thin film portions. Then, by providing the Ta film 25 and the TaN film 20 and forming a multilayer film having adhesion and diffusion prevention, a semiconductor device provided with a barrier metal having adhesion and diffusion prevention can be obtained. Moreover, since the minimum dimension of each film thickness of the Ta film 25 and the TaN film 20 is smaller than 10 nm, the wiring resistance and the via resistance can be reduced as compared with the conventional case.

本実施の形態では、Ta膜25の形成にHe/Hプラズマを用いているが、これに限るものではなく、例えば、Ar/Hプラズマ、ネオン(Ne)/Hプラズマ、クリプトン(Kr)/Hプラズマ、キセノン(Xe/Hプラズマ)、ラドン(Rn)/Hプラズマ等、他の不活性ガスを用いたプラズマでも同様の効果を期待できる。ただし、不活性ガスに用いる元素が重いとTa膜25にその元素が打ち込まれてしまう場合があるので、不活性ガスに用いる元素は、Heのように、より軽い元素の方が望ましい。 In the present embodiment, He / H 2 plasma is used to form the Ta film 25, but the present invention is not limited to this. For example, Ar / H 2 plasma, neon (Ne) / H 2 plasma, krypton (Kr) ) / H 2 plasma, xenon (Xe / H 2 plasma), radon (Rn) / H 2 plasma, and other plasmas using other inert gases can be expected to have the same effect. However, if the element used for the inert gas is heavy, the element may be implanted into the Ta film 25, so that the element used for the inert gas is preferably a lighter element such as He.

また、本実施の形態では、拡散防止性を高める金属窒化膜として、TaN膜20を挙げたが、これに限るものではなく、例えば、WN膜、TiN膜、ZrN(窒化ジルコニウム)膜、MoN(窒化モリブデン)膜、TaCN膜、WCN膜、ZrCN(炭化窒化ジルコニウム)膜、MoCN(炭化窒化モリブデン)膜等の他の高融点金属窒化膜でも同様の効果を得ることができる。また、密着性を高める金属膜として、Ta膜25を挙げたが、これに限るものではなく、例えば、W(タングステン)膜、Ti(チタン)膜、Zr(ジルコニウム)膜、Mo(モリブデン)膜等のほかの高融点金属膜でも同様の効果を得ることができる。   In the present embodiment, the TaN film 20 is exemplified as the metal nitride film for improving the diffusion preventing property. However, the present invention is not limited to this. For example, the WN film, TiN film, ZrN (zirconium nitride) film, MoN ( Similar effects can be obtained with other refractory metal nitride films such as molybdenum nitride) film, TaCN film, WCN film, ZrCN (zirconium carbonitride) film, and MoCN (molybdenum carbonitride) film. Further, the Ta film 25 has been described as the metal film for improving the adhesion, but the present invention is not limited thereto. For example, a W (tungsten) film, a Ti (titanium) film, a Zr (zirconium) film, and a Mo (molybdenum) film. Similar effects can be obtained with other high melting point metal films.

さらに、金属窒化膜の金属と金属膜の金属とが異なる金属であっても同様の効果を得ることができる場合がある。   Further, the same effect may be obtained even if the metal of the metal nitride film is different from the metal of the metal film.

以上のように、本実施の形態では、ALD法を用いて、第1の金属を含む化合物とその化合物に対し還元性を有し窒素を含む化合物とを用いて、第1の金属の窒化物(ここでは、Cuに対する拡散防止性に優れるが密着性に乏しい高融点金属の窒素化合物)の薄膜を形成し、第1の金属の窒化物の薄膜の上に、第2の金属を含む化合物とその化合物に対し還元性を有するプラズマとを用いて、第2の金属の単元素(ここでは、乏しい密着性を補う高融点金属の単元素)の薄膜を形成する積層膜構造とすることで、金属元素と窒素との組成比を制御した積層構造薄膜を形成する。   As described above, in the present embodiment, a nitride of the first metal is used by using the ALD method and the compound containing the first metal and the compound that has a reducing property to the compound and contains nitrogen. A thin film of (a high melting point metal nitrogen compound having excellent anti-diffusion properties for Cu but poor adhesion), and a compound containing a second metal on the first metal nitride thin film; By using a plasma having reducibility with respect to the compound, a laminated film structure that forms a thin film of a single element of a second metal (here, a single element of a refractory metal that supplements poor adhesion), A laminated structure thin film in which the composition ratio of the metal element and nitrogen is controlled is formed.

実施の形態2.
図11は、実施の形態2における半導体装置の製造方法の要部を表すフローチャートである。図11では、実施の形態1と同様、特に、バリアメタルを形成するための工程を示している。
図11において、本実施の形態では、第1のバリアメタル膜としてのTaN膜を形成するために、Ta[N(CHとArとを供給するTa[N(CH,Ar供給工程(S102)、Arを供給するAr供給工程(S104)、NHを供給するNH供給工程(S106)、Arを供給するAr供給工程(S108)という一連の工程を1巡回或いは2巡回以上の複数回実施する。すなわち前記一連の工程を少なくとも1巡回実施する。
Embodiment 2. FIG.
FIG. 11 is a flowchart showing a main part of the method of manufacturing a semiconductor device in the second embodiment. FIG. 11 shows a process for forming a barrier metal, in particular, as in the first embodiment.
In FIG. 11, in the present embodiment, Ta [N (CH 3 ) 2 that supplies Ta [N (CH 3 ) 2 ] 5 and Ar to form a TaN film as a first barrier metal film. ] 5, Ar supplying step (S102), Ar supply step of supplying a Ar (S104), NH supplies NH 3 3 supply step (S106), 1 a series of steps that Ar supply step of supplying a Ar (S108) Carry out multiple rounds of two or more rounds. That is, the series of steps is performed at least once.

そして、第2のバリアメタル膜としてのTaNx膜(ただし、x<1)を形成するために、Ta[N(CHとArとを供給するTa[N(CH,Ar供給工程(S110)、Arを供給するAr供給工程(S112)、N(窒素)とH(水素)とを供給するN/H供給工程(S214),Arを供給するAr供給工程(S116)という一連の工程を1巡回或いは2巡回以上の複数回実施する。すなわち前記一連の工程を少なくとも1巡回実施する。図11は、実施の形態1の図1におけるHe/H供給工程(S114)が、N/H供給工程(S214)に代わった以外は図1と同様である。 Then, Ta [N (CH 3 ) 2 ] that supplies Ta [N (CH 3 ) 2 ] 5 and Ar to form a TaNx film (where x <1) is used as the second barrier metal film. 5 , Ar supply step (S110), Ar supply step (S112) for supplying Ar, N 2 / H 2 supply step (S214) for supplying N 2 (nitrogen) and H 2 (hydrogen), Ar is supplied A series of steps called Ar supply step (S116) is carried out a plurality of times, one round or two rounds. That is, the series of steps is performed at least once. FIG. 11 is the same as FIG. 1 except that the He / H 2 supply step (S114) in FIG. 1 of the first embodiment is replaced with an N 2 / H 2 supply step (S214).

図12は、図11のフローチャートに対応して実施される工程を表す工程断面図である。
ここで、図11におけるTaN膜(第1のバリアメタル薄膜の一例である)を形成するための第1のバリアメタル薄膜形成工程としてのTa[N(CH,Ar供給工程(S102)からAr供給工程(S108)までは、図2と同様であるため省略する。
図12では、図11におけるTaNx膜(ただし、x<1)(第2のバリアメタル薄膜の一例である)を形成するための第2のバリアメタル薄膜形成工程として、Ta[N(CH,Ar供給工程(S110)からAr供給工程(S116)までを示している。前記第2のバリアメタル薄膜形成工程は、前記第1のバリアメタル薄膜形成工程と同様に、原子層気相成長を用いておこなう。
また、図12(e)と図12(f)も図3(e)と図3(f)と同様であるため省略する。
FIG. 12 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
Here, a Ta [N (CH 3 ) 2 ] 5 , Ar supply step (first barrier metal thin film forming step for forming the TaN film (an example of the first barrier metal thin film) in FIG. 11 ( The steps from S102) to Ar supply step (S108) are the same as those in FIG.
In FIG. 12, as a second barrier metal thin film formation step for forming the TaNx film (where x <1) (which is an example of the second barrier metal thin film) in FIG. 11, Ta [N (CH 3 ) 2 ] 5 , Ar supply process (S110) to Ar supply process (S116). The second barrier metal thin film forming step is performed using atomic layer vapor deposition, as in the first barrier metal thin film forming step.
Also, FIG. 12E and FIG. 12F are the same as FIG. 3E and FIG.

図12(g)において、N/H供給工程(第4ガス供給工程の一例である)として、Ta[N(CH供給の後に、前記Ta[N(CHが飽和吸着した基体10にTa[N(CHを還元する前記N/Hの混合ガス(第4のガスの一例である)を供給する。前記N/Hガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。ここでは、N/Hガスのプラズマ雰囲気に前記基体10を晒す。N/Hガスのプラズマ雰囲気に前記基体10を晒すことで、N/Hガスのラジカルが基体10の上部から基体10へ照射する。前記N/Hガスのラジカルが基体10に照射されることで、基体10表面及び開口部50の内面側(内壁)にN/Hが吸着する。吸着は、飽和吸着させる。そして、飽和吸着されたNラジカルとHラジカルとが基体10表面及び開口部50の内面側(内壁)に飽和吸着されたTa[N(CHを還元して、基体10表面及び開口部50の内面側(内壁)に多結晶に近いTaNx膜125(ただし、x<1)を形成する。 In FIG. 12 (g), N a 2 / H 2 supply process (fourth, which is an example of a gas supply step), Ta [N (CH 3 ) 2] after 5 supply, said Ta [N (CH 3) 2 ] The mixed gas of N 2 / H 2 (which is an example of the fourth gas) for reducing Ta [N (CH 3 ) 2 ] 5 is supplied to the substrate 10 on which 5 is saturated and adsorbed. The supply of the N 2 / H 2 gas to the substrate 10 is performed such that the substrate 10 is irradiated from above the substrate 10. Here, the substrate 10 is exposed to a plasma atmosphere of N 2 / H 2 gas. N 2 / H 2 by exposing the substrate 10 to a plasma atmosphere of the gas, a radical of the N 2 / H 2 gas is irradiated to the substrate 10 from the top of the substrate 10. When the substrate 10 is irradiated with radicals of the N 2 / H 2 gas, N 2 / H 2 is adsorbed on the surface of the substrate 10 and the inner surface side (inner wall) of the opening 50. Adsorption is saturated adsorption. Then, the saturated adsorbed N 2 radical and H 2 radical reduce Ta [N (CH 3 ) 2 ] 5 saturated and adsorbed on the surface of the substrate 10 and the inner surface side (inner wall) of the opening 50, thereby reducing the substrate 10. A polycrystalline TaNx film 125 (x <1) is formed on the surface and the inner surface side (inner wall) of the opening 50.

図12(h)において、Ar供給工程として、基体10に前記Arガスを供給する。前記Arガスの基体10への供給は、基体10の上部から基体10へ照射するようにおこなう。前記Arガスが基体10に照射されることで、基体10表面及び開口部50付近の雰囲気を置換する。置換することで必要以上のN及びHを除去することができる。 In FIG. 12H, the Ar gas is supplied to the substrate 10 as an Ar supply step. The Ar gas is supplied to the base 10 so that the base 10 is irradiated from above the base 10. By irradiating the base 10 with the Ar gas, the atmosphere around the surface of the base 10 and the opening 50 is replaced. By substitution, more N 2 and H 2 than necessary can be removed.

以上のように、Ta[N(CH供給の後に、前記Ta[N(CHの還元を前記基体10に供給する前記N/Hガスのプラズマ雰囲気で形成された窒素ラジカルと水素ラジカルとにより行なうALD法を用いて行われるため、Taに対する窒素の比が1以下の原子層レベルのTaNx(ただし、x<1)の薄膜を基体10上に被膜性良く(例えば、90%以上)、そして均一に形成することが可能となる。ここで、プラズマを用いないALD法の場合、実施の形態1及び本実施の形態2におけるTaN膜20を形成するような場合、還元をNHのみで行なっているため窒化だけが生じる。しかしながら、プラズマを用いるALD法において本実施の形態2のように還元を窒素ラジカルと水素ラジカルとの2種類のラジカルにより行なう場合、窒素ラジカルによる窒化と、実施の形態1における水素ラジカルによる還元という窒化以外の反応も生じるため窒素の混入量をプラズマを用いないALD法の場合に比べ少なくすることができる。窒素の濃度は、窒素分圧、プラズマ電力、窒素供給流量等を制御することで任意の値に制御することができる。すなわち、従来ALD法において困難とされていた金属元素と窒素の組成比を制御した薄膜を形成することができる。 As described above, Ta [N (CH 3) 2] after 5 supply, said Ta [N (CH 3) 2 ] 5 reduced in the plasma atmosphere of the N 2 / H 2 gas supplied to the substrate 10 Since the ALD method is performed using the formed nitrogen radicals and hydrogen radicals, a thin film of TaNx (provided that x <1) at the atomic layer level where the ratio of nitrogen to Ta is 1 or less is coated on the substrate 10. Good (for example, 90% or more) can be formed uniformly. Here, in the case of the ALD method that does not use plasma, when the TaN film 20 in the first embodiment and the second embodiment is formed, only the nitriding occurs because the reduction is performed only with NH 3 . However, in the ALD method using plasma, when the reduction is performed by two types of radicals of a nitrogen radical and a hydrogen radical as in the second embodiment, nitridation by nitrogen radical and reduction by hydrogen radical in the first embodiment is performed. Since other reactions also occur, the amount of nitrogen mixed can be reduced compared to the ALD method that does not use plasma. The concentration of nitrogen can be controlled to an arbitrary value by controlling the nitrogen partial pressure, plasma power, nitrogen supply flow rate, and the like. That is, it is possible to form a thin film in which the composition ratio between the metal element and nitrogen, which has been difficult in the conventional ALD method, is controlled.

本実施の形態におけるTa膜形成工程の条件は、一例として、以下のようにした。N/H供給工程(S214)以外は、実施の形態1と同様のため省略する。 As an example, the conditions of the Ta film forming step in the present embodiment are as follows. The steps other than the N 2 / H 2 supply step (S214) are the same as those in the first embodiment, and will be omitted.

/H供給工程(S214)においては、Nが20〜50%、Hが50〜80%の混合ガスの流量を0.084Pa・m/s(50sccm)〜0.84Pa・m/s(500sccm)として、0.25s〜2s間供給する。また、ガスが供給されている際のチャンバ300内の成膜圧力は、真空ポンプ330により0.13Pa(10mTorr)以上1.3Pa(100mTorr)とする。プラズマ電力を300〜3000Wとする。He含有する混合ガスを流すことでプラズマを生成し、プラズマによりラジカルとなり還元作用が強くなったNラジカルとHラジカルによりTa[N(CHを還元することができる。 In the N 2 / H 2 supply step (S214), the flow rate of the mixed gas in which N 2 is 20 to 50% and H 2 is 50 to 80% is set to 0.084 Pa · m 3 / s (50 sccm) to 0.84 Pa ·. as m 3 / s (500sccm), supplied between 0.25S~2s. The film forming pressure in the chamber 300 when the gas is supplied is set to 0.13 Pa (10 mTorr) or more and 1.3 Pa (100 mTorr) by the vacuum pump 330. The plasma power is set to 300 to 3000 W. Plasma is generated by flowing a mixed gas containing He, and Ta [N (CH 3 ) 2 ] 5 can be reduced by the N 2 radical and the H 2 radical that are converted into radicals by the plasma and have a strong reducing action.

図11における各工程(S110〜S116)を1サイクルとして繰り返すことで、膜厚が1〜5nmのTaNx膜125(ただし、x<1)を形成することができる。
しかがって、上記工程によりTaN膜20の上に組成の異なるTaNx膜125(ただし、x<1)が形成された10nm以下の超薄膜の積層膜を基板上に被膜性良く均一に形成することができる。言い換えれば、TaN膜20の上に、Ta[N(CHのガスと前記Ta[N(CHのガスを還元するN/Hガスとを用いてTaNx膜125(ただし、x<1)を形成することで、バリアメタルの膜厚方向での組成を制御して多層膜とすることができる。そして、TaN膜20の上に、前記TaN膜20よりも窒素濃度が低い金属膜の一例であるTaNx膜125(ただし、x<1)を形成することで、後述するその後に形成される導電性材料に対し、窒素濃度が高い前記TaN膜20で拡散防止性を向上させ、前記TaNx膜125(ただし、x<1)で密着性を向上させることができる。
By repeating each step (S110 to S116) in FIG. 11 as one cycle, a TaNx film 125 (where x <1) having a film thickness of 1 to 5 nm can be formed.
Therefore, an ultra-thin laminated film of 10 nm or less in which a TaNx film 125 (where x <1) having a different composition is formed on the TaN film 20 by the above-described process is uniformly formed on the substrate with good film properties. be able to. In other words, TaNx is used on the TaN film 20 by using Ta [N (CH 3 ) 2 ] 5 gas and N 2 / H 2 gas for reducing the Ta [N (CH 3 ) 2 ] 5 gas. By forming the film 125 (x <1), the composition in the film thickness direction of the barrier metal can be controlled to form a multilayer film. Then, a TaNx film 125 (however, x <1), which is an example of a metal film having a nitrogen concentration lower than that of the TaN film 20, is formed on the TaN film 20, thereby forming a conductive material that will be formed later. With respect to the material, the TaN film 20 having a high nitrogen concentration can improve the diffusion preventing property, and the TaNx film 125 (where x <1) can improve the adhesion.

図13は、図11のフローチャートに対応して実施される工程の後に実施される工程の要部を表す工程断面図である。
図13では、図12(h)に示すAr供給工程に続く、シード層形成工程から平坦化工程までを示している。
FIG. 13 is a process cross-sectional view illustrating a main part of a process performed after the process performed corresponding to the flowchart of FIG. 11.
FIG. 13 shows from the seed layer formation step to the planarization step following the Ar supply step shown in FIG.

図13(i)において、導電性材料堆積工程の一部としてのシード層形成工程として、スパッタ等の物理気相成長(PVD)法により、次の工程である電解めっき工程のカソード極となるCu薄膜をシード層30としてバリアメタル膜であるTaNx膜125(ただし、x<1)が形成された開口部50内壁及び基体10表面に堆積(形成)させる。   In FIG. 13 (i), as a seed layer forming step as a part of the conductive material deposition step, Cu serving as a cathode electrode in the next electrolytic plating step is performed by physical vapor deposition (PVD) method such as sputtering. A thin film is deposited (formed) on the inner wall of the opening 50 where the TaNx film 125 (x <1) as a barrier metal film and the surface of the substrate 10 are formed as a seed layer 30.

図13(j)において、導電性材料堆積工程の一部としてのめっき工程として、シード層としてのCu薄膜をカソード極として、電解めっき等の電気気相成長により導電性材料からなる導電部としてのCu35を開口部50及び基体10表面に堆積させる。   In FIG. 13 (j), as a plating process as a part of the conductive material deposition process, a Cu thin film as a seed layer is used as a cathode electrode, and a conductive part made of a conductive material by electro-vapor deposition such as electrolytic plating. Cu 35 is deposited on the opening 50 and the surface of the substrate 10.

図13(k)において、平坦化工程として、CMP法によってSiO絶縁膜13の表面に堆積された導電部としての配線層となるCu35及びシード層30と、その下のバリアメタル層となるTaNx膜125(ただし、x<1)とTaN膜20とを研磨除去することにより、図13(k)に表したような埋め込み構造を形成する。 In FIG. 13 (k), as a planarization step, Cu 35 and seed layer 30 serving as a wiring layer as a conductive portion deposited on the surface of the SiO 2 insulating film 13 by the CMP method, and TaNx serving as a barrier metal layer therebelow. By polishing and removing the film 125 (x <1) and the TaN film 20, a buried structure as shown in FIG. 13K is formed.

以上のように、本実施の形態に係る半導体装置は、基体10の上に配置された絶縁性材料を用いた絶縁層となる絶縁膜15と、前記絶縁層に配置された導電性材料Cuを用いた導電部となるCu35及びシード層30と、前記シード層30と前記絶縁性材料との間に配置された、各膜厚の最小寸法が10nmよりも小さく形成された第1と第2のバリアメタル薄膜部となるTaNx膜125(ただし、x<1)とTaN膜20とを備えた。そして、TaNx膜125(ただし、x<1)とTaN膜20とを備え、密着性と拡散防止性を有する多層膜とすることで、密着性と拡散防止性を有するバリアメタルを備えた半導体装置を得ることができる。また、TaNx膜125(ただし、x<1)とTaN膜20との各膜厚の最小寸法が10nmよりも小さいことから従来と比べ配線抵抗やビア抵抗を低減させることができる。   As described above, the semiconductor device according to the present embodiment includes the insulating film 15 serving as the insulating layer using the insulating material disposed on the base 10 and the conductive material Cu disposed in the insulating layer. The first and second Cu35 and seed layer 30 that are used as the conductive parts, and the first and second layers are arranged between the seed layer 30 and the insulating material and have a minimum dimension of less than 10 nm. A TaNx film 125 (x <1) and a TaN film 20 to be a barrier metal thin film portion were provided. A semiconductor device provided with a barrier metal having adhesion and diffusion prevention properties by providing a TaNx film 125 (x <1) and TaN film 20 and having a multilayer film having adhesion and diffusion prevention properties. Can be obtained. Further, since the minimum dimension of each film thickness of the TaNx film 125 (x <1) and the TaN film 20 is smaller than 10 nm, the wiring resistance and via resistance can be reduced as compared with the conventional case.

実施の形態3.
上記各実施の形態では、図4に示す平行平板型の装置350により成膜しているが、かかる装置に限られず、他のプラズマ成膜装置であってもよい。
図14は、リモートプラズマ装置の概念図である。
図4に示す装置350では、基体10表面に近い位置でプラズマが生成されるが、基体10表面に近い位置でプラズマが生成されるとラジカルが基体10表面に強く衝突し、基体10に損傷を与えるおそれもある。これに対し、図14示す装置450では、基板ホルダー410に配置された基体10表面から遠く、チャンバ400にガスが供給される前の位置でプラズマが生成されるため、ラジカルが基体10に与える損傷を小さくすることができる。
Embodiment 3 FIG.
In each of the above embodiments, the film is formed by the parallel plate type apparatus 350 shown in FIG. 4, but the present invention is not limited to this, and other plasma film forming apparatuses may be used.
FIG. 14 is a conceptual diagram of a remote plasma apparatus.
In the apparatus 350 shown in FIG. 4, plasma is generated at a position close to the surface of the substrate 10, but when plasma is generated at a position close to the surface of the substrate 10, radicals strongly collide with the surface of the substrate 10 and damage the substrate 10. There is also a risk of giving. On the other hand, in the apparatus 450 shown in FIG. 14, plasma is generated at a position far from the surface of the substrate 10 disposed on the substrate holder 410 and before the gas is supplied to the chamber 400, and thus the damage caused by radicals on the substrate 10. Can be reduced.

以上のように、多孔質の絶縁性材料という孔への拡散防止が必要となる絶縁膜に対し、TaN膜20とTa膜25或いはTaNx膜125(ただし、x<1)とを形成し、TaN膜20とTa膜25或いはTaNx膜125(ただし、x<1)とでCuの密着性と拡散防止性を向上できれば、低誘電率の多孔質の絶縁性材料を絶縁膜に用いることができるようになる。低誘電率の多孔質の絶縁性材料を絶縁膜に用いることができるようになることから配線間容量を小さくすることができる。配線間容量を小さくすることができることから配線での遅延定数を小さくすることができる。よって、素子動作を高速化することができる。   As described above, the TaN film 20 and the Ta film 25 or the TaNx film 125 (where x <1) are formed on the insulating film that needs to be prevented from diffusing into the pores, which is a porous insulating material. If the adhesion and diffusion prevention of Cu can be improved with the film 20 and the Ta film 25 or the TaNx film 125 (x <1), a low dielectric constant porous insulating material can be used for the insulating film. become. Since a porous dielectric material having a low dielectric constant can be used for the insulating film, the capacitance between wirings can be reduced. Since the inter-wiring capacitance can be reduced, the delay constant in the wiring can be reduced. Therefore, the device operation can be speeded up.

ここで、上記各実施の形態における配線層の材料として、Cu35以外に、Cu−Sn合金、Cu−Ti合金、Cu−Al合金等の、半導体産業で用いられるCuを主成分とする材料を用いて同様の効果が得られる。またさらに、Cu系材料ではなく、アルミニウム(Al)やタングステン(W)等を主成分とする半導体産業で用いられる他の金属材料を用いた場合も、同様の効果が得られる。   Here, as a material for the wiring layer in each of the above embodiments, a material mainly composed of Cu used in the semiconductor industry, such as a Cu—Sn alloy, a Cu—Ti alloy, a Cu—Al alloy, etc., is used in addition to Cu35. The same effect can be obtained. Furthermore, the same effect can be obtained by using other metal materials used in the semiconductor industry whose main components are aluminum (Al), tungsten (W), etc., instead of Cu-based materials.

なお、多層配線構造などを形成する場合には、図2、図3、図5、図7、図8において基体10は、下層の配線層と絶縁膜とが形成されたものである。   In the case of forming a multilayer wiring structure or the like, the substrate 10 in FIG. 2, FIG. 3, FIG. 5, FIG. 7, and FIG. 8 is one in which a lower wiring layer and an insulating film are formed.

上記実施の形態においては、配線溝或いはビア孔をダマシン法によりCu配線を形成する手法を記載したが、配線溝及び配線溝の下部のビア孔に一度で配線材料となるCuを堆積(埋め込み)させるデュアルダマシン法においても、同様の効果を得ることができる。   In the above embodiment, a method of forming a Cu wiring in a wiring groove or a via hole by a damascene method has been described. However, Cu serving as a wiring material is deposited (embedded) in the wiring groove and a via hole under the wiring groove at a time. Similar effects can be obtained in the dual damascene method.

上記各実施の形態においては、多孔質絶縁膜12の材料としては、多孔質誘電体薄膜材料としてのMSQに限らず、他の多孔質無機絶縁体膜材料、多孔質有機絶縁体膜材料を用いても同様の効果を得ることができる。
特に、多孔質の低誘電率材料に上記各実施の形態を適用した場合には、上述の如く顕著な効果が得られる。上記各実施の形態において多孔質絶縁膜12の材料として用いることができるものとしては、例えば、各種のシルセスキオキサン化合物、ポリイミド、炭化フッ素(fluorocarbon)、パリレン(parylene)、ベンゾシクロブテンをはじめとする各種の絶縁性材料を挙げることができる。
In each of the above embodiments, the material of the porous insulating film 12 is not limited to the MSQ as the porous dielectric thin film material, and other porous inorganic insulating film materials and porous organic insulating film materials are used. However, the same effect can be obtained.
In particular, when the above-described embodiments are applied to a porous low dielectric constant material, a remarkable effect can be obtained as described above. Examples of materials that can be used as the material of the porous insulating film 12 in each of the above-described embodiments include various silsesquioxane compounds, polyimide, fluorocarbon, parylene, and benzocyclobutene. And various insulating materials.

以上、具体例を参照しつつ各実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。   The embodiments have been described above with reference to specific examples. However, the present invention is not limited to these specific examples.

例えば、各実施の形態で層間絶縁膜が形成された基体10は、図示しない各種の半導体素子あるいは構造を有するものとすることができる。また、半導体基板ではなく、層間絶縁膜と配線層とを有する配線構造の上に、さらに層間絶縁膜を形成してもよい。開口部も半導体基板が露出するように形成してもよいし、配線構造の上に形成してもよい。   For example, the substrate 10 on which the interlayer insulating film is formed in each embodiment can have various semiconductor elements or structures not shown. Further, an interlayer insulating film may be further formed on a wiring structure having an interlayer insulating film and a wiring layer instead of the semiconductor substrate. The opening may be formed so that the semiconductor substrate is exposed, or may be formed on the wiring structure.

さらに、層間絶縁膜の膜厚や、開口部50のサイズ、形状、数などについても、半導体集積回路や各種の半導体素子において必要とされるものを適宜選択して用いることができる。   Furthermore, as for the film thickness of the interlayer insulating film and the size, shape, number, and the like of the opening 50, those required in the semiconductor integrated circuit and various semiconductor elements can be appropriately selected and used.

その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての半導体装置の製造方法は、本発明の範囲に包含される。   In addition, any semiconductor device manufacturing method that includes the elements of the present invention and whose design can be changed as appropriate by those skilled in the art is included in the scope of the present invention.

また、説明の簡便化のために、半導体産業で通常用いられる手法、例えば、フォトリソグラフィプロセス、処理前後のクリーニング等は省略しているが、それらの手法が含まれることは言うまでもない。   In addition, for the sake of simplicity of explanation, techniques usually used in the semiconductor industry, such as a photolithography process, cleaning before and after processing, are omitted, but it goes without saying that these techniques are included.

実施の形態1における半導体装置の製造方法の要部を表すフローチャートである。3 is a flowchart showing a main part of a method for manufacturing a semiconductor device in the first embodiment. 図1のフローチャートに対応して実施される工程を表す工程断面図である。It is process sectional drawing showing the process implemented corresponding to the flowchart of FIG. 図1のフローチャートに対応して実施される工程を表す工程断面図である。It is process sectional drawing showing the process implemented corresponding to the flowchart of FIG. ALD法によるバリアメタル膜形成をおこなう装置の概念図である。It is a conceptual diagram of the apparatus which performs the barrier metal film formation by ALD method. Ta[N(CH,Ar供給工程(S102,S110)によるTa[N(CHとArとの混合ガスを供給する手法の一例を示す図である。 Ta [N (CH 3) 2 ] 5, a diagram illustrating an example of a method for supplying a mixed gas of the Ar supply by step (S102, S110) Ta [N (CH 3) 2] 5 and Ar. TaN膜形成工程における各ガスの供給フローを示す図である。It is a figure which shows the supply flow of each gas in a TaN film formation process. ALD法において、TaN膜が形成される様子を説明するための概念図である。It is a conceptual diagram for demonstrating a TaN film | membrane being formed in ALD method. Ta膜形成工程における各ガスの供給フローを示す図である。It is a figure which shows the supply flow of each gas in Ta film formation process. ALD法において、Ta膜が形成される様子を説明するための概念図である。It is a conceptual diagram for demonstrating a Ta film | membrane being formed in ALD method. 図1のフローチャートに対応して実施される工程の後に実施される工程の要部を表す工程断面図である。It is process sectional drawing showing the principal part of the process implemented after the process implemented corresponding to the flowchart of FIG. 実施の形態2における半導体装置の製造方法の要部を表すフローチャートである。10 is a flowchart showing a main part of a method for manufacturing a semiconductor device in a second embodiment. 図11のフローチャートに対応して実施される工程を表す工程断面図である。It is process sectional drawing showing the process implemented corresponding to the flowchart of FIG. 図11のフローチャートに対応して実施される工程の後に実施される工程の要部を表す工程断面図である。It is process sectional drawing showing the principal part of the process implemented after the process implemented corresponding to the flowchart of FIG. リモートプラズマ装置の概念図である。It is a conceptual diagram of a remote plasma apparatus. ダマシン法の要部を表す工程断面図である。It is process sectional drawing showing the principal part of a damascene method.

符号の説明Explanation of symbols

10 基体
11 SiC絶縁膜
12 多孔質絶縁膜
13 SiO絶縁膜
15 絶縁膜
20,22 TaN膜
25,32 Ta膜
30 シード層
35 Cu
50 開口部
125 TaNx膜
200 基体
220 層間絶縁膜
240 バリアメタル層
260 Cu層
300 チャンバ
310 下部電極
320 上部電極
330 真空ポンプ
350 装置
400 チャンバ
410 基板ホルダー
450 装置
10 base 11 SiC insulating film 12 porous dielectric film 13 SiO 2 insulating film 15 insulating film 20, 22 TaN film 25, 32 Ta film 30 seed layer 35 Cu
50 Opening 125 TaNx film 200 Base body 220 Interlayer insulating film 240 Barrier metal layer 260 Cu layer 300 Chamber 310 Lower electrode 320 Upper electrode 330 Vacuum pump 350 Device 400 Chamber 410 Substrate holder 450 Device

Claims (7)

第1のガスと前記第1のガスを還元する第2のガスとを用いて第1のバリアメタル薄膜を基体に形成する第1のバリアメタル薄膜形成工程と、
前記基体を大気に暴露することなく、前記第1のバリアメタル薄膜形成工程により形成された第1のバリアメタル薄膜の上に、第3のガスと前記第3のガスを還元する第4のガスとを用いて第2のバリアメタル薄膜を形成する第2のバリアメタル薄膜形成工程と、
を備えたことを特徴とする半導体装置の製造方法。
A first barrier metal thin film forming step of forming a first barrier metal thin film on a substrate using a first gas and a second gas for reducing the first gas;
A fourth gas that reduces the third gas and the third gas on the first barrier metal thin film formed by the first barrier metal thin film forming step without exposing the substrate to the atmosphere. A second barrier metal thin film forming step of forming a second barrier metal thin film using
A method for manufacturing a semiconductor device, comprising:
前記第1のバリアメタル薄膜形成工程は、
前記基体に、前記第1のガスを供給する第1のガス供給工程と、
前記第1のガス供給工程の後に、前記第2のガスを前記基体に供給する第2のガス供給工程と
を有し、
前記第1のバリアメタル薄膜形成工程において、前記第1のガス供給工程と第2のガス供給工程とを繰り返すことにより、所定の膜厚の第1のバリアメタル薄膜を形成し、
前記第2のバリアメタル薄膜形成工程は、
前記第2のガス供給工程の後に、前記第3のガスを前記基体に供給する第3のガス供給工程と、
前記第3のガス供給工程の後に、前記第4のガスを前記基体に供給する第4のガス供給工程と、
を有し、
前記第2のバリアメタル薄膜形成工程において、前記第3のガス供給工程と第4のガス供給工程とを繰り返すことにより、前記所定の膜厚の第1のバリアメタル薄膜よりも大きい膜厚の第2のバリアメタル薄膜を形成することを特徴とする請求項1記載の半導体装置の製造方法。
The first barrier metal thin film forming step includes:
A first gas supply step of supplying the first gas to the substrate;
A second gas supply step for supplying the second gas to the substrate after the first gas supply step;
In the first barrier metal thin film forming step, by repeating the first gas supply step and the second gas supply step, a first barrier metal thin film having a predetermined thickness is formed,
The second barrier metal thin film forming step includes
A third gas supply step for supplying the third gas to the substrate after the second gas supply step;
A fourth gas supply step for supplying the fourth gas to the substrate after the third gas supply step;
Have
In the second barrier metal thin film forming step, by repeating the third gas supply step and the fourth gas supply step, the first barrier metal thin film having a thickness larger than that of the first barrier metal thin film having the predetermined thickness is obtained. 2. The method of manufacturing a semiconductor device according to claim 1, wherein two barrier metal thin films are formed.
前記第1のバリアメタル薄膜形成工程において、原子層気相成長法を用いて前記第1のバリアメタル薄膜を形成し、
前記第2のバリアメタル薄膜形成工程において、原子層気相成長法を用いて前記2のバリアメタル薄膜を形成することを特徴とする請求項1記載の半導体装置の製造方法。
In the first barrier metal thin film formation step, the first barrier metal thin film is formed using atomic layer vapor deposition.
2. The method of manufacturing a semiconductor device according to claim 1, wherein the second barrier metal thin film is formed by atomic layer vapor deposition in the second barrier metal thin film forming step.
前記第2のバリアメタル薄膜形成工程において、前記第4のガス供給工程により供給された第4のガスのプラズマ雰囲気に前記基体を晒すことを特徴とする請求項2記載の半導体装置の製造方法。   3. The method of manufacturing a semiconductor device according to claim 2, wherein in the second barrier metal thin film forming step, the substrate is exposed to a plasma atmosphere of the fourth gas supplied by the fourth gas supply step. 前記基体には、多孔質の絶縁性材料を用いた絶縁膜が設けられ、
前記第1のバリアメタル薄膜形成工程は、前記絶縁膜の表面に前記第1のバリアメタル薄膜を形成することを特徴とする請求項1〜4のいずれか1つに記載の半導体装置の製造方法。
The base is provided with an insulating film using a porous insulating material,
5. The method of manufacturing a semiconductor device according to claim 1, wherein in the first barrier metal thin film forming step, the first barrier metal thin film is formed on a surface of the insulating film. .
前記第1のバリアメタル薄膜形成工程において、前記第1のバリアメタル薄膜として金属窒化膜を形成し、
前記第2のバリアメタル薄膜形成工程において、前記第2のバリアメタル薄膜として、前記第1のバリアメタル薄膜よりも窒素濃度が低い金属膜を形成することを特徴とする請求項1〜5のいずれか1つに記載の半導体装置の製造方法。
In the first barrier metal thin film forming step, a metal nitride film is formed as the first barrier metal thin film,
6. The metal film having a nitrogen concentration lower than that of the first barrier metal thin film is formed as the second barrier metal thin film in the second barrier metal thin film forming step. A method for manufacturing a semiconductor device according to claim 1.
基体の上に配置された絶縁性材料を用いた絶縁層と、
前記絶縁層に配置された配線材料を用いた配線部と、
前記配線部と前記絶縁性材料との間に配置された、各膜厚の最小寸法が10nmよりも小さく形成された第1と第2のバリアメタル薄膜部と、
を備えたことを特徴とする半導体装置。
An insulating layer using an insulating material disposed on the substrate;
A wiring portion using a wiring material disposed in the insulating layer;
First and second barrier metal thin film portions disposed between the wiring portion and the insulating material and having a minimum dimension of each film thickness smaller than 10 nm;
A semiconductor device comprising:
JP2004008418A 2004-01-15 2004-01-15 Fabrication process of semiconductor device and semiconductor device Pending JP2005203569A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004008418A JP2005203569A (en) 2004-01-15 2004-01-15 Fabrication process of semiconductor device and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004008418A JP2005203569A (en) 2004-01-15 2004-01-15 Fabrication process of semiconductor device and semiconductor device

Publications (2)

Publication Number Publication Date
JP2005203569A true JP2005203569A (en) 2005-07-28
JP2005203569A5 JP2005203569A5 (en) 2006-10-19

Family

ID=34821765

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004008418A Pending JP2005203569A (en) 2004-01-15 2004-01-15 Fabrication process of semiconductor device and semiconductor device

Country Status (1)

Country Link
JP (1) JP2005203569A (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006093262A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093259A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093258A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093260A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093261A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093263A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
JP2007211326A (en) * 2006-02-13 2007-08-23 Nec Electronics Corp Film deposition apparatus and film deposition method
JP2010153487A (en) * 2008-12-24 2010-07-08 Panasonic Corp Semiconductor device, and method for manufacturing the same
KR20110104989A (en) * 2009-02-02 2011-09-23 에이에스엠 아메리카, 인코포레이티드 Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
JP2012074714A (en) * 2011-11-14 2012-04-12 Toshiba Corp Method of manufacturing semiconductor device
US8158197B2 (en) 2005-03-03 2012-04-17 Ulvac, Inc. Method for forming tantalum nitride film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001053077A (en) * 1999-08-13 2001-02-23 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
JP2002329680A (en) * 2001-03-27 2002-11-15 Sharp Corp Multilayered barrier-metal thin film for copper interconnect by alcvd
JP2007502551A (en) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド Integration of ALD tantalum nitride for copper metallization

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001053077A (en) * 1999-08-13 2001-02-23 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
JP2002329680A (en) * 2001-03-27 2002-11-15 Sharp Corp Multilayered barrier-metal thin film for copper interconnect by alcvd
JP2007502551A (en) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド Integration of ALD tantalum nitride for copper metallization

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8158197B2 (en) 2005-03-03 2012-04-17 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093258A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
US8796142B2 (en) 2005-03-03 2014-08-05 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093262A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093261A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093263A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
JP2006241521A (en) * 2005-03-03 2006-09-14 Ulvac Japan Ltd Method for depositing tantalum nitride film
JP2006241525A (en) * 2005-03-03 2006-09-14 Ulvac Japan Ltd Method for depositing tantalum nitride film
JP2006241520A (en) * 2005-03-03 2006-09-14 Ulvac Japan Ltd Method for depositing tantalum nitride film
JP2006241522A (en) * 2005-03-03 2006-09-14 Ulvac Japan Ltd Method for depositing tantalum nitride film
JP2006241523A (en) * 2005-03-03 2006-09-14 Ulvac Japan Ltd Method for depositing tantalum nitride film
JP2006241524A (en) * 2005-03-03 2006-09-14 Ulvac Japan Ltd Method for depositing tantalum nitride film
US8158198B2 (en) 2005-03-03 2012-04-17 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093259A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
WO2006093260A1 (en) * 2005-03-03 2006-09-08 Ulvac, Inc. Method for forming tantalum nitride film
US8105468B2 (en) 2005-03-03 2012-01-31 Ulvac, Inc. Method for forming tantalum nitride film
US8679253B2 (en) 2006-02-13 2014-03-25 Renesas Electronics Corporation Deposition apparatus and method for depositing film
JP2007211326A (en) * 2006-02-13 2007-08-23 Nec Electronics Corp Film deposition apparatus and film deposition method
US8310052B2 (en) 2008-12-24 2012-11-13 Panasonic Corporation Semiconductor device and method for manufacturing same
JP2010153487A (en) * 2008-12-24 2010-07-08 Panasonic Corp Semiconductor device, and method for manufacturing the same
US9466574B2 (en) 2009-02-02 2016-10-11 Asm America, Inc. Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
KR20110104989A (en) * 2009-02-02 2011-09-23 에이에스엠 아메리카, 인코포레이티드 Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
JP2012517101A (en) * 2009-02-02 2012-07-26 エーエスエム アメリカ インコーポレイテッド Plasma-enhanced atomic layer deposition on dielectric layers of conducting materials
TWI508175B (en) * 2009-02-02 2015-11-11 Asm Inc Method of forming integrated circuit and method of forming gate electrode
KR101648062B1 (en) 2009-02-02 2016-08-12 에이에스엠 아메리카, 인코포레이티드 Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
JP2012074714A (en) * 2011-11-14 2012-04-12 Toshiba Corp Method of manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
US10056328B2 (en) Ruthenium metal feature fill for interconnects
KR102036245B1 (en) Doped tantalum nitride for copper barrier applications
JP2008187072A (en) Semiconductor device manufacturing method and semiconductor device
TWI827553B (en) Ruthenium metal feature fill for interconnects
JP2009194072A (en) Method of manufacturing semiconductor device
JP2010199601A (en) Semiconductor device
US10224275B2 (en) Copper interconnect structures
JP2023182638A (en) Seed layers for copper interconnection
JP2005203569A (en) Fabrication process of semiconductor device and semiconductor device
JP5025679B2 (en) Semiconductor device
JP3271756B2 (en) Method for manufacturing semiconductor device
JP2014041946A (en) Method of manufacturing semiconductor device and semiconductor device
JP2006024668A (en) Process for fabricating semiconductor device
JP2009010016A (en) Wiring forming method, and semiconductor device manufacturing method
KR20070066426A (en) Method of forming metal line in semiconductor device
JP4447433B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2011252218A (en) Method for fabricating electronic component and electro-plating apparatus
JP2005203568A (en) Fabrication process of semiconductor device, and semiconductor device
JP2006060011A (en) Method of manufacturing semiconductor device
JP2009117673A (en) Semiconductor device and manufacturing method thereof
JP2006147895A (en) Manufacturing method of semiconductor device
JP2012074714A (en) Method of manufacturing semiconductor device
JP2005311087A (en) Formation method of aluminum wiring
JP2006024666A (en) Process for fabricating semiconductor device
JP2006024667A (en) Process for fabricating semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050509

RD01 Notification of change of attorney

Effective date: 20050621

Free format text: JAPANESE INTERMEDIATE CODE: A7421

A521 Written amendment

Effective date: 20060831

Free format text: JAPANESE INTERMEDIATE CODE: A523

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080416

A131 Notification of reasons for refusal

Effective date: 20100831

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101028

A02 Decision of refusal

Effective date: 20110913

Free format text: JAPANESE INTERMEDIATE CODE: A02