JP2004519716A5 - - Google Patents

Download PDF

Info

Publication number
JP2004519716A5
JP2004519716A5 JP2002568415A JP2002568415A JP2004519716A5 JP 2004519716 A5 JP2004519716 A5 JP 2004519716A5 JP 2002568415 A JP2002568415 A JP 2002568415A JP 2002568415 A JP2002568415 A JP 2002568415A JP 2004519716 A5 JP2004519716 A5 JP 2004519716A5
Authority
JP
Japan
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002568415A
Other versions
JP2004519716A (ja
Filing date
Publication date
Priority claimed from US09/794,686 external-priority patent/US6699624B2/en
Application filed filed Critical
Publication of JP2004519716A publication Critical patent/JP2004519716A/ja
Publication of JP2004519716A5 publication Critical patent/JP2004519716A5/ja
Pending legal-status Critical Current

Links

JP2002568415A 2001-02-27 2002-02-25 格子テストパターン及びオーバレイ計測法 Pending JP2004519716A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/794,686 US6699624B2 (en) 2001-02-27 2001-02-27 Grating test patterns and methods for overlay metrology
PCT/US2002/005648 WO2002069390A2 (en) 2001-02-27 2002-02-25 Grating test patterns and methods for overlay metrology

Publications (2)

Publication Number Publication Date
JP2004519716A JP2004519716A (ja) 2004-07-02
JP2004519716A5 true JP2004519716A5 (ja) 2005-07-21

Family

ID=25163350

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002568415A Pending JP2004519716A (ja) 2001-02-27 2002-02-25 格子テストパターン及びオーバレイ計測法

Country Status (5)

Country Link
US (2) US6699624B2 (ja)
EP (1) EP1379922A2 (ja)
JP (1) JP2004519716A (ja)
TW (1) TW556297B (ja)
WO (1) WO2002069390A2 (ja)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP2004529330A (ja) 2001-03-02 2004-09-24 アクセント オプティカル テクノロジーズ,インク. スキャタロメトリを使用するライン・プロファイルの非対称測定
US7515279B2 (en) 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
JP3647378B2 (ja) * 2001-03-02 2005-05-11 キヤノン株式会社 マルチプローブを用いた形状測定装置及び測定方法
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
EP1400855A3 (en) * 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
AU2003298003A1 (en) * 2002-12-05 2004-06-30 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US7230704B2 (en) 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US6948149B2 (en) * 2004-02-19 2005-09-20 Infineon Technologies, Ag Method of determining the overlay accuracy of multiple patterns formed on a semiconductor wafer
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7289214B1 (en) 2004-11-23 2007-10-30 N&K Technology, Inc. System and method for measuring overlay alignment using diffraction gratings
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7247843B1 (en) * 2006-05-11 2007-07-24 Massachusetts Institute Of Technology Long-range gap detection with interferometric sensitivity using spatial phase of interference patterns
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7999940B2 (en) 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7564554B2 (en) * 2006-06-30 2009-07-21 Intel Corporation Wafer-based optical pattern recognition targets using regions of gratings
US7404725B2 (en) * 2006-07-03 2008-07-29 Hall David R Wiper for tool string direct electrical connection
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7643666B2 (en) * 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
CN1928721B (zh) * 2006-09-27 2012-05-30 上海微电子装备有限公司 移相光栅标记及利用该标记检测光刻机成像质量的方法
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8294907B2 (en) 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US20080148875A1 (en) * 2006-12-20 2008-06-26 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036476A1 (nl) * 2008-02-01 2009-08-04 Asml Netherlands Bv Alignment mark and a method of aligning a substrate comprising such an alignment mark.
US8004679B2 (en) * 2008-05-09 2011-08-23 Kla-Tencor Corporation Target design and methods for scatterometry overlay determination
EP2131245A3 (en) 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
US8745546B2 (en) * 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
CN103398666B (zh) * 2013-05-27 2015-12-23 电子科技大学 一种用于双层周期性微结构的层间错位测试方法
TWI689786B (zh) * 2013-07-26 2020-04-01 美商克萊譚克公司 量測系統
US9646902B2 (en) * 2013-08-12 2017-05-09 Taiwan Semiconductor Manufacturing Company Limited Paired edge alignment
US9059102B2 (en) 2013-08-15 2015-06-16 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes
US9257351B2 (en) 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
CN104111120B (zh) * 2014-07-25 2017-05-31 中国科学院上海光学精密机械研究所 基于朗奇剪切干涉仪的相位提取方法
CN111948239B (zh) * 2015-04-28 2024-01-12 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
KR102640173B1 (ko) 2016-06-14 2024-02-26 삼성전자주식회사 회절 기반 오버레이 마크 및 오버레이 계측방법
US9653404B1 (en) 2016-08-23 2017-05-16 United Microelectronics Corp. Overlay target for optically measuring overlay alignment of layers formed on semiconductor wafer
JP2021511532A (ja) * 2018-01-12 2021-05-06 ケーエルエー コーポレイション 傾斜周期構造を有する計測ターゲット及び方法
EP3611567A3 (en) * 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
US11182892B2 (en) * 2019-09-16 2021-11-23 Kla Corporation Periodic semiconductor device misregistration metrology system and method
WO2021072743A1 (en) 2019-10-18 2021-04-22 Yangtze Memory Technologies Co., Ltd. Systems and methods for evaluating critical dimensions based on diffraction-based overlay metrology
US11874102B2 (en) * 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
WO2021158255A1 (en) * 2020-02-07 2021-08-12 Kla Corporation Non-orthogonal target and method for using the same in measuring misregistration of semiconductor devices
CN113539867A (zh) * 2020-04-14 2021-10-22 中国科学院微电子研究所 半导体器件套刻精度的测量方法
CN112435936B (zh) * 2020-11-23 2022-03-15 长江存储科技有限责任公司 一种套刻精度检测方法及半导体结构
CN113270392B (zh) * 2021-06-22 2022-08-19 福建省晋华集成电路有限公司 一种对准标记结构以及半导体器件

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4422763A (en) * 1978-12-08 1983-12-27 Rca Corporation Automatic photomask alignment system for projection printing
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US5087537A (en) * 1989-10-11 1992-02-11 International Business Machines Corporation Lithography imaging tool and related photolithographic processes
JP2893823B2 (ja) * 1990-03-20 1999-05-24 株式会社ニコン 位置合わせ方法及び装置
US5545593A (en) * 1993-09-30 1996-08-13 Texas Instruments Incorporated Method of aligning layers in an integrated circuit device
JP3824639B2 (ja) * 1994-08-02 2006-09-20 エイエスエムエル ネザランドズ ベスローテン フエンノートシャップ 基板上にマスクパターンを繰り返し写像する方法
JP2669391B2 (ja) * 1995-03-30 1997-10-27 日本電気株式会社 半導体装置
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6498640B1 (en) * 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6383888B1 (en) * 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation

Similar Documents

Publication Publication Date Title
BE2019C547I2 (ja)
BE2019C510I2 (ja)
BE2018C021I2 (ja)
BE2017C049I2 (ja)
BE2017C005I2 (ja)
BE2016C069I2 (ja)
BE2016C040I2 (ja)
BE2018C018I2 (ja)
BE2013C039I2 (ja)
BE2013C025I2 (ja)
BE2011C038I2 (ja)
JP2004519716A5 (ja)
JP2003186327A5 (ja)
BRPI0302144B1 (ja)
BRPI0215435A2 (ja)
BE2013C046I2 (ja)
JP2003122635A5 (ja)
JP2003185474A5 (ja)
JP2003246201A5 (ja)
JP2003210216A5 (ja)
JP2003229434A5 (ja)
JP2003241524A5 (ja)
BR0315835A2 (ja)
JP2002204870A5 (ja)
AU2001263071A1 (ja)