JP2004078759A - スキャン機能を有する集積回路の設計方法 - Google Patents

スキャン機能を有する集積回路の設計方法 Download PDF

Info

Publication number
JP2004078759A
JP2004078759A JP2002240729A JP2002240729A JP2004078759A JP 2004078759 A JP2004078759 A JP 2004078759A JP 2002240729 A JP2002240729 A JP 2002240729A JP 2002240729 A JP2002240729 A JP 2002240729A JP 2004078759 A JP2004078759 A JP 2004078759A
Authority
JP
Japan
Prior art keywords
netlist
flip
flop
scan
standard cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002240729A
Other languages
English (en)
Other versions
JP4131651B2 (ja
Inventor
Toshio Arakawa
荒川 利夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2002240729A priority Critical patent/JP4131651B2/ja
Priority to US10/634,857 priority patent/US6990642B2/en
Publication of JP2004078759A publication Critical patent/JP2004078759A/ja
Application granted granted Critical
Publication of JP4131651B2 publication Critical patent/JP4131651B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318577AC testing, e.g. current testing, burn-in
    • G01R31/31858Delay testing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Abstract

【課題】スキャン回路を構成するスキャンフリップフロップのハードマクロライブラリを生成することなく、スキャン回路付きの論理回路を有する集積回路を設計する方法を提供する。
【解決手段】ハードマクロだけの第3のネットリストNL3をレイアウトし、レイアウト可能なスキャンチェーン用接続配線の順番データを一旦求め、その順番データを利用して生成した第4のネットリストNL4から標準セルで構成される第5のネットリストNL5に対して自動配置を行う。従って、スキャン機能付きの第2のフリップフロップのレイアウトライブラリを用いることなく、レイアウト可能な集積回路の設計を行うことができる。
【選択図】図4

Description

【0001】
【発明の属する技術分野】
本発明は、LSI(集積回路)の設計方法に関し、特にスキャン機能を有する集積回路の設計方法に関する。
【0002】
【従来の技術】
LSIの設計工程は、所望の機能を実現するための論理回路を設計する論理設計工程と、論理設計工程で生成されたネットリストに基づいて、論理回路を構成する論理マクロや論理セル及びそれらを接続する接続配線をレイアウトする工程と、そのレイアウトされた論理回路のタイミング検証を行う検証工程などを有する。論理マクロや論理セルをレイアウトするためには、それらのレイアウトデータを有するレイアウトライブラリが参照される。また、タイミング検証に使用されるテストパターンは、論理マクロや論理セルの機能データを有する論理ライブラリが参照される。
【0003】
なお、論理セルは、フリップフロップやNAND、NORゲートなどの比較的小規模の回路単位であり、論理マクロはプロセッサなどの比較的大規模の回路単位であるが、両者とも所定の機能を有する回路単位であり、論理ライブラリ及びレイアウトライブラリに登録されているので、以下総称して、標準セルまたはセルと称する。
【0004】
大規模化されたLSIでは、検証用の回路としてスキャン回路を設けることが一般的に行われる。即ち、設計工程で、論理回路内の複数のフリップフロップをスキャン機能付きフリップフロップに置き換え、それらをスキャンチェーン接続配線で接続した回路構成にし、検証工程で、所定のテストデータをスキャンインにより複数のフリップフロップに入力し、論理回路を所定サイクル動作させた後に、それらのフリップフロップ内のデータをスキャンアウトにより出力し、出力されたデータが期待値と一致するか否かの検証を行う。上記のテストデータは、論理設計された論理回路にスキャン回路を追加した回路をもとに、コンピュータによる設計ツールにより自動生成される。また、テストデータの生成のためには、前述したとおり、論理回路を構成するセルの論理データを有する論理ライブラリが参照される。
【0005】
図1は、従来のLSIの設計工程を示すフローチャート図である。図1の工程S1〜S4は、全てCAD設計ツールが有する機能により行われる。まず、論理設計工程S1が終了した時点で、論理回路を構成するセル間の接続データを有するネットリストNL1が生成される。この段階では、ネットリストNL1内には、検証用のスキャン回路が含まれていない。つまり、テスト用データ(DFT:Dsign For Test)が含まれていない。
【0006】
そこで、テスト回路合成工程S2にて、論理回路内の遅延フリップフロップ(D−FF)を抽出して、その遅延フリップフロップをスキャン機能付きのスキャンフリップフロップに置き換えて、そのスキャンフリップフロップを任意の順番に接続してスキャンチェーンを形成する。その結果、ネットリストNL2が生成される。このネットリストNL2では、論理設計工程S1で生成されたネットリストNL1に対して、遅延フリップフロップがスキャンフリップフロップに置き換えられ、ネットリストNL1の接続データに加えて、スキャンチェーンを形成する接続データが含まれる。
【0007】
このネットリストNL2に含まれるスキャン回路付きの論理回路に対して、テストパターンが自動生成される(S3)。テストパターンの自動生成工程では、ネットリストNL2に含まれるスキャン回路付きの論理回路のデータと、論理回路を構成するセル及び追加されたスキャンフリップフロップの論理データを有する論理ライブラリF10とが参照されて、入力テストパターンと期待される出力テストパターンとが生成される。これらはテストパターンファイルF12として登録され、後のタイミング検証工程(図示せず)で利用される。
【0008】
更に、ネットリストNL2をもとにして、自動配置配線工程S4が行われる。自動配置配線工程S4では、ネットリストNL2内の、論理回路を構成するセルのレイアウト及びそれらを接続する接続配線のレイアウトが、レイアウトライブラリF14を参照して行われる。その結果、ネットリストNL2に対するレイアウトデータファイルF16が生成される。このレイアウトデータファイルには、スキャンフリップフロップとそれを接続してスキャンチェーンを構成するスキャン接続配線のレイアウトデータも含まれる。そのため、自動配置配線工程S4では、論理回路を構成するセルのレイアウトライブラリに加えて、スキャンフリップフロップのレイアウトライブラリも参照される。
【0009】
ここで、テスト用データDFTは、スキャンフリップフロップとそれを接続する接続配線であるが、従来の方法では、ネットリストNL2に含まれるテスト用データは、ハードマクロであるスキャンフリップフロップにより構成される。ハードマクロとは、レイアウトライブラリに登録されていて、ネットリストから直接レイアウト可能なセルをいう。従って、上記の例では、スキャンフリップフロップはレイアウトライブラリに登録済みであるので、ハードマクロである。一方、ソフトマクロとは、レイアウトライブラリには登録されておらず、従って、レイアウトするためには、ソフトマクロの機能を実現する複数のハードマクロ(標準セル)に展開し、その展開した標準セルについてレイアウトライブラリのレイアウト情報を利用する必要がある。
【0010】
図1に戻り、更に、自動配置配線工程S4で生成されたレイアウトデータF16について、全てのレイアウトされた接続配線が実現可能か否かがチェックされ、実現可能でない場合、論理回路を構成するセル及びそれらの接続配線を優先したときに、スキャン回路によるスキャンチェーン用の接続配線がレイアウト可能か否かがチェックされる。それが不可能な場合は、セルやその接続配線の配置は変更せずに、スキャンチェーン配線の順番のみを変更するリオーダー工程が行われる。スキャンチェーンの順番を変更することで、その接続配線が簡素化されて、レイアウトが可能になる可能性があるからである。もしリオーダ工程が行われると、スキャン回路が変更されることになるので、テストパターン自動生成工程S3が再度行われ、新たなスキャンチェーンを有する論理回路に対するテストパターンF12が再度作成される。
【0011】
【発明が解決しようとする課題】
しかしながら、ネットリストから自動配置配線工程によりレイアウトデータを生成するためには、上記のとおり、レイアウトライブラリに登録されている標準セルのレイアウト情報を有するハードマクロを参照する必要がある。そのため、論理設計工程S1で生成されたネットリストNL1に含まれる標準セルのハードマクロに加えて、テスト回路合成工程S2で挿入されたスキャンフリップフロップのハードマクロも、レイアウトライブラリF14に登録しておくことが必要になる。
【0012】
従って、スキャン機能付きの論理回路を設計してレイアウトを行うためには、スキャン機能を実現するためのスキャンフリップフロップのレイアウトライブラリ(ハードマクロライブラリ)が必要になり、ライブラリ登録の工数が増加するという課題がある。
【0013】
また、スキャンフリップフロップのレイアウトライブラリを使用しないでスキャン機能を実現するためにスキャン回路を挿入し、それを複数の標準セルに展開した場合には、回路規模が大きくなるという課題がある。
【0014】
そこで、本発明の目的は、スキャン回路用のレイアウトライブラリを必要とせずに、標準セルのレイアウトライブラリだけでスキャン回路を有する論理回路の自動配置を可能にする集積回路の設計方法を提供することにある。
【0015】
また、本発明の別の目的は、スキャン回路用のレイアウトライブラリを必要とせずに、標準セルのレイアウトライブラリだけでスキャン回路を有する論理回路の自動配置を可能にし、且つレイアウト時の面積を小さくした集積回路の設計方法を提供することにある。
【0016】
更に、本発明の別の目的は、スキャン回路を挿入した論理回路のレイアウト時の面積を小さくした集積回路の設計方法を提供することにある。
【0017】
【課題を解決するための手段】
上記の目的を達成するために、本発明の第1の側面は、論理回路を有する集積回路の設計方法において、少なくとも第1のフリップフロップを含む複数の標準セルが接続された論理回路の接続データを有する第1のネットリストを、当該第1のフリップフロップをスキャン機能を有する第2のフリップフロップに変換し、当該第2のフリップフロップを接続するスキャンチェーン接続配線を追加して第2のネットリストに変換する第1の工程と、第2のネットリスト内の第2のフリップフロップを、当該第2のフリップフロップを構成する複数の標準セルに置き換えて第3のネットリストを生成すると共に、前記スキャンチェーン接続配線データを生成する第2の工程と、第3のネットリストに従って、それに含まれる標準セルとその接続配線とを配置し、前記スキャンチェーン接続配線の順番を最適化してスキャンチェーン接続配線の順番データを生成する第3の工程と、第2のフリップフロップと、前記スキャンチェーン接続配線の順番データに従うスキャンチェーン用接続配線とを有する第4のネットリストから、前記第2のフリップフロップを、複数の標準セルに置き換えて第5のネットリストを生成し、第5のネットリストに従って、それに含まれる標準セルとその接続配線とを配置する第4の工程とを有することを特徴とする。
【0018】
上記第1の発明の側面によれば、上記第3の工程でレイアウト可能なスキャンチェーン用接続配線の順番データを一旦求め、その順番データを利用して生成した第4のネットリストから標準セルで構成される第5のネットリストに対して自動配置を行うので、スキャン機能付きの第2のフリップフロップのレイアウトライブラリを用いることなく、集積回路の設計を行うことができる。
【0019】
上記の目的を達成するために、本発明の第2の側面は、少なくとも第1のフリップフロップを含む複数の標準セルが接続された論理回路の接続データを有する第1のネットリストを、当該第1のフリップフロップをスキャン機能付きの第2のフリップフロップに変換し当該第2のフリップフロップを接続するスキャンチェーン用接続配線を追加して、第2のネットリストに変換する第1の工程と、第2のネットリスト内の第2のフリップフロップを、当該第2のフリップフロップを構成する複数の標準セルに置き換えると共に、当該置き換えられた標準セルと他の標準セルとを、より面積が小さい別の標準セルに置き換えて第3のネットリストを生成する第2の工程と、第3のネットリストに従ってそれに含まれる標準セルとその接続配線とを配置し、レイアウトデータを生成する第3の工程とを有する。
【0020】
上記第2の発明の側面によれば、スキャン機能を有する第2のフリップフロップを、複数の標準セルに置き換え、更に、その置き換えられた標準セルと他の標準セルとを別の標準セルに置き換えることで、第2のフリップフロップを追加したことに伴う面積の拡大をより小さく抑えることができる。
【0021】
【発明の実施の形態】
以下、図面を参照して本発明の実施の形態例を説明する。しかしながら、本発明の保護範囲は、以下の実施の形態例に限定されるものではなく、特許請求の範囲に記載された発明とその均等物にまで及ぶものである。
【0022】
図2は、本実施の形態に対する比較例としての設計工程のフローチャート図である。本実施の形態を説明するまえに、図1の従来例を一部変更した図2の比較例について説明する。
【0023】
この比較例では、論理設計工程S1で生成したネットリストNL1を、テスト回路合成工程S2にて、スキャン機能付きフリップフロップをスキャンチェーン接続させた試験回路を有する論理回路のネットリストNL2を生成する。この工程は、従来例と同じように、遅延フリップフロップをスキャンフリップフロップに置き換え、更にそれらスキャンフリップフロップを接続するスキャンチェーン接続配線を追加することで変換が行われる。但し、比較例では、レイアウトライブラリに試験回路用のスキャンフリップフロップのハードマクロを登録しない例であり、従って、ネットリストNL2内のスキャンフリップフロップは、複数の標準セルに展開する必要があるソフトマクロである。
【0024】
ネットリストNL2で定義されている論理回路に対して、テストパターンが自動生成される(S3)。これも従来例と同じであり、論理ライブラリF10に登録されているスキャンフリップフロップと標準セルの論理データを参照して、テストパターンF12が生成される。この段階では、スキャンフリップフロップが存在するので、テストパターンの生成が可能である。
【0025】
更に、比較例では、スキャンフリップフロップのハードマクロを利用せずに自動配置を行うために、ネットリストNL2内のスキャンフリップフロップを、同じ機能を実現できる複数の標準セル(ハードマクロ)に展開する(S10)。
【0026】
図3は、ソフトマクロのスキャンフリップフロップをハードマクロである標準セルに展開した例を示す図である。図中、破線のブロックはソフトマクロを、実線のブロックはハードマクロをそれぞれ示す。テスト回路として利用されるスキャンフリップフロップは、セレクタSELと遅延フリップフロップD−FFとNANDゲートとで構成される。つまり、ソフトマクロのスキャンフリップフロップSFFは、3つの標準セルに展開可能である。そして、これらの標準セルSEL、D−FF、NANDは、それぞれ論理ライブラリF10に登録されていると共に、ハードマクロとしてレイアウトライブラリF14にも登録されている。従って、ソフトマクロであるスキャンフリップフロップSFFは、より下層の複数のハードマクロに展開されることで、ハードマクロのレイアウト情報を利用してレイアウト可能になる。
【0027】
このスキャンフリップフロップは、本来の論理回路を構成するセルとしての機能と、テストパターンの入出力に利用されるスキャン回路としての機能とを有する。スキャンモード信号SMがHレベルの時に、スキャン回路として機能し、スキャン入力SIを遅延フリップD−FFのデータ入力Dに接続し、データ出力QをNANDゲートを経由してスキャン出力端子SOに出力する。また、スキャンモード信号SMがLレベルの時に、論理回路を構成する遅延フリップフロップD−FFとして機能し、通常のデータ入力Dを遅延フリップフロップD−FFのデータ入力に接続する。データ出力Qは、そのままデータ出力端子Qから出力される。
【0028】
図2に戻り、ネットリストNL3のテスト回路は、図3のように標準セルで構成される。従って、ネットリストNL3を構成する全てのセルは、そのハードマクロとしてレイアウトライブラリF14に登録済みの標準セルである。そこで、ネットリストNL3について、レイアウトライブラリF14を参照して、自動配置配線が行われ、レイアウトデータF16が生成される(S4)。
【0029】
以上のように、テスト回路として追加したスキャンフリップフロップをソフトマクロとして取り扱い、自動配置配線工程を行う時に、そのソフトマクロを同じ機能を実現する複数の標準セル(ハードマクロ)に展開することで、スキャンフリップフロップのハードマクロをあらかじめ登録する必要はなくなる。しかしながら、スキャンフリップフロップを一旦複数の標準セルに展開することにより、元のスキャンフリップフロップのデータが失われてしまう。つまり、ネットリストNL3には、スキャンフリップフロップのデータが存在せず、それに伴いスキャンチェーン接続配線を区別することができない。その結果、自動配置配線工程時に、スキャンチェーン配線の順番を最適化することができない。従って、スキャンフリップフロップのハードマクロを利用する必要はないが、スキャンチェーンの接続配線を再配置する最適化ができないので、混雑度によっては配線のレイアウトができない場合がある。
【0030】
図4は、本実施の形態における設計工程のフローチャート図である。この実施例では、ソフトマクロによるテスト回路を追加したあと、ハードマクロに展開するときに、スキャンチェーンを構成する接続データを生成しておき、ハードマクロに展開したネットリストから自動配置を行った時点で、スキャンチェーンの順番の最適化を行う。そして、この最適化で得られたスキャンチェーンの順番データを利用して、最適化されたスキャンチェーンの順番になるようにスキャンフリップフロップ(ソフトマクロ)を接続したネットリストを生成する。この生成されたネットリストからテストパターンを生成し、そのソフトマクロをハードマクロに展開してから自動配置配線工程を行ってレイアウトデータを生成する。自動配置配線工程では、すでにスキャンチェーン配線の最適化は行われているので、スキャンチェーン配線を再度最適化することなくレイアウト可能になる。
【0031】
以下、図4にしたがって設計工程を詳述する。従来例や比較例と同様に、論理設計工程S1により、複数の標準セルを接続した論理回路のネットリストNL1が生成される。このネットリストNL1には、テスト回路は含まれていない。そこで、テスト回路合成工程S2にて、ネットリストNL1内の遅延フリップフロップをスキャンフリップフロップに置き換えて、更に、任意の順番でスキャンフリップフロップを接続するスキャンチェーンの接続配線を追加して、ネットリストNL2を生成する。この段階では、スキャンフリップフロップは、ソフトマクロである。
【0032】
図5は、ネットリストNL1の一例を示す図である。このネットリストの論理回路は、入力INと出力OUT1,2とクロック端子CLKとを有し、更に論理回路群内に6個の遅延フリップフロップ▲1▼〜▲6▼が含まれている。この論理回路は、入力INが供給されて、クロックCLKに同期して回路が動作し、出力OUT1,2が出力される。その動作において、6個の遅延フリップフロップD−FFにそれぞれのデータが保持される。従って、ネットリストNL1は、論理回路群を構成する複数の標準セルとそれに含まれる遅延フリップフロップのデータと、それらを接続する接続配線データとを含む。
【0033】
図6は、テスト回路合成工程S2により生成されたネットリストNL2の一例を示す図である。図5の遅延フリップフロップD−FFは、全てスキャンフリップフロップSFFに置き換えられ、更に、スキャンフリップフロップのスキャン入力SIとスキャン出力SOとを接続するスキャンチェーン接続配線とスキャンデータ入力端子SDIとスキャンデータ出力端子SDOとが追加されている。また、スキャンモードと通常モードとの切換を行うスキャンモード信号SMをスキャンフリップフロップSFFに供給する配線も追加されている。このスキャンフリップフロップSFFは、ソフトマクロであり、論理的な情報からなる論理ライブラリには登録されているが、レイアウト情報からなるレイアウトライブラリには登録されていない。
【0034】
図6に示されたテスト回路付きの論理回路では、スキャンデータ入力端子SDIからテストパターン入力をスキャンインして、6個のスキャンフリップフロップにテストパターンを保持させる。その状態で、クロックCLKを供給して論理回路に所定サイクル動作を行わせて、その後スキャンフリップフロップに保持されたデータをスキャンアウトによりスキャンデータ出力端子SDOから出力する。その出力されたデータと期待値であるテストパターンとを比較することで、論理回路の動作検証が行われる。
【0035】
図4に戻り、テスト回路合成工程S2で生成されたネットリストNL2では、テスト回路を構成するスキャンフリップフロップがソフトマクロで構成され、その接続順は任意の順番になっている。この時に、スキャンフリップフロップSFFを接続するスキャンチェーン接続配線のデータが、スキャンチェーンデータF20として抽出される。このデータには、どの配線がスキャンチェーン接続配線であるかを示すデータである。つまり、図6に示した、スキャンデータ入力SDIと初段のスキャン入力SIとを接続する配線と、各スキャンフリップフロップ間のスキャン出力SOとスキャン入力SIとを接続する配線と、最終段のスキャン出力SOとスキャンデータ出力SDOとを接続する配線とが、このスキャンチェーンデータF20に含まれる。
【0036】
そこで、スキャンフリップフロップ変換工程S10にて、ネットリストNL2内のソフトマクロからなるスキャンフリップフロップが、図4に示したように複数のハードマクロに展開されて、ネットリストNL3が生成される。この時、スキャンチェーンデータF20はそのまま維持される。従って、ソフトマクロからなるスキャンフリップフロップがハードマクロである複数の標準セルに展開されても、そのスキャンチェーン接続配線がどれであるかのデータは維持される。
【0037】
ハードマクロに展開されたネットリストNL3に基づいて、レイアウトライブラリF14を参照することで、自動配置工程S4が行われる。ネットリストNL3内のセルは全てレイアウトライブラリにハードマクロとして登録された標準セルであるので、自動配置を行うことができる。自動配置工程では、レイアウトライブラリのレイアウト情報にしたがって各セルの配置が決定され、更に、セル間を接続する接続配線のレイアウトも決定される。その自動配置のアルゴリズムは、一般的なものであり、ここでは詳述しない。
【0038】
図7は、スキャンチェーンのリオーダ前のレイアウト状態の一例を示す図である。図7には、スキャンフリップフロップとそれを接続するスキャンチェーン接続配線のみが示され、論理回路を構成するセルは省略されている。図8に示されるとおり、ソフトマクロであるスキャンフリップフロップSFFは、セレクタSと遅延フリップフロップDFFとNANDゲートNからなるハードマクロに展開されている。従って、セレクタSと遅延フリップフロップDFFとNANDゲートNとでスキャンフリップフロップ単位が構成され、スキャンフリップフロップ単位内の配線経路は破線で示される通りであり、スキャンフリップフロップ単位間のスキャンチェーン配線経路は実線で示される通りである。図8では、スキャンモード制御信号やクロックの配線、論理回路用の接続配線は省略されている。
【0039】
図7には、6つのスキャンフリップフロップ単位がレイアウトされている。図8と同様に、スキャンフリップフロップ単位内配線は破線で、単位間のスキャンチェーン配線は実線で示されている。そのスキャンチェーン配線の順番は、図6に示されたとおりSDI−▲1▼−▲2▼−▲3▼−▲4▼−▲5▼−▲6▼−SDOである。自動配置工程S4では、各セルが所定のレイアウトアルゴリズムに従って最適化された位置にそれぞれ配置され、セル間の接続配線もレイアウトされる。
【0040】
スキャン回路は、スキャンフリップフロップにテストパターンをスキャンインし、動作後のテストパターンをスキャンアウトできれば良い。従って、実線のスキャンチェーン配線の順番は変更可能である。しかし、各スキャンフリップフロップ単位は維持される必要があり、破線の配線は変更することはできない。
【0041】
図4に戻り、前述のとおり、実線のスキャンチェーン配線は、スキャンチェーンデータF20として抽出されている。従って、この配線の順番を変更するスキャンチェーンのリオーダが、自動配置工程S4にて行われる。
【0042】
図9は、スキャンチェーンのリオーダ後のレイアウト状態の一例を示す図である。ハードマクロのレイアウトによれば、スキャンフリップフロップ単位▲1▼のNANDと▲3▼のセレクタが、▲3▼のNANDと▲5▼のセレクタが、▲2▼のNANDと▲4▼のセレクタが、▲4▼のNANDと▲5▼のセレクタが、それぞれ近接している。そこで、リオーダにより実線のスキャンチェーン配線の順番をSDI−▲1▼−▲3▼−▲5▼−▲2▼−▲4▼−▲6▼−SDOに変更した結果が、図9に示される。これ以外のリオーダも可能であるが、図7のリオーダ前に比較すると、実線のスキャンチェーン配線は簡単化され、レイアウト可能になっている。
【0043】
そして、上記のスキャンチェーン配線の順番であるSDI−▲1▼−▲3▼−▲5▼−▲2▼−▲4▼−▲6▼−SDOはスキャンチェーンオーダファイルF22として生成される。
【0044】
図4に示されるとおり、一旦最適化されたスキャンチェーン配線の順番データF22が生成されると、ネットリストNL1に対して、再度テスト回路合成工程S11によりテスト回路を有するネットリストNL4が生成される。この時、テスト回路を構成するスキャンフリップフロップの接続順は、スキャンチェーンオーダファイルF22の順番データに従って決められる。従って、生成されたネットリストNL4は、図6のネットリストNL2と、スキャンチェーン配線の順番がSDI−▲1▼−▲3▼−▲5▼−▲2▼−▲4▼−▲6▼−SDOになっていること以外は同じである。
【0045】
ネットリストNL4の生成は、図6に示したネットリストNL2のスキャンチェーン配線の順番を、スキャンチェーンオーダファイルF22の順番に変更することによっても可能である。
【0046】
ネットリストNL4は、テスト回路がソフトマクロであるスキャンフリップフロップにより構成されている。そこで、従来例と同様に、テストパターン自動生成工程S12にて、ネットリストNL4に対して、テストパターンF12が生成される。この時、標準セルとスキャンフリップフロップの論理データを有する論理ライブラリF10が参照される。このテストパターンには、前述の通り、入力用テストパターンと期待値用テストパターンとが含まれる。
【0047】
次に、論理最適化工程S13において、ネットリストNL4内のソフトマクロが、ハードマクロに展開されてネットリストNL5に変換される。この論理最適化工程S13では、スキャンフリップフロップ変換工程S10において行ったソフトマクロのスキャンフリップフロップをハードマクロの標準セルに展開することに加えて、新たに展開された標準セルと元々存在していた周辺の標準セルとを組み合わせて、論理圧縮を行い、同じ機能を実現できる別のセルに置き換えるセルの最適化が行われる。このように複数の標準セルの組合せを変えることで、回路規模が小さい集積回路にすることができる。
【0048】
図10は、論理最適化工程の一例を示す図である。図10には、ネットリストNL4(図中(A))が論理最適化によりネットリストNL5(図中(C))に変換された例が示される。ネットリストNL4内のスキャンフリップフロップSFFの前段にNANDゲートG1が存在しているものとする。これのネットリストNL4内のソフトマクロであるスキャンフリップフロップSFFをハードマクロである標準セルSEL、SFF、G0に展開したものが図中(B)に示される。この状態はネットリストNL3と同じである。
【0049】
ここで、図中右欄にNANDゲートG1をANDゲートとインバータに、セレクタSELをANDゲートG2,G3とORゲートG4とインバータG5にそれぞれ展開した論理回路を示す。ANDゲートG3とその前段の2つのインバータを合体するとNORゲートに変換できる。その結果、論理最適化により、ネットリストNL5では、NANDゲートG1とセレクタSELとが、AND/NORゲート(5)とAND/ORゲート(6)とに置き換えられる。
【0050】
図10(D)は、レイアウトライブラリの一例を示し、各ハードマクロ(1)〜(6)の面積比率が、NANDゲートの1.0を基準として示される。つまり、NANDゲートG1とセレクタSELとの面積比率の合計は、1.0+2.25=3.25である。それに対して、AND/NORゲート(5)とAND/ORゲート(6)との面積比率の合計は、1.25+1.75=3.0であり、NANDゲートG1とセレクタSELで展開した時よりも面積を小さくすることができる。
【0051】
上記のような論理最適化によりスキャンフリップフロップ単位を構成するセルと周辺のセルとの間で論理圧縮を行うことにより、論理回路内の遅延フリップフロップをスキャンフリップフロップに変換したことに伴う面積の増加と、ソフトマクロを複数のハードマクロに展開したことに伴う面積の増加とを、少しでも抑制することができる。
【0052】
図4に戻り、論理最適化工程S13により生成されたネットリストNL5は、ハードマクロを有する標準セルを接続した論理回路である。従って、ネットリストNL5に基づいて、レイアウトライブラリF14を参照し、自動配置配線工程S14が行われ、そのレイアウトデータF16が生成される。この段階では、スキャンチェーン配線が最適化されそのレイアウトが可能であることが検証済みであるので、その順番のリオーダは行われない。また、既にスキャンチェーンオーダファイルの順番に基づいて形成されたネットリストNL4について、テストパターンF12が生成済みであるので、リオーダを行うことはできない。リオーダによりスキャン回路構成が変更されるからである。
【0053】
この自動配置配線工程S14では、レイアウトされた配線の遅延時間データが計算される。その時、各信号配線が仕様で決められたセットアップタイムとホールドタイムを満足できるか否かがチェックされる。通常、レイアウト工程ではホールドタイムを満足できるように信号配線が形成され、セットアップタイムを満足できない場合に、その信号配線内に遅延ゲートが挿入される。そのようにセットアップタイムやホールドタイムを満足させるための遅延ゲートを加えたネットリストNL6も、自動配置配線工程S14で生成される。また、その信号配線の遅延時間データF24も生成される。
【0054】
そして、その後のタイミング検証工程S15にて、ネットリストNL6と、遅延データF24と、テストパターンF12にしたがって、論理回路のタイミング検証が行われる。タイミング検証でエラーが検出される場合は、遅延ゲートを挿入したりしてエラーを回避するようにされる。
【0055】
以上の実施の形態例によれば、論理回路のテスト回路を挿入する場合に、スキャン回路を構成するスキャンフリップフロップのレイアウトライブラリが必要でないので、ライブラリ開発期間を短縮することができる。また、スキャン回路を挿入しても、論理最適化により回路規模をある程度削減することができる。
【0056】
図4のフローチャートにおいて、スキャンフリップフロップ変換工程S10と論理最適化工程S13とが異なることにともない、ネットリストNL3とネットリストNL5とでは、構成される標準セルがわずかに異なる。これをなくすためには、スキャンフリップフロップ変換工程S10でも論理最適化を行うようにすれば良い。
【0057】
以上、実施の形態例をまとめると以下の付記の通りである。
【0058】
(付記1)論理回路を有する集積回路の設計方法において、
少なくとも第1のフリップフロップを含む複数の標準セルが接続された論理回路の接続データを有する第1のネットリストを、当該第1のフリップフロップをスキャン機能を有する第2のフリップフロップに変換し、当該第2のフリップフロップを接続するスキャンチェーン接続配線を追加して第2のネットリストに変換する第1の工程と、
前記第2のネットリスト内の第2のフリップフロップを、当該第2のフリップフロップを構成する複数の標準セルに置き換えて第3のネットリストを生成すると共に、スキャンチェーン接続配線データを生成する第2の工程と、
前記第3のネットリストに従って、それに含まれる標準セルとその接続配線とを配置し、前記スキャンチェーン接続配線データに基づいて、前記スキャンチェーン接続配線の順番を最適化してスキャンチェーン接続配線の順番データを生成する第3の工程と、
前記第2のフリップフロップと、前記スキャンチェーン接続配線の順番データに従うスキャンチェーン接続配線とを有する第4のネットリストから、前記第2のフリップフロップを、複数の標準セルに置き換えて第5のネットリストを生成し、前記第5のネットリストに従って、それに含まれる標準セルとその接続配線とを配置する第4の工程とを有することを特徴とする集積回路の設計方法。
【0059】
(付記2)付記1において、
更に、前記第4のネットリストにもとづいて、論理回路のテストパターンを生成する工程を有することを特徴とする集積回路の設計方法。
【0060】
(付記3)付記2において、
前記テストパターンは、前記論理回路内の第2のフリップフロップに入力する入力テストパターンと、所定サイクル動作後に当該第2のフリップフロップから出力する期待値テストパターンとを有することを特徴とする集積回路の設計方法。
【0061】
(付記4)付記2において、
前記第4のネットリストに含まれた前記第2のフリップフロップと標準セルは、論理情報を有する論理ライブラリに登録されており、前記テストパターン生成工程では、前記論理ライブラリが参照されることを特徴とする集積回路の設計方法。
【0062】
(付記5)付記1において、
前記第4のネットリストは、前記第1のネットリストを、それに含まれる前記第1のフリップフロップを前記第2のフリップフロップに変換し、前記スキャンチェーン接続配線の順番データに従うスキャンチェーン接続配線を追加して形成されることを特徴とする集積回路の設計方法。
【0063】
(付記6)付記1において、
前記標準セルは、レイアウト情報を有するレイアウトライブラリに登録されたハードマクロであり、前記第4の工程では、当該レイアウトライブラリを参照して標準セルの配置が行われることを特徴とする集積回路の設計方法。
【0064】
(付記7)付記1において、
前記スキャン機能を有する第2のフリップフロップは、レイアウト情報を有するハードマクロとしてレイアウトライブラリに登録されていないことを特徴とする集積回路の設計方法。
【0065】
(付記8)論理回路を有する集積回路の設計方法において、
少なくとも第1のフリップフロップを含む複数の標準セルが接続された論理回路の接続データを有する第1のネットリストを、当該第1のフリップフロップをスキャン機能を有する第2のフリップフロップに変換し、当該第2のフリップフロップを接続するスキャンチェーン接続配線を追加して第2のネットリストに変換する第1の工程と、
前記第2のネットリスト内の第2のフリップフロップを、当該第2のフリップフロップを構成する複数の標準セルに置き換えると共に、当該置き換えられた標準セルと周辺の標準セルとをより面積が小さい別の標準セルに置き換える最適化を行って第3のネットリストを生成する第2の工程と、
前記第3のネットリストに従って、それに含まれる標準セルとその接続配線とを配置する第3の工程とを有することを特徴とする集積回路の設計方法。
【0066】
(付記9)付記8において、
前記標準セルは、レイアウト情報を有するレイアウトライブラリに登録されたハードマクロであり、前記第2の工程において、前記レイアウトライブラリの面積情報を参照して、前記別の標準セルに置き換える最適化を行うことを特徴とする集積回路の設計方法。
【0067】
(付記10)付記8において、
前記標準セルは、レイアウト情報を有するレイアウトライブラリに登録されたハードマクロであり、前記第3の工程では、当該レイアウトライブラリを参照して標準セルの配置が行われることを特徴とする集積回路の設計方法。
【0068】
(付記11)付記8において、
前記スキャン機能を有する第2のフリップフロップは、レイアウト情報を有するハードマクロとしてレイアウトライブラリに登録されていないことを特徴とする集積回路の設計方法。
【0069】
【発明の効果】
以上、本発明によれば、スキャン回路を構成するスキャンフリップフロップのハードマクロライブラリを生成することなく、スキャン回路付きの論理回路を有する集積回路を設計することができる。また、本発明によれば、スキャン回路を含む集積回路の回路規模を抑制することができる。
【図面の簡単な説明】
【図1】従来のLSIの設計工程を示すフローチャート図である。
【図2】本実施の形態に対する比較例としての設計工程のフローチャート図である。
【図3】ソフトマクロのスキャンフリップフロップをハードマクロである標準セルに展開した例を示す図である。
【図4】本実施の形態における設計工程のフローチャート図である。
【図5】ネットリストNL1の一例を示す図である。
【図6】ネットリストNL2の一例を示す図である。
【図7】スキャンチェーンのリオーダ前のレイアウト状態の一例を示す図である。
【図8】ソフトマクロとハードマクロに展開したスキャンフリップフロップ単位の例を示す図である。
【図9】スキャンチェーンのリオーダ後のレイアウト状態の一例を示す図である。
【図10】論理最適化工程の一例を示す図である。
【符号の説明】
NL1〜NL5   第1〜第5のネットリスト
F10       論理ライブラリ
F12       テストデータ
F14       レイアウトライブラリ
F20       スキャンチェーン接続データ
F22       スキャンチェーン接続順番データ
D−FF      遅延フリップフロップ
SFF       スキャンフリップフロップ

Claims (9)

  1. 論理回路を有する集積回路の設計方法において、
    少なくとも第1のフリップフロップを含む複数の標準セルが接続された論理回路の接続データを有する第1のネットリストを、当該第1のフリップフロップをスキャン機能を有する第2のフリップフロップに変換し、当該第2のフリップフロップを接続するスキャンチェーン接続配線を追加して第2のネットリストに変換する第1の工程と、
    前記第2のネットリスト内の第2のフリップフロップを、当該第2のフリップフロップを構成する複数の標準セルに置き換えて第3のネットリストを生成すると共に、スキャンチェーン接続配線データを生成する第2の工程と、
    前記第3のネットリストに従って、それに含まれる標準セルとその接続配線とを配置し、前記スキャンチェーン接続配線データに基づいて、前記スキャンチェーン接続配線の順番を最適化してスキャンチェーン接続配線の順番データを生成する第3の工程と、
    前記第2のフリップフロップと、前記スキャンチェーン接続配線の順番データに従うスキャンチェーン接続配線とを有する第4のネットリストから、前記第2のフリップフロップを、複数の標準セルに置き換えて第5のネットリストを生成し、前記第5のネットリストに従って、それに含まれる標準セルとその接続配線とを配置する第4の工程とを有することを特徴とする集積回路の設計方法。
  2. 請求項1において、
    更に、前記第4のネットリストにもとづいて、論理回路のテストパターンを生成する工程を有することを特徴とする集積回路の設計方法。
  3. 請求項1において、
    前記第4のネットリストは、前記第1のネットリストを、それに含まれる前記第1のフリップフロップを前記第2のフリップフロップに変換し、前記スキャンチェーン接続配線の順番データに従うスキャンチェーン接続配線を追加して形成されることを特徴とする集積回路の設計方法。
  4. 請求項1において、
    前記標準セルは、レイアウト情報を有するレイアウトライブラリに登録されたハードマクロであり、前記第4の工程では、当該レイアウトライブラリを参照して標準セルの配置が行われることを特徴とする集積回路の設計方法。
  5. 請求項1において、
    前記スキャン機能を有する第2のフリップフロップは、レイアウト情報を有するハードマクロとしてレイアウトライブラリに登録されていないことを特徴とする集積回路の設計方法。
  6. 論理回路を有する集積回路の設計方法において、
    少なくとも第1のフリップフロップを含む複数の標準セルが接続された論理回路の接続データを有する第1のネットリストを、当該第1のフリップフロップをスキャン機能を有する第2のフリップフロップに変換し、当該第2のフリップフロップを接続するスキャンチェーン接続配線を追加して第2のネットリストに変換する第1の工程と、
    前記第2のネットリスト内の第2のフリップフロップを、当該第2のフリップフロップを構成する複数の標準セルに置き換えると共に、当該置き換えられた標準セルと周辺の標準セルとをより面積が小さい別の標準セルに置き換える最適化を行って第3のネットリストを生成する第2の工程と、
    前記第3のネットリストに従って、それに含まれる標準セルとその接続配線とを配置する第3の工程とを有することを特徴とする集積回路の設計方法。
  7. 請求項6において、
    前記標準セルは、レイアウト情報を有するレイアウトライブラリに登録されたハードマクロであり、前記第2の工程において、前記レイアウトライブラリの面積情報を参照して、前記別の標準セルに置き換える最適化を行うことを特徴とする集積回路の設計方法。
  8. 請求項6において、
    前記標準セルは、レイアウト情報を有するレイアウトライブラリに登録されたハードマクロであり、前記第3の工程では、当該レイアウトライブラリを参照して標準セルの配置が行われることを特徴とする集積回路の設計方法。
  9. 請求項6において、
    前記スキャン機能を有する第2のフリップフロップは、レイアウト情報を有するハードマクロとしてレイアウトライブラリに登録されていないことを特徴とする集積回路の設計方法。
JP2002240729A 2002-08-21 2002-08-21 スキャン機能を有する集積回路のレイアウト方法 Expired - Fee Related JP4131651B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002240729A JP4131651B2 (ja) 2002-08-21 2002-08-21 スキャン機能を有する集積回路のレイアウト方法
US10/634,857 US6990642B2 (en) 2002-08-21 2003-08-06 Design method for integrated circuit having scan function

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002240729A JP4131651B2 (ja) 2002-08-21 2002-08-21 スキャン機能を有する集積回路のレイアウト方法

Publications (2)

Publication Number Publication Date
JP2004078759A true JP2004078759A (ja) 2004-03-11
JP4131651B2 JP4131651B2 (ja) 2008-08-13

Family

ID=31884526

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002240729A Expired - Fee Related JP4131651B2 (ja) 2002-08-21 2002-08-21 スキャン機能を有する集積回路のレイアウト方法

Country Status (2)

Country Link
US (1) US6990642B2 (ja)
JP (1) JP4131651B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162707B2 (en) * 2002-12-04 2007-01-09 Renesas Technology Corp. Scan path timing optimizing apparatus determining connection order of scan path circuits to realize optimum signal timings
JP2009253023A (ja) * 2008-04-07 2009-10-29 Oki Semiconductor Co Ltd 半導体集積回路の設計方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7279887B1 (en) * 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
US20070006105A1 (en) * 2005-06-30 2007-01-04 Texas Instruments Incorporated Method and system for synthesis of flip-flops
US7546568B2 (en) * 2005-12-19 2009-06-09 Lsi Corporation Automation of tie cell insertion, optimization and replacement by scan flip-flops to increase fault coverage
US8271252B2 (en) * 2007-11-08 2012-09-18 Nvidia Corporation Automatic verification of device models
US8510616B2 (en) * 2008-02-14 2013-08-13 Nvidia Corporation Scalable scan-based test architecture with reduced test time and test power
US8132133B2 (en) * 2008-08-22 2012-03-06 International Business Machines Corporation Automated isolation of logic and macro blocks in chip design testing
US8943457B2 (en) * 2008-11-24 2015-01-27 Nvidia Corporation Simulating scan tests with reduced resources
CN102054078B (zh) * 2009-10-30 2014-11-26 新思科技(上海)有限公司 物理设计中基于双向优先选择的扫描链重构方法与装置
CN102495360B (zh) * 2011-12-16 2014-05-07 浙江大学 一种安全扫描寄存器、安全扫描链及其扫描方法
US9268892B1 (en) * 2014-12-19 2016-02-23 International Business Machines Corporation Identification of unknown sources for logic built-in self test in verification
US10436841B2 (en) * 2018-01-25 2019-10-08 Mellanox Technologies, Ltd. Use of wrapper cells to improve signal routing in integrated circuits
DE102018108951B3 (de) * 2018-04-16 2019-06-19 Infineon Technologies Ag Verfahren und anordnung zur bildung einer elektronischen schaltung
CN112100972B (zh) * 2019-05-31 2024-02-13 创意电子股份有限公司 电路校正系统与增加扫描测试涵盖率的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5828579A (en) * 1996-08-28 1998-10-27 Synopsys, Inc. Scan segment processing within hierarchical scan architecture for design for test applications
US5949692A (en) * 1996-08-28 1999-09-07 Synopsys, Inc. Hierarchical scan architecture for design for test applications
US6301688B1 (en) * 1998-11-24 2001-10-09 Agere Systems Optoelectronics Guardian Corp. Insertion of test points in RTL designs
US6434733B1 (en) * 1999-03-24 2002-08-13 Synopsys, Inc. System and method for high-level test planning for layout
US6973631B2 (en) * 2002-07-18 2005-12-06 Incentia Design Systems Corp. Scan insertion with bypass login in an IC design

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162707B2 (en) * 2002-12-04 2007-01-09 Renesas Technology Corp. Scan path timing optimizing apparatus determining connection order of scan path circuits to realize optimum signal timings
JP2009253023A (ja) * 2008-04-07 2009-10-29 Oki Semiconductor Co Ltd 半導体集積回路の設計方法

Also Published As

Publication number Publication date
US6990642B2 (en) 2006-01-24
US20040040006A1 (en) 2004-02-26
JP4131651B2 (ja) 2008-08-13

Similar Documents

Publication Publication Date Title
US7017132B2 (en) Methodology to optimize hierarchical clock skew by clock delay compensation
JP4131651B2 (ja) スキャン機能を有する集積回路のレイアウト方法
CN104937596B (zh) 用于3d集成电路的时钟分布网络
US9965576B2 (en) Accommodating engineering change orders in integrated circuit design
JP2009038072A (ja) 半導体集積回路及びその開発方法
US6581199B2 (en) Engineering-change method of semiconductor circuit
JPS63120439A (ja) 集積回路及びそのレイアウト方法
Moreira et al. NCL synthesis with conventional EDA tools: Technology mapping and optimization
JP2006343151A (ja) スキャンテスト回路及びその配置方法
WO2012042586A1 (ja) 集積回路
US6373288B1 (en) Method of implementing clock trees in synchronous digital electronic circuits, and a programmable delay buffer stage therefor
JP2872216B1 (ja) マクロの設計方法
JP2008219535A (ja) 同期回路
US7519926B2 (en) Semiconductor device and method for designing the same
JP4193501B2 (ja) 集積回路の設計システム及び集積回路の設計プログラム
JP3654259B2 (ja) 機能可変型セルと半導体集積回路とその設計システム
Goud et al. Block Level Implementation Of Orca Top Using H-Technique
JPH06244387A (ja) 半導体集積回路の製造方法
GB2209857A (en) Manufacture of integrated circuits
JP5234806B2 (ja) 論理シミュレーション装置およびそのシミュレーション方法
JP5531479B2 (ja) 回路設計方法、及び回路設計プログラム
JP2009253023A (ja) 半導体集積回路の設計方法
JP2009253756A (ja) クロック分配回路のレイアウト生成方法、および半導体集積回路装置
JP2005107575A (ja) 大規模集積回路の設計方法
JP2003196334A (ja) スキャンパス処理システム、スキャンパス処理方法、および、スキャンパス処理プログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080219

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080527

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080527

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4131651

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130606

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140606

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees