JP2003528214A - Electrochemical deposition equipment - Google Patents

Electrochemical deposition equipment

Info

Publication number
JP2003528214A
JP2003528214A JP2000585464A JP2000585464A JP2003528214A JP 2003528214 A JP2003528214 A JP 2003528214A JP 2000585464 A JP2000585464 A JP 2000585464A JP 2000585464 A JP2000585464 A JP 2000585464A JP 2003528214 A JP2003528214 A JP 2003528214A
Authority
JP
Japan
Prior art keywords
electrolyte
wafer
substrate
anode
mainframe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000585464A
Other languages
Japanese (ja)
Other versions
JP4766579B2 (en
JP2003528214A5 (en
Inventor
イェツディ ドーディ
ドナルド ジェイ オルガド
ラットソン モラド
ピーター ヘイ
マーク デノーム
マイケル シュガーマン
マーク ロイド
ジョー スティーヴンス
ダン マロール
ホー セオン シン
ユージーン ラヴィノヴィッチ
ロビン チェウン
アショック ケイ シンハ
アヴィ テプマン
ダン カール
ジョージ バークマイアー
ベン シェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/263,649 external-priority patent/US6254760B1/en
Priority claimed from US09/289,074 external-priority patent/US6258220B1/en
Priority claimed from US09/350,210 external-priority patent/US6267853B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2003528214A publication Critical patent/JP2003528214A/en
Publication of JP2003528214A5 publication Critical patent/JP2003528214A5/ja
Application granted granted Critical
Publication of JP4766579B2 publication Critical patent/JP4766579B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 本発明は、将来の設計および隙間を埋める必要性に対応するために拡張可能である、柔軟な構造で設計された電気化学堆積システムを供給し、および他の処理システムの要求に見合うための、十分な処理量を供給する。電気化学堆積システムは、通常、メインフレーム・ウェーハ移送ロボットを有するメインフレームと、前記メインフレームに接するように配置されたローディング・ステーションと、前記メインフレームと接するように配置された一つ以上の処理セルと、および前記一つ以上の電気処理セルに流体で接続された電解液供給とを具備する。好ましくは、前記電気化学堆積システムは、前記ローディング・ステーションに隣接する前記メインフレームに配置された、エッジ・ビード除去/スピン・リンス・ドライ(EBR/SRD)ステーションと、前記ローディグ・ステーションに取り付けられた急速熱アニール・チャンバと、前記メインフレームに配置されたシード層修復ステーションと、および前記電気化学堆積処理および前記電気化学堆積システムの構成要素を制御するためのシステム・コントローラとを含む。 SUMMARY OF THE INVENTION The present invention provides a flexible structure designed electrochemical deposition system that is scalable to accommodate future designs and the need to fill gaps, and to provide an alternative to other processing systems. Provide sufficient throughput to meet demand. The electrochemical deposition system typically includes a mainframe having a mainframe wafer transfer robot, a loading station positioned to contact the mainframe, and one or more processes positioned to contact the mainframe. A cell and an electrolyte supply fluidly connected to the one or more electrical processing cells. Preferably, the electrochemical deposition system is mounted on the edge bead removal / spin rinse dry (EBR / SRD) station and the loading station located on the mainframe adjacent to the loading station. A rapid thermal annealing chamber, a seed layer repair station located on the mainframe, and a system controller for controlling the electrochemical deposition process and components of the electrochemical deposition system.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】 〔発明の分野〕 本発明は一般に、ウェーハ/基板上への金属層の堆積に関する。特に、本発明
は、金属層をウェーハ/基板上に形成する電気化学堆積装置(electro-chemical
deposition :ECDと略称されている)に関し、これは電気めっき装置とも呼
ばれている。
FIELD OF THE INVENTION The present invention relates generally to the deposition of metal layers on wafers / substrates. In particular, the present invention is directed to an electro-chemical deposition apparatus for forming a metal layer on a wafer / substrate.
deposition: abbreviated as ECD), which is also called an electroplating apparatus.

【0002】 〔関連技術の背景〕 サブクォーターミクロン(0.25μm以下)のマルチレベルメタライゼーシ
ョンは、超大規模集積(ULSI)デバイスの次世代の基幹技術のうちの1つで
ある。この技術の核心部分であるマルチレベルインターコネクション(相互接続
)は、高アスペクト比の孔に形成された構造的な相互接続特徴部の平坦化を必要
とし、かかる構造的特徴部としては、コンタクト、バイア、ライン及び他の特徴
部が挙げられる。これら相互接続特徴部の信頼性の高い形成は、ULSIの成功
及び個々の基板及びダイ上の回路密度及び品質を向上させる技術的努力の継続に
とって非常に重要である。
[Background of Related Art] Sub-quarter micron (0.25 μm or less) multilevel metallization is one of the next-generation core technologies for ultra large scale integrated (ULSI) devices. The core of this technology, multi-level interconnection, requires planarization of structural interconnect features formed in high aspect ratio holes, which include contact, Vias, lines and other features are included. Reliable formation of these interconnect features is critical to the success of ULSI and the continued technological efforts to improve circuit density and quality on individual substrates and dies.

【0003】 回路密度の増大につれ、バイア、接点又はコンタクト及び他の特徴部並びにこ
れら相互の誘電体の幅は、250nm未満に減少し、これに対し誘電体層の厚さは
実質的に一定のままであり、その結果、特徴部のアスペクト比、即ち、高さを幅
で割った値が増大している。伝統的な薄膜形成法、例えば物理的気相成長(PC
D)及び化学的気相成長(CVD)は、アスペクト比が4:1を越える場合、特
に10:1を越える場合、構造的特徴部のフィリング(埋めること)が困難であ
る。したがって、特徴部の高さと幅の比が4.1以上である高いアスペクト比を
備えたボイドフリーのナノメートルサイズの特徴部の形成に向けて目下多大な努
力がなされている。さらに、特徴部の幅の減少につれ、デバイス電流は、一定の
ままか又は増大しており、その結果、特徴部の電流密度が増大する。
As circuit densities increase, the width of vias, contacts or contacts and other features and their dielectric relative to each other is reduced to less than 250 nm, while the thickness of the dielectric layer remains substantially constant. The result is an increase in the aspect ratio of the feature, that is, the height divided by the width. Traditional thin film deposition methods such as physical vapor deposition (PC
D) and chemical vapor deposition (CVD) are difficult to fill structural features when the aspect ratio exceeds 4: 1, especially above 10: 1. Therefore, much effort is currently being made towards the formation of void-free nanometer sized features with high aspect ratios where the feature height to width ratio is 4.1 or greater. Furthermore, as the feature width decreases, the device current remains constant or increases, resulting in an increase in feature current density.

【0004】 金属元素としてのアルミニウム(Al)及びその合金は、半導体処理において
ライン及びプラグを形成するのに伝統的に用いられている金属である。というの
は、アルミニウムの電気固有抵抗又は抵抗率はかなり低く、二酸化シリコン(S
iO2 )への密着性が優れており、パターン形成が容易であり、しかも非常に
純粋な形態で得ることができるからである。しかしながら、アルミニウムは、導
電性のより高い他の金属、例えば銅よりは抵抗率が高く、アルミニウムも又、導
体中にボイドを形成する原因となるエレクトロマイグレーションを生じるという
欠点がある。
Aluminum (Al) and its alloys as the metal element are the metals traditionally used to form lines and plugs in semiconductor processing. This is because aluminum has a considerably low electric resistivity or resistivity, and silicon dioxide (S
This is because the adhesiveness to iO 2 ) is excellent, the pattern formation is easy, and a very pure form can be obtained. However, aluminum has a higher resistivity than other more conductive metals, such as copper, and aluminum also suffers from electromigration which causes voids to form in the conductor.

【0005】 銅及びその合金は、アルミニウムよりも抵抗率が小さく、しかもアルミニウム
と比較してエレクトロマイグレーションに対する耐性が著しく高い。これらの特
徴は、高集積レベル及び高デバイス速度で生じる高い電流密度に耐える上で重要
である。銅は又、熱伝導率が良好であり、非常に高い純度の状態で得ることがで
きる。したがって、銅は、半導体基板上へのサブクォーターミクロンで高アスペ
クト比の相互接続特徴部のフィリングに向いた金属としての一選択肢である。
Copper and its alloys have a lower resistivity than aluminum and have a significantly higher resistance to electromigration than aluminum. These features are important in withstanding the high current densities that occur at high integration levels and high device speeds. Copper also has good thermal conductivity and can be obtained in very high purity. Thus, copper is an option as the metal of choice for filling sub-quarter micron, high aspect ratio interconnect features on semiconductor substrates.

【0006】 半導体デバイスの製造に銅を用いることが望ましいことであるにもかかわらず
、銅を非常に高いアスペクト比の構造的特徴部、例えばアスペクト比が4:1で
幅が0.35μm(又はこれ以下)の特徴部中に堆積させる製造方法の選択枝は
限られている。これらプロセス上の制約の結果として、従来は回路基板上への配
線形成に限られていためっき法が今や、半導体デバイス上のバイア及びコンタク
トのフィリングに用いられている。
Despite the desirability of using copper in the fabrication of semiconductor devices, copper is used for structural features with very high aspect ratios, such as aspect ratios of 4: 1 and widths of 0.35 μm (or (Less than this) there is a limited choice of manufacturing methods to deposit in features. As a result of these process constraints, plating, which was previously limited to forming wiring on circuit boards, is now being used to fill vias and contacts on semiconductor devices.

【0007】 金属の電気めっき法は、一般に知られており、種々の手法で達成できる。代表
的な方法では一般に、バリア層を特徴部の表面上に物理的気相成長させ、導電性
金属シード層、好ましくは銅をバリア層上に物理的気相成長させ、次に、導電性
金属をシード層上に電気めっきして構造部/特徴部のフィリングを行う。最終的
に、堆積層及び誘電体層を例えば化学機械研磨(CMP)によって平坦化して導
電性相互接続特徴部を形成する。
The metal electroplating method is generally known and can be achieved by various methods. A typical method generally involves physically vapor depositing a barrier layer on the surface of the feature and physically depositing a conductive metal seed layer, preferably copper, on the barrier layer, and then conducting metal. Are electroplated on the seed layer to provide structure / feature filling. Finally, the deposited layer and the dielectric layer are planarized by, for example, chemical mechanical polishing (CMP) to form conductive interconnect features.

【0008】 図1は、コンタクトピンを備えた単純化された代表的なファウンテン(founta
in)形めっき装置10の断面図である。一般に、ファウンテン形めっき装置10
は、頂部開口部を備えた電解液入り容器12、電解液入り容器12の上に設けら
れた基板ホルダ14、電解液容器12の底部に設けられた陽極16及び基板22
に接触する接点リング20を有している。複数の溝24が、基板ホルダ14の下
面に形成されている。真空ポンプ(図示せず)が、基板ホルダ14に結合されて
いて、溝24と連通して処理中、基板22を基板ホルダ14に固定できる真空条
件を生じさせるようになっている。接点リング20は、中央基板めっき表面を構
成するよう基板22の周囲部分の周りに分布して設けられた複数の金属製又は半
金属製コンタクトピン26を有している。複数のコンタクトピン26は、基板2
2の幅の狭い周囲部分上に半径方向内方に延び、コンタクトピン26の頂部のと
ころで基板22の導電性シード層に接触している。電源(図示せず)が、ピン2
6に取り付けられ、それにより、電気的バイアスを基板22に与えるようになっ
ている。基板22は、円筒形電解液入り容器12の上に位置決めされ、電解液の
流れが、セル10の動作中、基板めっき表面上に垂直に当たる。
FIG. 1 shows a simplified representative founta with contact pins.
FIG. 3 is a cross-sectional view of the in) type plating apparatus 10. Generally, fountain type plating apparatus 10
Is an electrolytic solution-containing container 12 having a top opening, a substrate holder 14 provided on the electrolytic solution-containing container 12, an anode 16 and a substrate 22 provided on the bottom of the electrolytic solution container 12.
Has a contact ring 20 that contacts the. A plurality of grooves 24 are formed on the lower surface of the substrate holder 14. A vacuum pump (not shown) is coupled to the substrate holder 14 and is in communication with the groove 24 to create a vacuum condition that allows the substrate 22 to be secured to the substrate holder 14 during processing. The contact ring 20 has a plurality of metallic or semi-metallic contact pins 26 distributed around a peripheral portion of the substrate 22 to form a central substrate plated surface. The plurality of contact pins 26 are provided on the substrate 2
2 extends radially inwardly over the narrow peripheral portion of the two and contacts the conductive seed layer of the substrate 22 at the top of the contact pins 26. Power supply (not shown) is pin 2
6 to provide an electrical bias to the substrate 22. The substrate 22 is positioned above the cylindrical electrolyte-containing vessel 12 and the electrolyte flow impinges vertically on the substrate plating surface during operation of the cell 10.

【0009】 今日の電気めっきセル、例えば図1に示すものは、大規模集積基板上に合格レ
ベルの結果を達成するが、多くの問題点により、ミクロンサイズのアスペクト比
の高い構造的特徴部を備えた基板上への首尾一貫した信頼性の高い電気めっきが
損なわれる。一般に、これら問題点は、基板のめっき表面上への一様な電力分布
及び電流密度を生じさせて一様な厚さの金属層を形成すること、望ましくないエ
ッジ及び裏面又は裏側への堆積を防止して処理中の基板及びその結果得られる基
板への汚染を制御すること、及び基板を処理中基板ホルダに固定する真空条件を
維持することに関する。また、今日における電気めっきセルは、他の処理装置の
要求に合うのに十分なスループットを生じさせず、将来の設計上のルール及びギ
ャップのフィリング要件に適合するよう拡張できる融通性のあるアーキテクチャ
を備えた状態では設計されてはいない。さらに、現行装置は、電気めっき法の実
施前のシード層が不十分又は不連続であるという問題を解決していない。さらに
、現在の電気めっき装置プラットホームは、同一の電気めっき装置プラットホー
ム内で堆積又はめっき結果を向上させるための電気化学的堆積後処理、例えば迅
速な熱アニール処理を提供していない。
While today's electroplating cells, such as that shown in FIG. 1, achieve acceptable levels of results on large scale integrated substrates, many problems have resulted in micron-sized high aspect ratio structural features. The consistent and reliable electroplating on the provided substrate is compromised. In general, these problems result in a uniform power distribution and current density on the plated surface of the substrate to form a metal layer of uniform thickness, undesired edge and backside or backside deposition. Preventing and controlling contamination of the substrate being processed and the resulting substrate, and maintaining vacuum conditions that secure the substrate to the substrate holder during processing. In addition, today's electroplating cells do not provide sufficient throughput to meet the requirements of other processing equipment, and a flexible architecture that can be expanded to meet future design rules and gap filling requirements. Not designed in a ready state. Moreover, current equipment does not solve the problem of insufficient or discontinuous seed layers prior to performing the electroplating process. Further, current electroplater platforms do not provide electrochemical post-deposition treatments, such as rapid thermal anneal, to improve deposition or plating results within the same electroplater platform.

【0010】 代表的な電気めっき法で生じる別の特定の問題は、シード層のエッジが、電気
めっき中、過剰の堆積又はめっき量(一般に、エッジビードと呼ばれる)を受け
るということである。図1Aは、シード層34のエッジ32のところに過剰堆積
部36を示すウェーハ30のエッジの断面図である。図1Aに示すように、ウェ
ーハ30上にはシード層34が被着されており、このシード層34上には電気め
っき層38が電気化学的に被着されている。シード層34のエッジ32は、シー
ド層34の残部よりも電流密度が高く、その結果、シード層34のエッジ32の
ところの蒸着速度が高くなるということが分かっている。シード層34のエッジ
32のところの機械的応力もまた、シード層の残部よりも高く、それにより、シ
ード層のエッジのところの堆積が止まってウェーハ30の縁部から遠ざかるよう
になる。過剰堆積部36は一般にCMP法によって除去される。しかしながら、
CMP実施中、ウェーハのエッジのところの過剰堆積部36は代表的には、シー
ド層のエッジから剥がれてウェーハの隣接部分を損傷する場合がある。剥がれ落
ちた金属も又、ウェーハ上に形成されるデバイスを損傷させる場合がある。かく
して、適正に作られるデバイスの数は減少し、形成されるデバイス1個当たりの
コストが高くなる。
Another particular problem that arises with typical electroplating methods is that the edges of the seed layer experience excessive deposition or plating volume (commonly referred to as edge beads) during electroplating. FIG. 1A is a cross-sectional view of the edge of wafer 30 showing overdeposit 36 at edge 32 of seed layer 34. As shown in FIG. 1A, a seed layer 34 is deposited on the wafer 30, and an electroplating layer 38 is electrochemically deposited on the seed layer 34. It has been found that the edge 32 of the seed layer 34 has a higher current density than the rest of the seed layer 34, resulting in a higher deposition rate at the edge 32 of the seed layer 34. The mechanical stress at the edge 32 of the seed layer 34 is also higher than at the rest of the seed layer, causing the deposition at the edge of the seed layer to stop and move away from the edge of the wafer 30. The excessive deposition portion 36 is generally removed by the CMP method. However,
During CMP, the overdeposit 36 at the edge of the wafer may typically be stripped from the edge of the seed layer and damage adjacent portions of the wafer. Stripped metal can also damage devices formed on the wafer. Thus, the number of properly manufactured devices is reduced and the cost per formed device is high.

【0011】 さらに、電流を用いる電気めっき装置は、周辺コンポーネント及び時間のかか
る労力を用いなければ必要な処理工程を実施することができない。例えば、めっ
き法の実施中、処理用の化学薬品の分析が定期的に必要となる。かかる分析によ
り、成分の正しい割合を確保するための電解液の組成が決定される。従来の分析
は、電解液のサンプルを試験ポートから採取し、このサンプルを遠隔の分析器に
送ることにより行われている。次に、電解液の組成を分析の結果に応じて手作業
で調節する。分析は、種々の化学薬品の濃度が一定の流れ状態にあるので頻繁に
行う必要がある。しかしながら、上述の方法は時間がかかり、実施できる分析の
回数が制限される。
Moreover, current-based electroplating equipment cannot perform the necessary processing steps without the use of peripheral components and time-consuming efforts. For example, during the plating process, the analysis of processing chemicals is regularly required. Such an analysis determines the composition of the electrolyte to ensure the correct proportions of the components. Conventional analysis is performed by taking a sample of electrolyte from a test port and sending the sample to a remote analyzer. Next, the composition of the electrolytic solution is manually adjusted according to the result of the analysis. The analysis should be performed frequently due to the constant flow of various chemicals. However, the methods described above are time consuming and limit the number of analyzes that can be performed.

【0012】 したがって、他の処理装置の要求に合うのに十分なスループットを生じさせず
、将来の設計上のルール及びギャップのフィリング要件に適合するよう拡張でき
る融通性のあるアーキテクチャを備えた設計の電気化学堆積装置が要望されてい
る。また、基板めっき表面上への一様な電力分布及び電流密度を生じさせて一様
な厚さの金属層を形成すると共に基板を処理中、基板ホルダに固定する真空条件
を維持する電気化学堆積装置が要望されている。さらに、かかる装置は、次に行
われる電気めっき法のための最初の導電層の品質を高めることにより構造的特徴
部内への堆積又は成膜の信頼性を高める装置を有することが望ましい。
Therefore, a design with a flexible architecture that does not yield sufficient throughput to meet the requirements of other processing equipment and can be expanded to meet future design rules and gap filling requirements. There is a need for electrochemical deposition equipment. Also, electrochemical deposition that produces a uniform power distribution and current density on the substrate plating surface to form a metal layer of uniform thickness and maintains a vacuum condition that secures the substrate to the substrate holder during processing. A device is desired. Further, it is desirable that such a device have a device that enhances the reliability of the deposition or deposition within the structural features by enhancing the quality of the first conductive layer for subsequent electroplating processes.

【0013】 電気化学堆積装置は、望ましくないエッジ及び裏面又は裏側への堆積を防止す
ると共に(或いは)除去して処理中の基板及びその結果得られる基板への汚染を
制御することが望ましく、しかも、この装置は、過剰の堆積部をウェーハから除
去した後にウェーハ洗浄方法、例えばスピン−リンス−ドライ法を実施できるよ
うになっていることが望ましい。また、電気化学堆積装置は、処理装置と一体化
されていて、電解質の組成の実時間分析を可能にする1又は2以上の化学分析器
を有することが望ましい。さらに、電気化学堆積装置は、堆積又はめっき結果を
向上させるための電気化学堆積又は成膜後処理、例えば迅速な熱アニール処理を
可能にすることが望ましい。
The electrochemical deposition apparatus desirably prevents and / or removes unwanted edge and backside or backside deposition to control contamination of the substrate being processed and the resulting substrate, and It is desirable that the apparatus be capable of performing a wafer cleaning method, for example, a spin-rinse-dry method, after removing an excessive deposited portion from the wafer. It is also desirable for the electrochemical deposition apparatus to have one or more chemical analyzers that are integrated with the processing equipment and allow for real-time analysis of electrolyte composition. Further, it is desirable that the electrochemical deposition apparatus allow for electrochemical deposition or post-deposition treatments to improve deposition or plating results, such as rapid thermal annealing treatments.

【0014】 〔発明の概要〕 本発明は一般に、他の処理装置の要求に合うのに十分なスループットを生じさ
せず、将来の設計上のルール及びギャップのフィリング要件に適合するよう拡張
できる融通性のあるアーキテクチャを備えた設計の電気化学堆積装置を提供する
。電気化学堆積装置は一般に、メインフレームウェーハ搬送ロボットを有するメ
インフレーム、メインフレームと連携して設けられたローディングステーション
、メインフレームと連携して設けられた1又は2以上の処理セル及び1又は2以
上の電気処理セルに流体連通状態で連結された電解質供給源を有する。好ましく
は、メインフレームは、エッジビード除去(エッジクリーン)/スピン−リンス
−ドライ(EBR/SRD)ステーション及びシード層補修ステーションを更に
有する。好ましくは、電気化学堆積装置は、ローディングステーションに取り付
けられた迅速熱アニールチャンバ、一体形化学分析器を含む電解液補給装置及び
電気化学堆積方法及び電気化学堆積装置の構成部品を制御するシステム制御装置
を更に有する。
SUMMARY OF THE INVENTION The present invention generally does not yield sufficient throughput to meet the requirements of other processing equipment and is flexible enough to be extended to meet future design rules and gap filling requirements. Provided is an electrochemical deposition apparatus designed with a certain architecture. An electrochemical deposition apparatus generally includes a mainframe having a mainframe wafer transfer robot, a loading station provided in association with the mainframe, one or more processing cells provided in association with the mainframe, and one or two or more. An electrolytic supply source fluidly connected to the electroprocessing cell of. Preferably, the mainframe further comprises an edge bead removal (edge clean) / spin-rinse-dry (EBR / SRD) station and a seed layer repair station. Preferably, the electrochemical deposition apparatus includes a rapid thermal anneal chamber attached to the loading station, an electrolyte replenishment apparatus including an integrated chemical analyzer, an electrochemical deposition method, and a system controller for controlling components of the electrochemical deposition apparatus. Further has.

【0015】 本発明は一特徴として、基板めっき表面上への一様な電力分布及び電流密度を
生じさせて一様な厚さの金属層を形成すると共に基板を処理中、基板ホルダに固
定する真空条件を維持する電気化学堆積装置を提供する。
One feature of the present invention is that it produces a uniform power distribution and current density on a substrate plated surface to form a metal layer of uniform thickness and secures the substrate to a substrate holder during processing. An electrochemical deposition apparatus that maintains a vacuum condition is provided.

【0016】 本発明は別の特徴として、望ましくないエッジ及び裏面又は裏側への堆積を防
止すると共に(或いは)除去して処理中の基板及びその結果得られる基板への汚
染を制御する電気化学堆積装置を提供する。
Another feature of the invention is electrochemical deposition that prevents and / or removes unwanted edge and backside or backside deposition to control contamination of the substrate being processed and the resulting substrate. Provide a device.

【0017】 本発明の別の特徴は、金属を基板上に電気化学的に堆積又は成膜させる装置で
あって、陰極及びウェーハホルダを有するヘッド組立体と、電解液入り容器及び
陽極を有する処理キットと、電解液オーバフローキャッチと、陰極及び陽極に接
続された電源とから成る装置を提供する。好ましくは、陰極は、陰極接点リング
を有し、ウェーハホルダは、陰極接点リングをウェーハに正しく接触させるブラ
ダシステムを有する。好ましくは、電解液にさらされる陰極接点リングの表面は
、親水性表面が得られるよう被覆され又は処理される。
Another feature of the present invention is an apparatus for electrochemically depositing or depositing a metal on a substrate, which comprises a head assembly having a cathode and a wafer holder, a treatment container having an electrolyte solution and an anode. An apparatus comprising a kit, an electrolyte overflow catch, and a power supply connected to the cathode and anode. Preferably, the cathode has a cathode contact ring and the wafer holder has a bladder system that brings the cathode contact ring into proper contact with the wafer. Preferably, the surface of the cathode contact ring exposed to the electrolyte is coated or treated to provide a hydrophilic surface.

【0018】 本発明は更に別の特徴として、陽極の溶解によって生じる陽極スラッジ及び他
の粒子を除去するようになった透過性の封入陽極を提供する。好ましくは、封入
陽極は、汚染要因物を電解液から捕捉し又は濾過する親水性メンブレンを有する
。封入陽極は好ましくは、封入陽極内での電解液の流れを容易にするバイパス電
解液入口及びバイパス出口を更に有する。
The invention, in yet another aspect, provides a permeable encapsulated anode adapted to remove anode sludge and other particles produced by dissolution of the anode. Preferably, the encapsulated anode has a hydrophilic membrane that traps or filters contaminants from the electrolyte. The encapsulated anode preferably further comprises a bypass electrolyte inlet and a bypass outlet to facilitate the flow of electrolyte within the encapsulated anode.

【0019】 本発明は更に別の特徴として、実時間化学分析器モジュール及び注入モジュー
ルを有する電解液補給装置を提供する。化学分析器モジュールは、制御装置によ
って作動され、電気化学堆積装置の制御装置と一体の少なくとも1つ、好ましく
は2つの分析器を含む。サンプルラインが、主電解液タンクから化学分析器モジ
ュールへの電解液の連続した流れを生じさせる。第1の分析器は、電解液中の有
機物の濃度を求め、第2の分析器は、無機物の濃度を求める。次に、注入モジュ
ールを起動化して化学分析器モジュールによって得られた情報に応答して正しい
割合の化学薬品を主タンクに送る。
In yet another aspect, the present invention provides an electrolyte replenishment device having a real-time chemical analyzer module and an injection module. The chemical analyzer module includes at least one, and preferably two, analyzers operated by the controller and integral with the controller of the electrochemical deposition apparatus. The sample line produces a continuous flow of electrolyte from the main electrolyte tank to the chemical analyzer module. The first analyzer determines the concentration of organic substances in the electrolytic solution, and the second analyzer determines the concentration of inorganic substances. The injection module is then activated to deliver the correct proportion of chemicals to the main tank in response to the information provided by the chemical analyzer module.

【0020】 本発明は更に別の特徴として、実時間化学分析器モジュールを提供する。化学
分析器モジュールは、制御装置によって作動され、電気化学堆積装置の制御装置
と一体の少なくとも1つ、好ましくは2つの分析器を含む。サンプルラインが、
主電解液タンクから化学分析器モジュールへの電解液の連続した流れを生じさせ
る。第1の分析器は、電解液中の有機物の濃度を求め、第2の分析器は、無機物
の濃度を求める。
In yet another aspect, the present invention provides a real-time chemical analyzer module. The chemical analyzer module includes at least one, and preferably two, analyzers operated by the controller and integral with the controller of the electrochemical deposition apparatus. The sample line
Produces a continuous flow of electrolyte from the main electrolyte tank to the chemical analyzer module. The first analyzer determines the concentration of organic substances in the electrolytic solution, and the second analyzer determines the concentration of inorganic substances.

【0021】 本発明は更に別の特徴として、ウェーハ表面上に形成されたデバイスを損傷さ
せないで、ウェーハのエッジの過剰の堆積部を除去する装置を提供する。この装
置は、過剰の堆積部をウェーハから除去した後にウェーハ洗浄方法、例えばスピ
ン−リンス−ドライ法を実施できるようになっている。
In yet another aspect, the invention provides an apparatus for removing excess deposits at the edge of a wafer without damaging the devices formed on the wafer surface. This apparatus is capable of performing a wafer cleaning method, for example, a spin-rinse-dry method, after removing an excessive deposited portion from the wafer.

【0022】 本発明は更に別の特徴として、次に行われる電気めっき法のための最初の導電
層の品質を高めることにより構造的特徴部内への堆積又は成膜の信頼性を高める
装置を提供する。
In yet another aspect, the present invention provides an apparatus that enhances the reliability of deposition or deposition within structural features by enhancing the quality of the first conductive layer for subsequent electroplating processes. To do.

【0023】 本発明は更に別の特徴として、堆積又はめっき結果を向上させるための電気化
学的堆積後処理法、例えば迅速な熱アニール処理法を提供する。迅速な熱アニー
ル処理を行う装置は好ましくは、電気化学堆積装置のローディングステーション
に隣接して設けられた迅速熱アニールチャンバを有する。
In yet another aspect, the present invention provides an electrochemical post-deposition treatment method, such as a rapid thermal anneal method, for improving deposition or plating results. The apparatus for performing the rapid thermal anneal process preferably has a rapid thermal anneal chamber located adjacent to the loading station of the electrochemical deposition apparatus.

【0024】 本発明は更に別の特徴として、堆積又はめっき具合の一様性を向上させるため
に処理中、ウェーハの回転を生じさせる電気めっきセル用の回転自在なヘッド組
立体を提供する。回転自在なヘッド組立体は又、電気めっき法の実施後、残留電
解液をウェーハホルダ組立体から除去しやすくする。好ましくは、膨らまし可能
なブラダ及び陰極接点リングを含むウェーハホルダ組立体の構成部品は、残留電
解液の滴下及び除去を促進する親水性表面を有する。
In yet another aspect, the invention provides a rotatable head assembly for an electroplating cell that causes rotation of a wafer during processing to improve uniformity of deposition or plating. The rotatable head assembly also facilitates removal of residual electrolyte from the wafer holder assembly after performing the electroplating process. Preferably, the components of the wafer holder assembly including the inflatable bladder and the cathode contact ring have a hydrophilic surface that facilitates drip and removal of residual electrolyte.

【0025】 本発明の上記特徴、利点及び目的を実現又は達成する態様を詳細に理解できる
ようにするために、添付の図面に示された本発明の実施形態を参照して上記発明
の概要の項に記載した本発明について一層具体的な説明を行う。
In order to provide a detailed understanding of the manner of carrying out or attaining the above-mentioned features, advantages and objects of the present invention, reference is made to the embodiments of the present invention shown in the accompanying drawings. The present invention described in the section will be described more specifically.

【0026】 しかしながら、添付の図面は、本発明の代表的な実施形態を示しているに過ぎ
ず、本発明の範囲を限定するものではない。というのは、本発明は、他の均等な
形態で実施できるからである。
However, the attached drawings show only exemplary embodiments of the present invention, and do not limit the scope of the present invention. The present invention can be implemented in other equivalent forms.

【0027】 〔好ましい実施形態の詳細な説明〕 図2は、本発明の電気めっきシステム又は装置のプラットホーム200の斜視
図である。図3は、本発明の電気めっき装置プラットホーム200の略図である
。図2と図3の両方を参照すると、電気めっき装置プラットホーム200は主要
構成要素として、ローディングステーション210、熱アニールチャンバ211
、メインフレーム214及び電解液補給装置220を有している。好ましくは、
電気めっき装置プラットホーム200は、パネル、例えばプレキシグラスパネル
を用いてクリーンな環境中に入れられている。メインフレーム214は、主要構
成要素として、メインフレーム搬送ステーション216、スピン−リンス−ドラ
イ(SRD)ステーション212、シード層補修ステーション215及び複数の
処理ステーション218を有している。メインフレーム214は、電気化学堆積
法を完了させるのに必要な種々のステーションを支持する切欠きを備えたベース
217を有している。ベース217は好ましくは、種々のステーションを載せて
支持できるアルミニウム、ステンレス鋼又は他の剛性材料で作られる。化学保護
膜、例えばハラー(Halar :登録商標)、エチレンクロロトリフルオロエチレン
(ECTFE)又は他の保護膜が好ましくは、潜在的な化学的腐食にさらされる
ベース217の表面上に被着される。好ましくは、金属ベース217上に良好な
相似被覆材となり、金属ベース217に良好にくっつき、良好な延性を発揮し、
システムの通常の動作条件のもとで耐亀裂性を発揮する。各処理ステーション2
18は、1又は2以上の処理セル240を有している。電界液補給装置220が
、処理セル240に個々に連結された状態でメインフレーム214に隣接して設
けられていて、電気めっき法に用いられる電解液を循環させるようになっている
。電気めっき装置プラットホーム200は、電力を電気めっき装置に供給する電
源ステーション221及び代表的にはプログラマブルマイクロプロセッサから成
る制御装置222を更に有している。
Detailed Description of the Preferred Embodiments FIG. 2 is a perspective view of a platform 200 of the electroplating system or apparatus of the present invention. FIG. 3 is a schematic diagram of an electroplating apparatus platform 200 of the present invention. Referring to both FIGS. 2 and 3, the electroplating apparatus platform 200 includes a loading station 210, a thermal annealing chamber 211 as main components.
, A main frame 214 and an electrolyte replenishing device 220. Preferably,
The electroplater platform 200 is contained in a clean environment using panels, such as Plexiglas panels. The mainframe 214 has a mainframe transfer station 216, a spin-rinse-dry (SRD) station 212, a seed layer repair station 215, and a plurality of processing stations 218 as main components. The main frame 214 has a base 217 with notches that support the various stations needed to complete the electrochemical deposition process. The base 217 is preferably made of aluminum, stainless steel or other rigid material capable of supporting various stations. A chemical overcoat, such as Halar®, ethylene chlorotrifluoroethylene (ECTFE) or other overcoat, is preferably deposited on the surface of base 217 that is subject to potential chemical corrosion. Preferably, a good conformal coating material on the metal base 217, good adhesion to the metal base 217, good ductility,
Provides crack resistance under normal operating conditions of the system. Each processing station 2
18 has one or more processing cells 240. The electrolytic solution replenishing device 220 is provided adjacent to the main frame 214 in a state of being individually connected to the processing cell 240, and circulates the electrolytic solution used in the electroplating method. The electroplating machine platform 200 further includes a power supply station 221 for supplying power to the electroplating machine and a controller 222, typically a programmable microprocessor.

【0028】 ローディングステーション210は好ましくは、1又は2以上のウェーハカセ
ット受入れ領域224、1又は2以上のローディングステーション搬送ロボット
228及び少なくとも1つのウェーハオリエンタ230を有する。ローディング
ステーション210に設けられるウェーハカセット受入れ領域、ローディングス
テーション搬送ロボット228及びウェーハオリエンタの数は、装置の所望のス
ループット(処理量)に応じて設定できる。図2及び図3の一実施形態について
示すように、ローディングステーション210は、2つのウェーハカセット受入
れ領域224、2つのローディングステーション搬送ロボット228及び1つの
ウェーハオリエンタ230を有している。ウェーハ234を収納したウェーハカ
セット232が、ウェーハカセット受入れ領域224上に載置し、それによりウ
ェーハ234を電気めっき装置プラットホーム内に導入する。ローディングステ
ーション搬送ロボット228は、ウェーハ234をウェーハカセット232とウ
ェーハオリエンタ230との間で搬送する。ローディングステーション搬送ロボ
ット228は、当該技術分野で一般に知られている代表的な搬送ロボットから成
る。ウェーハオリエンタ230は、各ウェーハ234を所望の向きに位置決めし
てウェーハが正しく処理されるようにする。ローディングステーション搬送ロボ
ット228は又、ウェーハ234をローディングステーション210とSRDス
テーション212との間及びローディングステーション210とサーマルアニー
ルチャンバ211との間で搬送する。ローディングステーション210は、装置
を通るウェーハの効率的な搬送を容易にするよう必要に応じてウェーハの一時的
な貯蔵を行うための追加のウェーハカセット231を更に有している。
Loading station 210 preferably includes one or more wafer cassette receiving areas 224, one or more loading station transfer robots 228 and at least one wafer orienter 230. The number of wafer cassette receiving areas provided in the loading station 210, the loading station transfer robot 228, and the number of wafer orienters can be set according to a desired throughput (processing amount) of the apparatus. As shown for one embodiment of FIGS. 2 and 3, loading station 210 has two wafer cassette receiving areas 224, two loading station transfer robots 228 and one wafer orienter 230. A wafer cassette 232 containing a wafer 234 is placed on the wafer cassette receiving area 224, thereby introducing the wafer 234 into the electroplater platform. The loading station transfer robot 228 transfers the wafer 234 between the wafer cassette 232 and the wafer orienter 230. The loading station transfer robot 228 comprises a typical transfer robot commonly known in the art. Wafer orienter 230 positions each wafer 234 in the desired orientation so that the wafers are processed correctly. The loading station transfer robot 228 also transfers the wafer 234 between the loading station 210 and the SRD station 212 and between the loading station 210 and the thermal annealing chamber 211. Loading station 210 further includes an additional wafer cassette 231 for temporary storage of wafers as needed to facilitate efficient transport of wafers through the apparatus.

【0029】 図4は、リンス流体及び溶解流体入口を備えた本発明のスピン−リンス−ドラ
イ(SRD)モジュールの概略斜視図である。図5は、図4のスピン−リンス−
ドライ(SRD)モジュールの側面断面図であり、基板を流体入口相互間で垂直
方向に設けられた処理位置で示している。好ましくは、SRDステーション21
2は、1又は2以上のSRDモジュール236及び1又は2以上のウェーハ通過
カセット238を有している。好ましくは、SRDステーション212は、ロー
ディングステーション搬送ロボット228の数に一致した2つのSRDモジュー
ル236を有し、ウェーハ通過カセット238が各SRDモジュール236の上
に位置決めされている。ウェーハ通過カセット238は、ローディングステーシ
ョン210とメインフレーム214との間のウェーハ搬送を容易にする。ウェー
ハ通過カセット238は、ローディングステーション搬送ロボット228とメイ
ンフレーム搬送ステーション216内のロボットの両方に近付いたり遠ざかるこ
とができる。
FIG. 4 is a schematic perspective view of a spin-rinse-dry (SRD) module of the present invention having a rinse fluid and a dissolving fluid inlet. FIG. 5 shows the spin-rinse-of FIG.
FIG. 6 is a side cross-sectional view of a dry (SRD) module, showing a substrate in a processing position vertically disposed between fluid inlets. Preferably, the SRD station 21
2 has one or more SRD modules 236 and one or more wafer passage cassettes 238. Preferably, the SRD station 212 has two SRD modules 236 corresponding to the number of loading station transfer robots 228, and a wafer passing cassette 238 is positioned above each SRD module 236. Wafer passing cassette 238 facilitates wafer transfer between loading station 210 and main frame 214. The wafer passage cassette 238 can approach and move away from both the loading station transfer robot 228 and the robots within the mainframe transfer station 216.

【0030】 図4及び図5を参照すると、SRDモジュール236は、底部330a,側壁
330b及び上部シールド330cを有し、これらは一緒になって、SRDモジ
ュールボウル330dを構成し、ここではシールドが側壁に取り付けられ、流体
をSRDモジュール内に保持するのに役立っている。変形例として、着脱自在な
カバーを用いてもよい。SRDモジュール内に設けられたペデスタル336が、
ペデスタル支持体332及びペデスタルアクチュエータ334を有している。ペ
デスタル336は、基板338(図5に示す)を処理中、ペデスタル上面上に支
持する。ペデスタルアクチュエータ334はペデスタルを回転させて基板をスピ
ンさせ、ペデスタルを以下に説明するように昇降させる。基板は、複数のクラン
プ337によってペデスタル上の定位置に保持されるのがよい。クランプは、遠
心力で回動し、好ましくは基板のエッジ除外領域に係合する。好ましい実施形態
では、クランプは、基板が処理中ペデスタルから持ち上がる場合にのみ基板に係
合する。真空通路(図示せず)を他の保持要素と共に用いてもよい。ペデスタル
は、複数のペデスタルアーム336a,336bを有し、したがって第2のノズ
ルを通る流体が基板の下面上に実際の場合と同じほど広い表面積にわたって当た
るようになる。出口339により、流体をSRDモジュールから除去することが
できる。本明細書で用いる「下」、「上」、「底」、「頂」、「上」、「下」、
「上方」、「下方」その他の位置を表す用語は、図面に記載した実施形態に関す
る用語であり、処理装置の相対的な動きに応じて変わる場合がある。
Referring to FIGS. 4 and 5, the SRD module 236 has a bottom 330a, sidewalls 330b and an upper shield 330c, which together form an SRD module bowl 330d, where the shields are sidewalls. Attached to the SRD module to help retain the fluid within the SRD module. As a modification, a detachable cover may be used. The pedestal 336 provided in the SRD module
It has a pedestal support 332 and a pedestal actuator 334. The pedestal 336 supports a substrate 338 (shown in FIG. 5) on the pedestal top surface during processing. Pedestal actuator 334 rotates the pedestal to spin the substrate and raise and lower the pedestal as described below. The substrate may be held in place on the pedestal by a plurality of clamps 337. The clamp is rotated by centrifugal force and preferably engages the edge exclusion area of the substrate. In a preferred embodiment, the clamp engages the substrate only when the substrate lifts from the pedestal during processing. A vacuum passage (not shown) may be used with other holding elements. The pedestal has a plurality of pedestal arms 336a, 336b such that fluid through the second nozzle will impinge on the underside of the substrate over as much surface area as is actually the case. The outlet 339 allows fluid to be removed from the SRD module. As used herein, “bottom”, “top”, “bottom”, “top”, “top”, “bottom”,
The terms "upper", "lower" and other positions refer to the embodiment described in the drawings and may change depending on the relative movement of the processing device.

【0031】 第1の流体347を流通させる第1の導管346が、弁347aに連結されて
いる。導管は、ホース、管、チューブ又は他の流体収容導管であるのがよい。弁
347aは、第1の流体347の流量を制御し、種々の弁から選択されたもので
あるのがよく、かかる弁としては、ニードル弁、グローブ(玉形)弁、蝶形弁又
は他の形式の弁が挙げられ、弁347aは、制御装置362で制御できる弁アク
チュエータ、例えばソレノイドを更に有するのがよい。導管346は、機械の上
方に設けられた第1の流体入口340に連結され、この第1の流体入口は、SR
Dモジュールに取り付けられる取付け部分342及び導管346に取り付けられ
る連結部分344を有している。第1の流体入口は、第1の流体347を加圧下
で基板の上面上に送り出す単一の第1のノズル348を備えた状態で示されてい
る。しかしながら、多数のノズルを用い、多数の流体ラインをSRDモジュール
の内周部の周りに配置してもよい。好ましくは、基板の上方に配置されるノズル
は、ノズルが基板上に落下する恐れを少なくするために基板の外周部の外に位置
するべきである。第1の流体入口を、種々の位置に配設することができ、かかる
配設場所としては、基板上方に位置決めされたカバーを貫通して設けることが挙
げられる。加うるに、ノズルは、関節連結部材343、例えば玉継手を用いて種
々の位置に関節連結できる。
A first conduit 346, which allows the first fluid 347 to flow therethrough, is connected to the valve 347 a. The conduit may be a hose, tube, tube or other fluid containing conduit. The valve 347a controls the flow rate of the first fluid 347 and may be selected from a variety of valves including needle valves, globe valves, butterfly valves or other valves. Types of valves, the valve 347a may further include a valve actuator, such as a solenoid, that can be controlled by the controller 362. Conduit 346 is connected to a first fluid inlet 340 located above the machine, the first fluid inlet being SR
It has a mounting portion 342 attached to the D module and a connecting portion 344 attached to the conduit 346. The first fluid inlet is shown with a single first nozzle 348 that delivers the first fluid 347 under pressure onto the top surface of the substrate. However, multiple nozzles may be used and multiple fluid lines may be placed around the inner periphery of the SRD module. Preferably, the nozzle located above the substrate should be located outside the outer periphery of the substrate to reduce the risk of the nozzle falling onto the substrate. The first fluid inlet can be arranged at various positions, and such a disposing place can be provided by penetrating a cover positioned above the substrate. In addition, the nozzle can be articulated in various positions using articulation members 343, such as ball joints.

【0032】 上述の第1の導管及び関連要素と類似して、第2の導管352が制御弁349
aに連結され、第2の流体入口350が第2のノズル351を備えている。第2
の流体入口350は、基板の下に位置し、上方に傾斜して第2の流体を第2のノ
ズル351を通って基板のしたに差し向ける状態で示されている。第1の流体入
口と同様に、第2の流体入口は、複数のノズル、複数の流体入口及び取付け場所
及び関節連結部材353を使用する向きを含む複数の向きを有するのがよい。各
流体入口を種々の位置でSRDモジュール内へ延長させるのがよい。例えば、も
し流れが基板のエッジに沿ってSRDモジュールの周囲に向かって後ろ向きに或
る角度をなすことが望ましい場合、ノズルを半径方向内方に延長し、ノズルから
の放出が、SRDモジュールの周囲に向かって後方に差し向けられるようにして
もよい。
Similar to the first conduit and related elements described above, the second conduit 352 has a control valve 349.
The second fluid inlet 350 is connected to a and includes a second nozzle 351. Second
The fluid inlet 350 of is located below the substrate and is shown tilted upward to direct the second fluid through the second nozzle 351 and into the substrate. Similar to the first fluid inlet, the second fluid inlet may have a plurality of orientations, including a plurality of nozzles, a plurality of fluid inlets and attachment locations, and orientations for using the articulation member 353. Each fluid inlet may extend into the SRD module at various locations. For example, if it is desired that the flow be angled backwards along the edge of the substrate towards the perimeter of the SRD module, the nozzle may be extended radially inward so that the ejection from the nozzle results in the perimeter of the SRD module. It may be directed rearward toward.

【0033】 制御装置362は、2つの流体及びこれらのそれぞれの流量、圧力及びタイミ
ング、並びに任意の関連のバルブ動作並びにスピンサイクルを個々に制御できる
。制御装置を例えば制御盤又は制御室内に遠隔配置するのがよく、給排水設備を
遠隔アクチュエータで制御するのがよい。破線で示す変形実施形態は、第1の導
管346に連結されていて、導管346b及び制御弁346cを備えた補助流体
入口346aを有し、かかる構成を用いると溶解流体を流した後に基板の裏面又
は裏側にリンス流体を流すことができ、この場合、基板の向きを変え又は第2の
流体入口を通る流れをリンス流体に切り換える必要はない。
The controller 362 can individually control the two fluids and their respective flow rates, pressures and timings, and any associated valving and spin cycles. The control device may be located remotely, for example in a control panel or control room, and the water supply and drainage facility may be controlled by remote actuators. An alternate embodiment, shown in phantom, has a supplemental fluid inlet 346a connected to the first conduit 346 and having a conduit 346b and a control valve 346c, which allows the backside of the substrate after flowing the lysing fluid. Alternatively, the rinse fluid can be flowed to the back side, in which case it is not necessary to redirect the substrate or switch the flow through the second fluid inlet to the rinse fluid.

【0034】 一実施形態では、基板は、堆積又はめっき表面がSRDモジュールボウル内で
上向きにして設けられている。以下に説明するように、かかる構成では、第1の
流体入口は一般に、リンス流体、例えば脱イオン水又はアルコールを流通させる
。その結果、基板の裏面は、下に向いた状態で設けられ、弁の流体入口を通って
流れる流体は、溶解流体、例えば酸であり、かかる酸としては、溶解されるべき
物質に応じて、塩酸、硫酸、燐酸、弗化水素酸又は他の溶解液又は流体が挙げら
れる。変形例として、所望の工程において処理済みの基板をリンスしようとする
場合、第1の流体及び第2の流体は共にリンス流体、例えば脱イオン水又はアル
コールである。
In one embodiment, the substrate is provided with the deposition or plating surface facing up in the SRD module bowl. As will be explained below, in such a configuration, the first fluid inlet will generally pass a rinse fluid, such as deionized water or alcohol. As a result, the backside of the substrate is provided facing down and the fluid flowing through the fluid inlet of the valve is a dissolving fluid, e.g. an acid, such acid depending on the substance to be dissolved. Mention may be made of hydrochloric acid, sulfuric acid, phosphoric acid, hydrofluoric acid or other solutions or fluids. Alternatively, when attempting to rinse the treated substrate in the desired step, the first fluid and the second fluid are both rinse fluids, such as deionized water or alcohol.

【0035】 動作原理を説明すると、ペデスタルは、図4に示す上昇位置にあり、ロボット
(図示せず)は基板をペデスタル上に表側を上にして配置する。ペデスタルは基
板を処理位置に下降させ、ここで基板は第1の流体入口と第2の流体入口との間
に垂直方向に配置される。一般に、ペデスタルアクチュエータは、ペデスタルを
約5〜約5000rpmで回転させ、典型的な回転数範囲は、200mm基板の場
合、約20〜約2000rpmである。回転により、クランプの下端部337a
は、遠心力によりSRDモジュール側壁の周囲に向かってピボット337bの周
りに外方に回転する。クランプの回転により、クランプの上端部337cは中心
に向かって内方且つ下向きに押され、基板338は好ましくは基板のエッジに沿
ってペデスタル336上の定位置に保持される。クランプは、基板に当たらない
で定位置まで回転することができ、基板が処理中ペデスタルから相当持ち上がっ
た場合にのみ基板をペデスタル上の定位置に保持する。ペデスタルが基板を回転
させている状態で、リンス流体を第1の流体入口340を通して基板の表側上に
送り出す。第2の流体、例えば酸を第2の流体入口を通して裏側に送り出して望
ましくない堆積物又はデポジットを除去する。溶解流体は、堆積物と化学的に反
応して溶解し、次にこの堆積物を基板の裏側及び望ましくない堆積物が位置して
いる他の領域から洗い落とす。好ましい実施形態では、リンス流体は、基板の表
側又は表面を溶解流体から保護するのに役立つよう溶解流体よりも早い速度で流
れるよう調節される。第1及び第2の流体入口は、数ある要因の中で、基板のサ
イズ、それぞれの流量、スプレーパターン及び除去されるべき堆積物の量及び種
類に応じて最適性能が得られるよう配置される。場合によっては、リンス流体を
溶解流体が望ましくないデポジットを溶解した後に第2の流体入口に導いて基板
の裏側をリンスするのがよい。別の場合では、リンス流体を基板の裏側上に流す
ように連結された補助流体入口を用いて、溶解流体残留物を裏側からリンスする
のがよい。基板の表側及び(又は)裏側をリンスした後、流体の流れを止め、ペ
デスタルは回転を続け、基板をスピンさせ、それにより表面を効果的に乾燥させ
る。
To explain the principle of operation, the pedestal is in the raised position shown in FIG. 4, and the robot (not shown) places the substrate on the pedestal face-up. The pedestal lowers the substrate to a processing position where the substrate is vertically disposed between the first fluid inlet and the second fluid inlet. Generally, the pedestal actuator rotates the pedestal at about 5 to about 5000 rpm, with a typical range of speeds being about 20 to about 2000 rpm for a 200 mm substrate. The lower end 337a of the clamp is rotated.
Rotates outwardly around the pivot 337b toward the periphery of the SRD module sidewall due to centrifugal force. Rotation of the clamp pushes the upper end 337c of the clamp inward and downward toward the center, holding the substrate 338 in place on the pedestal 336, preferably along the edge of the substrate. The clamp can be rotated into place without hitting the substrate, holding the substrate in place on the pedestal only if the substrate has lifted significantly from the pedestal during processing. With the pedestal rotating the substrate, the rinse fluid is pumped through the first fluid inlet 340 onto the front side of the substrate. A second fluid, such as acid, is pumped through the second fluid inlet to the backside to remove unwanted deposits or deposits. The lysing fluid chemically reacts with and dissolves the deposit, and then rinses the deposit from the backside of the substrate and other areas where the unwanted deposit is located. In a preferred embodiment, the rinse fluid is adjusted to flow at a faster rate than the dissolution fluid to help protect the front side or surface of the substrate from the dissolution fluid. The first and second fluid inlets are arranged for optimum performance depending on the size of the substrate, the respective flow rates, the spray pattern and the amount and type of deposits to be removed, among other factors. . In some cases, the rinsing fluid may be directed to the second fluid inlet to rinse the backside of the substrate after the lysing fluid has dissolved the unwanted deposits. In other cases, an auxiliary fluid inlet coupled to flow the rinse fluid over the backside of the substrate may be used to rinse the dissolution fluid residue from the backside. After rinsing the front and / or back side of the substrate, the fluid flow is stopped and the pedestal continues to spin, spinning the substrate and thus effectively drying the surface.

【0036】 流体を一般に、スプレーパターンで送り出し、これは、所望の特定のノズルス
プレーパターンに応じて様々であるのがよく、かかるパターンとして、扇形、ジ
ェット形、円錐形及び他のパターンが挙げられる。第1及び第2の流体入口をそ
れぞれ通る第1及び第2の流体についての1つのスプレーパターンは、第1の流
体がリンス流体である場合、扇形のパターンであり、200mmウェーハの場合、
圧力が約10〜約15psi(平方インチ当たりのポンド)及び流量が約1〜約
3gpm(1分間当たりのガロン)である。
The fluid is generally delivered in a spray pattern, which may vary depending on the particular nozzle spray pattern desired, such patterns including fan-shaped, jet-shaped, conical and other patterns. . One spray pattern for the first and second fluids through the first and second fluid inlets, respectively, is a fan-shaped pattern when the first fluid is a rinse fluid and a 200 mm wafer,
The pressure is about 10 to about 15 psi (pounds per square inch) and the flow rate is about 1 to about 3 gpm (gallons per minute).

【0037】 本発明はまた、基板のエッジに沿う望ましくない堆積物を取り除いてエッジ除
外領域を生じさせるのに用いることができる。ノズルの向き及び配置場所を、流
体の流量、基板の回転速度及び流体の化学的組成を調節することにより、望まし
くない堆積物を基板のエッジ及び(又は)エッジ除外領域から除去することもで
きる。かくして、表側の表面上の堆積物質の溶解を実質的に阻止することは、必
ずしも、基板のエッジ又はエッジ除外領域を含むわけではない。また、表側表面
上の堆積物質の溶解を阻止することは、堆積物の付いた表側が商業的な価値を越
えて損なわれないように少なくとも溶解を阻止することを含むものである。
The present invention can also be used to remove unwanted deposits along the edges of a substrate to create edge exclusion areas. The nozzle orientation and location can also be adjusted to control the fluid flow rate, substrate rotation rate and fluid chemical composition to remove unwanted deposits from the edge and / or edge exclusion areas of the substrate. Thus, substantially preventing dissolution of the deposited material on the front surface does not necessarily include the edges or edge exclusion areas of the substrate. Also, inhibiting dissolution of the deposited material on the front surface includes at least inhibiting dissolution so that the surface with the deposit is not damaged beyond commercial value.

【0038】 エッジ除外領域の溶解方法を達成する一方法は、溶解流体を基板の裏側上に小
出ししながらディスクを遅い速度、例えば約100〜約1000rpmで回転さ
せることである。遠心力により、溶解流体が基板のエッジに寄せられ、流体の表
面張力によりエッジの周りに流体の層が生じ、したがって溶解流体が基板のエッ
ジ領域の裏側から表側までオーバーラップするようになる。基板の回転速度及び
溶解流体の流量を用いると、表側上へのオーバーラップの度合を求めることがで
きる。例えば、回転速度を減少させ、又は流量を増加させると、その結果として
、反対側の側部、例えば表側への流体のオーバーラップが少なくなる。さらに、
表側に送り出されるリンス流体の流量及び流れ角度を調節すると、基板のエッジ
及び(又は)表側上への溶解流体の層をずらすことができる。場合によっては、
エッジ及び(又は)エッジ除外領域を除去するためにリンス流体を用いないで溶
解流体をまず最初に用い、次に、上述したような本発明のリンス/溶解方法を行
ってもよい。
One way to achieve the edge exclusion zone dissolution method is to rotate the disk at a slow speed, eg, about 100 to about 1000 rpm while dispensing the dissolution fluid onto the backside of the substrate. The centrifugal force causes the lysing fluid to move toward the edge of the substrate and the surface tension of the fluid creates a layer of fluid around the edge, thus causing the lysing fluid to overlap from the back side to the front side of the edge area of the substrate. Using the rotation speed of the substrate and the flow rate of the dissolving fluid, the degree of overlap on the front side can be determined. For example, decreasing the rotational speed or increasing the flow rate will result in less overlap of fluid on the opposite side, eg the front side. further,
Adjusting the flow rate and flow angle of the rinse fluid delivered to the front side can shift the layer of dissolving fluid on the edge of the substrate and / or on the front side. In some cases,
The lysing fluid may be used first without the rinsing fluid to remove the edges and / or edge exclusion areas, and then the rinsing / melting method of the present invention as described above may be performed.

【0039】 図27は、エッジビード除去(エッジクリーンと呼ばれることがある)/スピ
ン−リンス−ドライ(EBR/SRD)モジュールの組み合わせの断面図であり
、基板を流体入口相互間に垂直方向に位置した処理位置で示している。本発明の
この実施形態は、エッジビーム除去(EBR)とスピン−リンス−ドライ(SR
D)法の両方に有用である。EBR/SRDモジュールは好ましくは、SRDス
テーション212内に設けられる(図3参照)。EBR/SRDモジュール22
00は、容器2100に、ウェーハホルダ組立体2104及び流体/化学薬品送
出し組立体2106を有している。容器2102は好ましくは、円筒形側壁21
08、中央開口部2112を備えた容器底部2110及び中央開口部2112の
周縁部から上方に延びる上向き内壁2114を有する。使用済みの流体及び化学
薬品をEBR/SRDモジュール2200から排出しやすくするために流体出口
2116が容器の底部2110に連結されている。
FIG. 27 is a cross-sectional view of an edge bead removal (sometimes referred to as edge clean) / spin-rinse-dry (EBR / SRD) module combination with the substrate positioned vertically between fluid inlets. It is shown in the processing position. This embodiment of the invention provides edge beam removal (EBR) and spin-rinse-dry (SR).
D) It is useful for both methods. The EBR / SRD module is preferably provided within the SRD station 212 (see Figure 3). EBR / SRD module 22
00 has a wafer holder assembly 2104 and a fluid / chemical delivery assembly 2106 in a container 2100. The container 2102 is preferably a cylindrical sidewall 21.
08, a container bottom 2110 having a central opening 2112, and an upward facing inner wall 2114 extending upward from the peripheral edge of the central opening 2112. A fluid outlet 2116 is coupled to the bottom 2110 of the container to facilitate draining used fluids and chemicals from the EBR / SRD module 2200.

【0040】 ウェーハホルダ組立体2104は、中央開口部2112の上方に設けられ、こ
のウェーハホルダ組立体は、リフト又は吊上げ組立体2118及び中央開口部2
112を貫通して延びる回転組立体2120を有する。リフト組立体2118は
好ましくは、ベロータイプのリフト又は親ねじステッピングモータタイプのリフ
ト組立体からなり、これらリフト組立体は当該技術分野では周知であって、市販
されている。リフト組立体2118は、ウェーハ2122の搬送用にすると共に
種々の垂直方向位置相互間におけるウェーハホルダ組立体2104上でのウェー
ハ2122の位置決めを容易にする。回転組立体2120は好ましくは、リフト
組立体の下に取り付けられた回転モータからなる。回転組立体2120は、ウェ
ーハ2122をエッジビード除去中、回転させる。
Wafer holder assembly 2104 is provided above central opening 2112, which includes a lift or lift assembly 2118 and central opening 2.
It has a rotating assembly 2120 extending through 112. The lift assemblies 2118 preferably comprise bellows type lifts or lead screw stepper motor type lift assemblies, which are well known in the art and are commercially available. The lift assembly 2118 serves for transporting the wafer 2122 and facilitates positioning of the wafer 2122 on the wafer holder assembly 2104 between various vertical positions. The rotary assembly 2120 preferably comprises a rotary motor mounted below the lift assembly. Rotation assembly 2120 rotates wafer 2122 during edge bead removal.

【0041】 ウェーハホルダ組立体2104は好ましくは、真空チャック2124を有し、
この真空チャックは、ウェーハ2122をウェーハの裏側から固定し、ウェーハ
エッジ2126を妨害しない。好ましくは、環状シール2128、例えば圧縮可
能なOリングが、エッジビード除去中に用いられる流体及び化学薬品から真空チ
ャック2124を密封するために真空チャック表面の周囲部分のところに設けら
れている。ウェーハホルダ組立体2104は好ましくは、ウェーハを搬送ロボッ
トのロボットブレードからウェーハホルダ組立体2104へ搬送しやすくするウ
ェーハリフト2130を有する。ウェーハリフト2130は図27に示すように
、スパイダクリップ組立体を有し、このスパイダクリップ組立体も又、ウェーハ
をスピン−リンス−ドライ作業中に固定するのに用いることができる。スパイダ
クリップ組立体は、環状ペース2136から延びる複数のアーム2134及びア
ーム2134の末端部に回動自在に設けられたスパイダクリップ2138を有す
る。環状ベース2136は、処理中に用いられる流体を容器2102の内側に収
容するよう上向き内壁2114とオーバーラップする下方に延びる壁2137を
有する。スパイダクリップ2138は、ウェーハを受け入れる上面2140、ウ
ェーハをクランプするクランプ部分2142及び下方部分2144を有し、この
下方部分2144により、クランプ部分2142は、ウェーハホルダ組立体を回
転させた時の遠心力によりウェーハのエッジに係合する。変形例として、ウェー
ハリフト2130は、種々のウェーハ処理装置において一般に用いられるウェー
ハリフト、例えばリフトプラットホーム上に設けられる1組のリフトピン又はリ
フトフープ又は真空チャック本体内又はその周りに設けられるリフトリングを有
する。
Wafer holder assembly 2104 preferably includes a vacuum chuck 2124,
This vacuum chuck holds the wafer 2122 from the backside of the wafer and does not interfere with the wafer edge 2126. Preferably, an annular seal 2128, such as a compressible O-ring, is provided at a peripheral portion of the vacuum chuck surface to seal the vacuum chuck 2124 from fluids and chemicals used during edge bead removal. Wafer holder assembly 2104 preferably includes a wafer lift 2130 that facilitates transfer of wafers from the robot blades of the transfer robot to wafer holder assembly 2104. The wafer lift 2130 has a spider clip assembly, as shown in FIG. 27, which can also be used to secure the wafer during a spin-rinse-dry operation. The spider clip assembly includes a plurality of arms 2134 extending from the annular pace 2136 and a spider clip 2138 rotatably mounted on the distal end of the arms 2134. The annular base 2136 has a downwardly extending wall 2137 that overlaps the upwardly facing inner wall 2114 to contain the fluid used during processing inside the vessel 2102. The spider clip 2138 has a top surface 2140 for receiving the wafer, a clamp portion 2142 for clamping the wafer and a lower portion 2144, which allows the clamp portion 2142 to be subjected to centrifugal force when the wafer holder assembly is rotated. Engage with the edge of the wafer. Alternatively, the wafer lift 2130 comprises a wafer lift commonly used in various wafer processing equipment, such as a set of lift pins or lift hoops on a lift platform or lift rings provided in or around a vacuum chuck body. .

【0042】 流体/化学薬品送出し組立体2106は、1又は2以上のディスペンスアーム
2152上に設けられた1又は2以上のノズル2150を有する。ディスペンス
アーム2152は、容器側壁2108を貫通して延び、アクチュエータ2154
に取り付けられており、このアクチュエータ2154は、基板2122上のノズ
ル2150の位置を変えるよう伸縮する。伸縮可能なディスペンスアーム215
2を設けることにより、ノズルをウェーハの内側部分からウェーハのエッジに差
し向けるようノズルをウェーハ上に位置決めすることができ、これにより、ウェ
ーハエッジへのエッチング剤/流体の送出しの制御性がよくなる。変形例として
、ディスペンスアーム2152は、容器の側壁2108にしっかりと取り付けら
れ、ノズル2150は、容器2102内での垂直方向のウェーハ運動を邪魔しな
い位置でディスペンスアームに固定される。
The fluid / chemical delivery assembly 2106 has one or more nozzles 2150 mounted on one or more dispense arms 2152. Dispense arm 2152 extends through container side wall 2108 and provides actuator 2154.
Mounted on the substrate 2122, the actuator 2154 expands and contracts to change the position of the nozzle 2150 on the substrate 2122. Extendable dispensing arm 215
The provision of 2 allows the nozzle to be positioned on the wafer such that the nozzle is directed from the inner portion of the wafer to the edge of the wafer, which provides better control of the etchant / fluid delivery to the wafer edge. . Alternatively, dispense arm 2152 is rigidly attached to container side wall 2108 and nozzle 2150 is secured to the dispense arm in a position that does not interfere with vertical wafer movement within container 2102.

【0043】 好ましくは、ディスペンスアーム2152は、これを貫通して延びていて、ノ
ズル2150をエッチング剤源に連結する1又は2以上の導管を有する。堆積金
属を基板から除去するための種々のエッチング剤、例えば硝酸及び市販の他の酸
が当該技術分野で周知である。変形例として、ノズル2150は、ディスペンス
アーム2152内の導管を通って設けられた可撓性管2156中に連結される。
ノズル2150を、1又は2以上の化学薬品/流体源、例えば、脱イオン水源2
160及びエッチング剤源2162に選択的に連結でき、コンピュータ制御装置
2164がこの連結状態を、所望のプログラムにしたがって1又は2以上の流体
/化学薬品源相互間で切り換える。変形例として、第1の組をなすノズルが、脱
イオン水源に連結され、第2の組をなすノズルがエッチング剤源に連結され、ノ
ズルは流体をウェーハに送るよう選択的に動作状態にされる。
Dispense arm 2152 preferably has one or more conduits extending therethrough that connect nozzle 2150 to a source of etchant. Various etchants for removing deposited metals from substrates, such as nitric acid and other commercially available acids, are well known in the art. Alternatively, the nozzle 2150 is coupled into a flexible tube 2156 provided through a conduit in the dispense arm 2152.
Nozzle 2150 is connected to one or more chemical / fluid sources, eg, deionized water source 2
160 and etchant source 2162 may be selectively coupled and computer controller 2164 may switch this coupling between one or more fluid / chemical sources according to a desired program. Alternatively, a first set of nozzles is connected to a source of deionized water, a second set of nozzles is connected to an etchant source, and the nozzles are selectively activated to deliver fluid to the wafer. It

【0044】 好ましくは、追加の組をなす下側ノズル2170が、好ましくはノズル215
0の位置に垂直方向に一致して整列した状態でウェーハの下の位置に設けられる
。下方ノズル2170は、脱イオン水源2160及びエッチング剤源2162に
選択的に連結され、ノズル2170によって送り出される流体は、制御装置21
64によって制御される。好ましくは、ノズル2170は、流体をウェーハの裏
側の周囲部分に送り出すよう差し向けられる。下方ノズル2170は好ましくは
、ウェーハリフト2130の動作を邪魔しない位置に設けられる。下方ノズル2
170を、アーム2176を介してアクチュエータ2174に取り付けてもよく
、このアーム2176は、ノズル2170を所望位置に位置決めするよう伸縮す
る。変形例として、下方ノズル2170を妨害しないようにするためにウェーハ
リフト2130を処理中回転させない。EBR/SRDモジュール2200は好
ましくは、脱イオン水をウェーハの上面の中央部分に送り出すよう設けられた専
用脱イオン水ノズル2172をさらに有する。
Preferably, an additional set of lower nozzles 2170, preferably nozzles 215.
It is provided at a position below the wafer in a state of being aligned with the 0 position in the vertical direction. The lower nozzle 2170 is selectively connected to a source of deionized water 2160 and a source of etchant 2162, and the fluid delivered by the nozzle 2170 is controlled by the controller 21.
Controlled by 64. Preferably, the nozzle 2170 is oriented to deliver fluid to the peripheral portion of the backside of the wafer. The lower nozzle 2170 is preferably provided at a position that does not interfere with the operation of the wafer lift 2130. Lower nozzle 2
170 may be attached to actuator 2174 via arm 2176, which expands and contracts to position nozzle 2170 in the desired position. Alternatively, the wafer lift 2130 is not rotated during processing to avoid obstructing the lower nozzle 2170. The EBR / SRD module 2200 preferably further comprises a dedicated deionized water nozzle 2172 arranged to deliver deionized water to the central portion of the upper surface of the wafer.

【0045】 好ましくは、ノズル2150は、流体を実質的に接線方向でウェーハの周囲部
分の近くにもたらすような角度に配置されている。図28は、エッジビーム除去
を行うためのノズル位置の一例を示すEBR/SRDモジュールの平面図である
。図示のように、3つのノズル2150は、容器の側壁2108の内面の周りに
実質的に等間隔をおいて設けられている。角ノズル2150は、流体をウェーハ
のエッジ部分にもたらすよう配置されると共にウェーハを処理位置と搬送位置と
の間で垂直方向に運動させることができるのに十分なスペースを開けるよう位置
決めされている。好ましくは、流体送出し又はスプレーパターンは、ノズルの形
状及び流体の圧力によって制御され、それにより流体の送出しを選択されたエッ
ジ除外領域に限定する。例えば、エッチング剤は、3mm分のエッジ除外を達成す
るためウェーハの外側の3mm環状部分に制限される。ノズルは、エッチング剤を
、エッチング剤がウェーハに接触するとエッチングの跳ね掛けを制御するウェー
ハ表面への入射角度でもたらすよう位置決めされている。図29は、処理中のウ
ェーハ2122に対して設けられたノズル2150の側面図である。好ましくは
、ウェーハに対するエッチング剤の入射角αは、約0°〜約45°、より好まし
くは約10°〜約30°である。
Preferably, the nozzles 2150 are angled to bring the fluid substantially tangentially near the peripheral portion of the wafer. FIG. 28 is a plan view of an EBR / SRD module showing an example of nozzle positions for performing edge beam removal. As shown, the three nozzles 2150 are substantially evenly spaced around the inner surface of the side wall 2108 of the container. The angular nozzle 2150 is positioned to bring fluid to the edge portion of the wafer and is positioned to open enough space to allow vertical movement of the wafer between processing and transfer positions. Preferably, the fluid delivery or spray pattern is controlled by the nozzle geometry and fluid pressure, thereby limiting fluid delivery to selected edge exclusion areas. For example, the etchant is confined to the outer 3 mm annulus of the wafer to achieve 3 mm of edge exclusion. The nozzle is positioned to provide the etchant at an angle of incidence on the wafer surface that controls the splash of the etch as the etchant contacts the wafer. FIG. 29 is a side view of the nozzle 2150 provided for the wafer 2122 being processed. Preferably, the angle of incidence α of the etchant on the wafer is from about 0 ° to about 45 °, more preferably from about 10 ° to about 30 °.

【0046】 ウェーハ2122を、エッジビーム除去中、回転させてウェーハの周囲部分の
ところでのエッチングに対する暴露を実質的に等しくする。好ましくは、ウェー
ハ2122を、エッチング剤のスプレーパターンの方向と同一方向に回転させて
エッジビーム除去の制御を容易にする。例えば、図28に示すように、ウェーハ
を、反時計回りのスプレーパターンと一致した反時計回りの方向(矢印A)に回
転させる。ウェーハを好ましくは、約100rpm〜約1000rpm、より好
ましくは約500rpm〜約700rpmで回転させる。有効エッチング速度(
即ち、除去に必要な時間で割った銅の除去量)は、エッチング剤のエッチング速
度、ウェーハのエッジに接触するエッチング剤の速度、エッチング剤の温度及び
ウェーハの回転速度の関数である。これらパラメータを変化させると、特定の所
望の結果を達成することができる。
The wafer 2122 is rotated during edge beam removal to substantially equalize the exposure to etching at the peripheral portion of the wafer. Preferably, the wafer 2122 is rotated in the same direction as the spray pattern of the etchant to facilitate control of edge beam removal. For example, as shown in FIG. 28, the wafer is rotated in a counterclockwise direction (arrow A) that matches the counterclockwise spray pattern. The wafer is preferably rotated at about 100 rpm to about 1000 rpm, more preferably about 500 rpm to about 700 rpm. Effective etching rate (
That is, the amount of copper removed divided by the time required for removal) is a function of the etch rate of the etchant, the rate of etchant in contact with the edge of the wafer, the temperature of the etchant, and the rotational speed of the wafer. Varying these parameters can achieve certain desired results.

【0047】 動作原理を説明すると、ウェーハ2122をEBR/SRDモジュール210
0のウェーハホルダ組立体2104上に配置し、2130がウェーハを搬送ロボ
ットのブレードから持ち上げる。ロボットブレードが引っ込み、ウェーハリフト
2130がウェーハを真空チャック2124上に下降させる。真空装置を作動さ
せてウェーハ2122をこの上に固定し、ウェーハが載っているウェーハホルダ
組立体2104を、ノズル2150がエッチング剤をウェーハ2122の周囲部
分上に送り出しているときに回転させる。好ましくは、下方ノズル2170もま
た、エッチング剤を、エッジビーム除去中、ウェーハの裏側に送り出す。好まし
くは、脱イオン水ノズル2172が、エッジビーム除去中、脱イオン水をウェー
ハの中央部分に送り出してウェーハ表面の中央部分上に跳ね掛かったエッチング
剤による意図しないエッチングを防止する。エッチング作業を、ウェーハエッジ
上の過剰の堆積部(即ち、エッジビード)を除去するのに十分な所定の期間にわ
たって実施する。好ましくは、スピン−リンス−ドライ法で脱イオン水を用いて
ウェーハをクリーニングする。スピン−リンス−ドライ法は代表的には、脱イオ
ン水をウェーハに送り出して残留エッチング剤をウェーハから洗い落とす段階及
びウェーハを高速で回転させてウェーハを乾燥させる工程を含む。スピン−リン
ス−ドライ作業の場合、好ましくは、ノズル2150,2170,2172はす
べて、脱イオン水を送り出してウェーハの回転中にウェーハをリンスする。ウェ
ーハのリンス後、ウェーハを回転乾燥させて、次の処理のためにEBR/SRD
モジュール2200から運び出す。
To explain the operation principle, the wafer 2122 is mounted on the EBR / SRD module 210.
0 wafer holder assembly 2104 and 2130 lifts the wafer from the transfer robot blade. The robot blade retracts and the wafer lift 2130 lowers the wafer onto the vacuum chuck 2124. The vacuum system is activated to secure the wafer 2122 thereon and rotate the wafer holder assembly 2104 on which the wafer rests as the nozzle 2150 delivers the etchant onto the peripheral portion of the wafer 2122. Preferably, lower nozzle 2170 also delivers etchant to the backside of the wafer during edge beam removal. Preferably, the deionized water nozzle 2172 delivers deionized water to the central portion of the wafer during edge beam removal to prevent unintentional etching by the etchant splashing on the central portion of the wafer surface. The etching operation is performed for a predetermined period of time sufficient to remove excess deposits (ie, edge beads) on the wafer edge. Preferably, the wafer is cleaned by spin-rinse-dry method with deionized water. Spin-rinse-dry methods typically include the steps of pumping deionized water to the wafer to wash residual etchant from the wafer and spinning the wafer at high speed to dry the wafer. For spin-rinse-dry operations, preferably nozzles 2150, 2170, 2172 all deliver deionized water to rinse the wafer during wafer rotation. After rinsing the wafer, spin dry the wafer to EBR / SRD for further processing.
Carry out of module 2200.

【0048】 EBR/SRDモジュール2200又はSRDモジュール238は、ローディ
ングステーション210に隣接して設けられており、ローディングステーション
210とメインフレーム214との間の連結手段として役立つ。図2及び図3に
戻ると、メインフレーム214は、図示のように、互いに反対側の側部に設けら
れた2つの処理ステーション218を有し、各処理ステーション218は、2つ
の処理セル240を有している。メインフレーム搬送ステーション216は、メ
インフレーム上における種々のステーション相互間の基板搬送を可能にするよう
中央に設けられたメインフレーム搬送ロボット242を有している。好ましくは
、メインフレーム搬送ロボット242は、複数の個々のロボットアーム2102
を有し、これらロボットアーム2402は、処理ステーション218、SRDス
テーション212、シード層補修ステーション及びメインフレーム上に設けられ
又はこれと関連して設けられた他の処理ステーション内のウェーハに別個独立に
接近できる。図3に示すように、メインフレーム搬送ロボット242は、処理ス
テーション218の1個あたりの処理セル240の数に一致する2つのロボット
アーム2402を有している。各ロボットアーム2402は、ウェーハ搬送中ウ
ェーハを保持するエンドエフェクタ2404を有している。好ましくは、各ロボ
ットアーム2402は、システム中におけるウェーハの別個独立の搬送を容易に
するよう他のアームとは別個独立に動作可能である。変形例として、ロボットア
ーム2402は、1つのロボットアームが延びる際に他のロボットアームが引っ
込むようにリンクした状態で動作する。
The EBR / SRD module 2200 or SRD module 238 is provided adjacent to the loading station 210 and serves as a connecting means between the loading station 210 and the main frame 214. Returning to FIGS. 2 and 3, the mainframe 214 has two processing stations 218 on opposite sides, as shown, each processing station 218 having two processing cells 240. Have The mainframe transfer station 216 includes a mainframe transfer robot 242 provided at the center thereof to enable transfer of substrates between various stations on the mainframe. Preferably, the mainframe transfer robot 242 has a plurality of individual robot arms 2102.
These robot arms 2402 independently access wafers in processing station 218, SRD station 212, seed layer repair station and other processing stations located on or associated with the mainframe. it can. As shown in FIG. 3, the mainframe transfer robot 242 has two robot arms 2402 corresponding to the number of processing cells 240 per processing station 218. Each robot arm 2402 has an end effector 2404 that holds a wafer during wafer transfer. Preferably, each robot arm 2402 is operable independently of the other arms to facilitate independent transfer of wafers in the system. As a modification, the robot arm 2402 operates in a linked state such that when one robot arm extends, the other robot arm retracts.

【0049】 好ましくは、メインフレーム搬送ステーション216は、メインフレーム搬送
ロボット242上に設けられた足ひれ状ロボットエンドエフェクタ2404を有
し、この足ひれ状ロボットエンドエフェクタは、ウェーハの面を下にした処理を
必要とする処理セル240の場合に、ウェーハを面を上向きにした位置から面を
下向きにした位置に移しやすくする。足ひれ状ロボットエンドエフェクタ240
4は、足ひれ状ロボットエンドエフェクタ2404に沿う水平方向軸線沿いの回
転運動を可能にする。好ましくは、足ひれ状ロボットエンドエフェクタ2404
の末端部のところに設けられた真空吸引グリッパ254が、ウェーハをひっくり
返して足ひれ状ロボットエンドエフェクタ2404によって運ばれている時にウ
ェーハを保持する。足ひれ状ロボットエンドエフェクタ2404は、面を下にし
た処理が可能になるようウェーハ234を処理セル240内に位置決めする。本
発明の電気めっき処理セルの詳細については以下に説明する。
Preferably, the mainframe transfer station 216 includes flipper-like robot end effectors 2404 provided on the mainframe transfer robot 242, which flipper-like robot end effectors face down on the wafer. For process cells 240 that require processing, it facilitates transfer of the wafer from a face-up position to a face-down position. Flippers robot end effector 240
4 enables rotational movement along the horizontal axis along the flipper robot end effector 2404. Preferably, the flipper robot end effector 2404.
A vacuum suction gripper 254 located at the distal end of the device holds the wafer as it is flipped over and carried by the flipper robot end effector 2404. The flipper robot end effector 2404 positions the wafer 234 within the processing cell 240 to enable face down processing. The details of the electroplating cell of the present invention will be described below.

【0050】 図3は、足ひれ状ロボットが組み込まれたメインフレーム搬送ロボットを示し
ている。メインフレーム搬送ロボット242は図24に示すように、ウェーハを
メインフレームに取り付けられた種々のステーション相互間で搬送するのに役立
ち、かかるステーションとしては、処理ステーション及びSRDステーションが
挙げられる。メインフレーム搬送ロボット242は、複数のロボットアーム24
02(2つ示している)を有し、足ひれ状ロボット2402が、ロボットアーム
2402の各々のエンドエフェクタとして取り付けられている。足ひれ状ロボッ
トは当該技術分野で一般に知られており、これをウェーハ取扱いロボット、例え
ばカリフォルニア州ミルピタス所在のローゼ・オートメーション・インコーポレ
ーテッド(Rorze Automation, Inc.)から入手できるモデルRR701のエンド
エフェクタとして取り付けることができる。エンドエフェクタとして足ひれ状ロ
ボットを備えたメインフレーム搬送ロボット242は、基板をメインフレームに
取り付けられた種々のステーション相互間で搬送できると共に搬送中の基板を所
望の表面配向状態にひっくり返すことができ、例えば、基板の処理面は、電気め
っき法の場合、下向きになる。例えば、足ひれ状ロボットは、基板の処理面を、
処理セル240内における電気めっきのために面を下にひっくり返し、そして他
の処理、例えばスピン−リンス−ドライ作業の場合、基板の処理面を面を上にひ
っくり返す。好ましくは、メインフレーム搬送ロボット242は、ロボットアー
ム2402によってX−Y−Z軸に沿う別個独立のロボット運動を可能にすると
共に足ひれ状ロボットエンドエフェクタ2402により別個独立の基板裏返し回
転を可能にする。足ひれ状ロボット2404をメインフレーム搬送ロボットのエ
ンドエフェクタとして組み込むことにより、ウェーハ搬送作業は、メインフレー
ム搬送ロボットから足ひれ状ロボットへのウェーハの移送段階が省略されるので
単純化される。
FIG. 3 shows a mainframe transfer robot incorporating a flipper robot. The mainframe transfer robot 242 serves to transfer wafers between various stations mounted on the mainframe, as shown in FIG. 24, including processing stations and SRD stations. The mainframe transfer robot 242 includes a plurality of robot arms 24.
02 (two shown) with a flipper robot 2402 attached as an end effector for each of the robot arms 2402. A flipper robot is generally known in the art and is attached to it as a wafer handling robot, such as the model RR701 end effector available from Rorze Automation, Inc. of Milpitas, Calif. be able to. The mainframe transfer robot 242 equipped with a flipper robot as an end effector can transfer a substrate between various stations attached to the mainframe and can turn over the substrate being transferred to a desired surface orientation state. For example, the treated surface of the substrate faces downward in the case of electroplating. For example, a flipper-like robot can
Flip face down for electroplating in process cell 240, and for other processes, such as spin-rinse-dry operations, flip the process face of the substrate face up. Preferably, the mainframe transfer robot 242 allows independent robot movements along the XYZ axes by the robot arm 2402 and independent flip-board rotations by the flipper robot end effector 2402. . By incorporating the flipper robot 2404 as an end effector of the mainframe transfer robot, the wafer transfer operation is simplified because the wafer transfer step from the mainframe transfer robot to the flipper robot is omitted.

【0051】 好ましくは、1又は2以上の無電気(又は、無電解)めっきセル又はモジュー
ルが、シード層補修ステーション215内に設けられる。無電気めっきセル(な
お、本明細書においては、無電気めっき処理(EDP)セルという)は、無電気
めっき法を行う。EDPセルを、基板の入口から見て遠くに位置した電気めっき
装置プラットホーム200の後方部分のところに設けるのがよい。図示の実施形
態では、2つのEDPセルをスループット量を多くするため並置するのがよい。
Preferably, one or more electroless (or electroless) plating cells or modules are provided in the seed layer repair station 215. An electroless plating cell (herein, referred to as an electroless plating (EDP) cell) performs an electroless plating method. The EDP cell may be located at a rear portion of the electroplater platform 200, which is located far from the substrate entrance. In the illustrated embodiment, two EDP cells may be juxtaposed to increase throughput.

【0052】 図24は、1つのEDPセル3010の断面図である。EDPセル3010は
、底部3012、側壁3014及び斜めに配置された上方シールド3016を有
し、上方シールドは、側壁3014に取り付けられていて、その中間部は開口し
ている。変形例として、着脱自在なカバー(図示せず)を用いてもよい。ペデス
タル3018が、全体としてセル3010の中央部分内に設けられ、ペデスタル
アクチュエータ3020を有している。ペデスタルアクチュエータ3020は、
ペデスタル3018を回転させてこの上に取り付けられた基板3022を約10
〜約2000rpmで回転させる。基板の温度が約15℃〜約100℃、好まし
くは約60℃になるようにペデスタルを加熱するのがよい。ペデスタルリフト3
024が、ペデスタル3018を昇降させる。基板3022を、ペデスタル30
18の頂部に取り付けられた真空チャック3026で定位置に保持するのがよい
。加うるに、ペデスタル3018は、基板3022を、複数のクランプ3028
と整列した垂直位置まで下降させることができる。クランプ3028は、遠心力
で回動して基板3022に好ましくは基板のエッジのところに係合する。ペデス
タル3018は下方に設けられた環状シールド3030をさらに有し、この環状
シールド3030は、セル3010の底部に結合された状態でこれに対応して上
方に設けられた環状シールド3032よりも直径が大きい。2つの環状シールド
3030,3032の相互作用により、ペデスタル3018及び関連構成部品が
セル3010内の流体から保護される。少なくとも1つの流体出口3034が、
流体をセルから流出させることができるようセル3010の底部に設けられてい
る。
FIG. 24 is a sectional view of one EDP cell 3010. The EDP cell 3010 has a bottom portion 3012, a side wall 3014 and an obliquely arranged upper shield 3016, and the upper shield is attached to the side wall 3014, and an intermediate portion thereof is open. As a modification, a detachable cover (not shown) may be used. A pedestal 3018 is generally provided within the central portion of cell 3010 and has a pedestal actuator 3020. The pedestal actuator 3020 is
Rotate the pedestal 3018 to move the substrate 3022 mounted on it about 10 times.
~ Rotate at about 2000 rpm. The pedestal may be heated to bring the temperature of the substrate to about 15 ° C to about 100 ° C, preferably about 60 ° C. Pedestal lift 3
024 raises and lowers pedestal 3018. The substrate 3022 is attached to the pedestal 30.
A vacuum chuck 3026 attached to the top of 18 may be held in place. In addition, the pedestal 3018 attaches the substrate 3022 to the plurality of clamps 3028.
Can be lowered to a vertical position aligned with. Clamp 3028 is rotated by centrifugal force to engage substrate 3022, preferably at the edge of the substrate. The pedestal 3018 further includes an annular shield 3030 disposed below, the annular shield 3030 having a larger diameter than a corresponding annular shield 3032 disposed above the bottom of the cell 3010. . The interaction of the two annular shields 3030, 3032 protects the pedestal 3018 and associated components from the fluid in the cell 3010. At least one fluid outlet 3034
Located at the bottom of cell 3010 to allow fluid to exit the cell.

【0053】 無電気めっき流体を流通させる第1の導管3036が、セル3010に結合さ
れている。導管3036は、ホース、パイプ、管又は他の流体収容導管であるの
がよい。無電気めっき流体弁3038が、無電気めっき流体の流量を制御し、本
明細書で開示した弁は、ニードル弁、グローブ弁、蝶形弁又は他の形式の弁であ
るのがよく、またこれら弁は、弁アクチュエータ、例えばソレノイドを有するの
がよい。無電気めっき流体容器3044が、制御装置3040で制御できる弁3
038に連結されている。一連の弁3042a〜3042fが、種々の化学薬品
源(図示せず)に結合されており、この場合、弁3042a〜3042fを制御
装置3040を用いて別個独立に制御することができる。好ましくは、無電気め
っき流体を、必要に応じ、導管3036及びこれと関連した要素への時期尚早な
無電気めっきを避けるために堆積前の時間をそれほど長くしないで基板3022
上への堆積のための個々の塗布量で混合する。したがって、弁3038,304
2a〜3042fは好ましくは、セル3010に密接して配置される。第1の導
管3036が、基板を下方位置に配置したときに基板3022の上方に位置する
第1の流体入口3046に連結され、好ましくは、関節連結部材3048、例え
ば玉継手に結合され、入口3046の運動を可能にすると共にセル3010内に
おける入口3046の角度調整を可能にする。第1のノズル3050が、入口3
046の端部に連結され、ペデスタル3018に差し向けられている。流体は全
体として、スプレーパターンで送り出され、かかるスプレーパターンは、特定の
所望ノズルスプレーパターンに応じて様々であるのがよく、かかるパターンとし
ては、扇形、ジェット形、円錐形及び他のパターンが挙げられる。好ましくは、
ノズル3050は、基板を妨害しないで昇降させることができるよう基板302
2の周囲の外部に設けられる。変形例として、ノズル3050を横方向、垂直方
向又はこの幾つかの組み合わせの方向に移動させて基板を上昇させ又は下降させ
る時に基板の垂直方向隙間を生じさせるアクチュエータ(図示せず)を用いてノ
ズル3050をセル3010の周囲に向かって関節連結してもよい。
A first conduit 3036 for flowing electroless plating fluid is coupled to the cell 3010. Conduit 3036 may be a hose, pipe, tubing or other fluid containing conduit. An electroless plating fluid valve 3038 controls the flow rate of the electroless plating fluid and the valves disclosed herein may be needle valves, globe valves, butterfly valves or other types of valves, and these The valve may have a valve actuator, such as a solenoid. Valve 3 in which electroless plating fluid container 3044 can be controlled by control device 3040
038. A series of valves 3042a-3042f are coupled to various chemical sources (not shown), in which case valves 3042a-3042f can be independently controlled using controller 3040. Preferably, the electroless plating fluid is optionally pre-deposited on substrate 3022 to avoid premature electroless plating on conduit 3036 and its associated elements.
Mix at individual coat weights for deposition on top. Therefore, the valves 3038, 304
2a-3042f are preferably placed in close proximity to the cell 3010. A first conduit 3036 is coupled to a first fluid inlet 3046 located above the substrate 3022 when the substrate is positioned in the lower position, and is preferably coupled to an articulating member 3048, such as a ball joint, inlet 3046. Of the inlet 3046 within the cell 3010 and the angular adjustment of the inlet 3046 within the cell 3010. The first nozzle 3050 has an inlet 3
It is connected to the end of 046 and is directed to the pedestal 3018. The fluid as a whole is delivered in a spray pattern, which may vary depending on the particular desired nozzle spray pattern, such as fan-shaped, jet-shaped, conical and other patterns. To be Preferably,
The nozzle 3050 allows the substrate 302 to move up and down without obstructing the substrate.
It is provided outside the periphery of 2. Alternatively, an actuator (not shown) may be used to move the nozzle 3050 laterally, vertically, or some combination thereof to create a vertical gap in the substrate when raising or lowering the substrate. 3050 may be articulated towards the periphery of cell 3010.

【0054】 第1の導管及び関連の要素と同様に、第2の導管3052は、側壁3014を
貫通して設けられている。第2の導管3052は、リンス流体、例えば脱イオン
水又はアルコールの経路となり、このリンス流体は、無電気めっき後、基板30
22をリンスするのに用いられる。第2の入口3054が、第2の導管3052
に連結され、第2のノズル3056が第2の入口3054に連結されている。関
節連結部材3059が第2の入口3054に結合され、かかる関節連結部材を用
いてセル3010に対する入口の運動及び角度調整を可能にすることができる。
第2の弁3058が、第2の導管3052に連結され、この第2の弁は好ましく
は、リンス流体のタイミング及び流量を制御する。第2の導管はまた、低濃度の
酸又は流体の源及び流体を制御するための弁に結合するのがよい。変形例として
、酸源を別個の導管(図示せず)に結合してもよい。例示の流体としては、塩酸
、硫酸、燐酸、弗化水素酸又は電気めっき法に先立って酸化及び他の汚染要因物
から層を保護するために無電気めっき後に基板表面を被覆するのに用いることが
できる他の液体又は流体が挙げられる。かくして、基板を次に行う処理、例えば
「濡れた」状態で電気めっきを行うよう移送して酸化物及び他の汚染要因物を最
小限に抑えるのがよい。もし基板が無電気めっき法の実施後に所定期間にわたっ
て面を上にした位置に維持されていれば濡れた状態での搬送を一段と行いやすく
なる。
Similar to the first conduit and related elements, the second conduit 3052 is provided through the sidewall 3014. The second conduit 3052 provides a path for a rinse fluid, such as deionized water or alcohol, which rinse fluid, after electroless plating.
Used to rinse 22. The second inlet 3054 has a second conduit 3052.
And a second nozzle 3056 is connected to the second inlet 3054. An articulation member 3059 may be coupled to the second inlet 3054 and may be used to allow movement and angular adjustment of the inlet relative to the cell 3010.
A second valve 3058 is connected to the second conduit 3052, which preferably controls the timing and flow rate of the rinse fluid. The second conduit may also be coupled to a source of dilute acid or fluid and a valve for controlling the fluid. Alternatively, the acid source may be coupled to a separate conduit (not shown). Exemplary fluids include those used to coat the substrate surface after electroless plating to protect the layer from hydrochloric acid, sulfuric acid, phosphoric acid, hydrofluoric acid, or oxidation and other contaminants prior to electroplating. Other liquids or fluids are possible. Thus, the substrate may be transferred for subsequent processing, for example, electroplating in the "wet" state to minimize oxides and other contaminants. If the substrate is maintained in a position with its surface up for a predetermined period after the electroless plating method, it becomes easier to carry it in a wet state.

【0055】 制御装置3040は好ましくは、各弁及びかくして各流体タイミング及び流量
を制御する。制御装置3040は好ましくは、基板のスピン及びペデスタル、か
くしてこの上に載置された基板の昇降を制御する。制御装置3040を、例えば
制御盤又は制御室内に遠隔配置するのがよく、給排水設備を遠隔アクチュエータ
で制御するのがよい。
Controller 3040 preferably controls each valve and thus each fluid timing and flow rate. Controller 3040 preferably controls the spin and pedestal of the substrate and thus the elevation of the substrate mounted thereon. The controller 3040 may be located remotely, for example in a control panel or control room, and the water supply and drainage facility may be controlled by remote actuators.

【0056】 動作原理を説明すると、ロボット(図示せず)は、基板3022を上向きにE
DPセル3010まで送る。基板3022上にはシード層が既に例えばPVD又
はIMP処理法により被着されている。ペデスタル3018が上昇し、真空チャ
ック3026が基板3022の下面に係合する。ロボットが引っ込み、ペデスタ
ル3018が処理高さ位置まで下降する。制御装置3040は、弁3042a〜
3042fを作動させて化学薬品を無電気流体容器3044内に入れ、化学薬品
を混合し、制御装置は、無電気めっき流体弁3038を作動させてこれを開き、
それにより或る量の無電気めっき流体を第1の入口3046に注入しそして第1
のノズル3050に通す。好ましくは、ペデスタル3018は約10〜約500
rpmの比較的遅い速度で回転し、かくしてある量の流体が基板3022を一様
に被覆できるようになる。流体を基板全体にわたり一様に広げるのに役立つよう
にするために基板の方向を交互に逆にするのがよい。無電気めっき流体弁303
8を閉じる。無電気めっき流体は、自動触媒作用であらかじめ被着されたシード
層上に層を形成し、先に被着された層の空所を互いに結合して高いアスペクト比
の特徴をしていてもより完全な被膜が得られるようにする。好ましくは、無電気
めっき法は、たいていの基板について約100Å〜約400Åのめっきを行う。
To explain the operation principle, a robot (not shown) moves the substrate 3022 upward with an E.
Send to DP cell 3010. A seed layer has already been deposited on the substrate 3022, for example by PVD or IMP processing. The pedestal 3018 rises and the vacuum chuck 3026 engages the bottom surface of the substrate 3022. The robot retracts and the pedestal 3018 descends to the processing height position. The control device 3040 includes valves 3042a to 3042a.
3042f is actuated to place the chemicals in the electroless fluid container 3044 to mix the chemicals and the controller actuates electroless plating fluid valve 3038 to open it;
This injects a quantity of electroless plating fluid into the first inlet 3046 and
Through the nozzle 3050. Preferably, pedestal 3018 is about 10 to about 500.
It rotates at a relatively slow speed of rpm, thus allowing a certain amount of fluid to uniformly coat the substrate 3022. The orientation of the substrate may be alternated to help spread the fluid evenly across the substrate. Electroless plating fluid valve 303
Close 8. Electroless plating fluids form a layer on a pre-deposited seed layer by autocatalysis and bond the voids of the previously deposited layer to each other to provide a high aspect ratio feature. Ensure that a complete coating is obtained. Preferably, the electroless plating process deposits about 100Å to about 400Å for most substrates.

【0057】 第2の弁3058が開き、リンス流体が第2の導管3052を通って流れ、第
2のノズル3056を通って基板3022上にスプレーされる。好ましくは、ペ
デスタル3018は残りの無電気めっき流体を基板3022からリンスし、出口
3034を通って排出して廃棄しながら、約100〜約500rpmの早い速度
で回転する。基板を酸又は他の被覆流体で被覆するのがよい。場合によっては、
ペデスタル3018は、約500〜約2000rpmの早い速度でスピン(回転
)して基板3022を回転乾燥させることができる。
The second valve 3058 opens and the rinse fluid flows through the second conduit 3052 and is sprayed through the second nozzle 3056 onto the substrate 3022. Preferably, pedestal 3018 spins at a high speed of about 100 to about 500 rpm while rinsing the rest of the electroless plating fluid from substrate 3022 and draining through outlet 3034 for disposal. The substrate may be coated with acid or other coating fluid. In some cases,
The pedestal 3018 can be spun at a fast rate of about 500 to about 2000 rpm to spin dry the substrate 3022.

【0058】 ペデスタル3018は、回転を停止し、基板3022をEDPセル3010の
上方の位置まで上昇させる。真空チャック3026は、基板3022を離し、ロ
ボットは電気めっきセル内での次の処理のために基板を取り出す。
The pedestal 3018 stops rotating and raises the substrate 3022 to a position above the EDP cell 3010. The vacuum chuck 3026 releases the substrate 3022 and the robot removes the substrate for subsequent processing in the electroplating cell.

【0059】 図6は、本発明の電気めっき処理セル400の断面図である。図6に示すよう
な電気めっき処理セル400は、図2及び図3に示すような電気めっき処理セル
240と同一である。処理セル400は主要構成要素として、ヘッド組立体41
0、処理キット420及び電解液コレクタ440を有している。好ましくは、電
解質コレクタ440は、処理キット420の配設場所を定める開口部443を覆
った状態でメインフレーム214の本体442に固定されている。電解液コレク
タ440は、内壁446、外壁448及びこれらの壁を互いに連結する底部44
7を有している。電解液出口449が、電解液コレクタ440の底部447を貫
通して設けられ、この出口は、管、ホース、パイプ又は他の流体搬送コネクタを
介して電解液補給装置220(図2参照)に連結されている。
FIG. 6 is a sectional view of the electroplating cell 400 of the present invention. The electroplating cell 400 as shown in FIG. 6 is the same as the electroplating cell 240 as shown in FIGS. 2 and 3. The processing cell 400 includes a head assembly 41 as a main component.
0, a processing kit 420 and an electrolyte collector 440. Preferably, the electrolyte collector 440 is fixed to the main body 442 of the main frame 214 while covering the opening 443 that defines the location where the processing kit 420 is disposed. The electrolyte collector 440 includes an inner wall 446, an outer wall 448 and a bottom portion 44 connecting these walls to each other.
Have 7. An electrolyte outlet 449 is provided through the bottom 447 of the electrolyte collector 440, which outlet is connected to the electrolyte replenisher 220 (see FIG. 2) via a tube, hose, pipe or other fluid carrying connector. Has been done.

【0060】 ヘッド組立体410は、ヘッド組立体フレーム452に取り付けられている。
ヘッド組立体フレーム452は、取付け支柱454及び片持ちアーム456を有
している。取付け支柱454は、メインフレーム214の本体442に取り付け
られ、片持ちアーム456は、取付け支柱454の上方部分から側方に延びてい
る。好ましくは、取付け支柱454は、ヘッド組立体410の回転を可能にする
ために取付け支柱に沿う垂直方向軸線に対して回転運動を生じさせる。ヘッド組
立体410は、片持ちアーム456の末端部のところに設けられた取付けプレー
ト460に取り付けられている。片持ちアーム456の下端部は、取付け支柱4
54に取付けられた片持ちアームアクチュエータ457、例えば空気圧シリンダ
に連結されている。片持ちアームアクチュエータ457は、片持ちアーム456
と取付け支柱454との間の結合部に対する片持ちアーム456の回動運動を可
能にする。片持ちアームアクチュエータ457を引っ込めると、片持ちアーム4
56はヘッド組立体410を処理キット420から遠ざけて処理キット420を
電気めっき処理セル400から取り出すと共に(或いは)交換するのに必要な間
隔を生じさせる。片持ちアームアクチュエータ457を伸長させると、片持ちア
ーム456はヘッド組立体410を処理キット420に近付けてヘッド組立体4
10内のウェーハを処理位置に位置決めする。
The head assembly 410 is attached to the head assembly frame 452.
The head assembly frame 452 has a mounting post 454 and a cantilever arm 456. The mounting post 454 is attached to the body 442 of the main frame 214, and the cantilever arm 456 extends laterally from an upper portion of the mounting post 454. Preferably, the mounting struts 454 provide rotational movement about a vertical axis along the mounting struts to allow rotation of the head assembly 410. The head assembly 410 is attached to a mounting plate 460 provided at the distal end of the cantilever arm 456. The lower end of the cantilever arm 456 is attached to the mounting post 4.
It is connected to a cantilever arm actuator 457 attached to 54, for example a pneumatic cylinder. The cantilever arm actuator 457 is a cantilever arm 456.
Enables pivoting movement of the cantilever arm 456 with respect to the connection between the and mounting struts 454. When the cantilever arm actuator 457 is retracted, the cantilever arm 4
56 creates the spacing required to move the head assembly 410 away from the processing kit 420 and remove and / or replace the processing kit 420 from the electroplating processing cell 400. When the cantilever arm actuator 457 is extended, the cantilever arm 456 brings the head assembly 410 closer to the processing kit 420 and the head assembly 4 is moved.
The wafer in 10 is positioned at the processing position.

【0061】 ヘッド組立体410は主要構成要素として、ウェーハホルダ組立体450及び
ウェーハ組立体アクチュエータ458を有している。ウェーハ組立体アクチュエ
ータ458は、取付けプレート460に取り付けられ、取付けプレート460を
貫通して下方に延びるヘッド組立体シャフト462を有している。ヘッド組立体
シャフト462の下端部は、ウェーハホルダ組立体450を処理位置及びウェー
ハローディング位置に位置決めするようウェーハホルダ組立体450に連結され
ている。
The head assembly 410 has a wafer holder assembly 450 and a wafer assembly actuator 458 as main components. Wafer assembly actuator 458 is mounted on mounting plate 460 and has a head assembly shaft 462 extending downwardly through mounting plate 460. The lower end of the head assembly shaft 462 is connected to the wafer holder assembly 450 to position the wafer holder assembly 450 in the processing position and the wafer loading position.

【0062】 ウェーハホルダ組立体450は主要構成要素として、ウェーハホルダ464及
び陰極接点リング466を有している。図7は、本発明の陰極接点リング466
の一実施形態の断面図である。一般に、接点リング466は、複数の導電性部材
が設けられた環状本体を有している。環状本体は、複数の導電性部材を電気的に
絶縁するよう絶縁材料で作られている。本体及び導電性部材は一緒になって、直
径方向内側基板着座面を形成し、この着座面は、処理中、基板を支持し、これに
電流を流す。
The wafer holder assembly 450 has a wafer holder 464 and a cathode contact ring 466 as main components. FIG. 7 illustrates a cathode contact ring 466 of the present invention.
3 is a cross-sectional view of an embodiment of FIG. Contact ring 466 generally comprises an annular body provided with a plurality of electrically conductive members. The annular body is made of an insulating material to electrically insulate the plurality of conductive members. The body and the conductive member together form a diametrically inner substrate seating surface that supports and carries electrical current to the substrate during processing.

【0063】 次に図7を詳細に参照すると、接点リング466は主要構成要素として、環状
絶縁本体770内に少なくとも部分的に設けられた複数の導電性部材765を有
している。絶縁本体770は、フランジ762及び下方に傾斜した肩部分764
を備えた状態で示されており、この肩部分は、フランジ762の下に位置した基
板着座面768に通じていて、フランジ762及び基板着座面768が、互いに
ずれて実質的に互いに平行な平面内に位置するようになっている。かくして、フ
ランジ762は、第1の平面を構成すると考えることができ、基板着座面768
は、第1の平面に平行な第2の平面を定め、肩764はこれら2つの平面相互間
に位置する。しかしながら、図7に示す設計の接点リングは、単なる例示である
ことが意図されている。別の実施形態では、肩部分764は、フランジ762と
基板着座面768の両方に実質的に垂直であるように実質的に垂直な角度を含む
急角度のものであってもよい。変形例として、接点リング466は、実質的に平
らであってもよく、それにより肩部分764が不要になる。しかしながら、以下
に説明する理由で、好ましい実施形態は、図6に示す肩部分764又はその或る
程度の設計変更部分を有する。
Referring now to FIG. 7 in detail, the contact ring 466 has, as a major component, a plurality of conductive members 765 at least partially disposed within the annular insulating body 770. The insulating body 770 includes a flange 762 and a downwardly sloping shoulder portion 764.
With the shoulder portion communicating with a substrate seating surface 768 located below the flange 762 such that the flange 762 and the substrate seating surface 768 are offset from each other and are substantially parallel to each other. It is located inside. Thus, the flange 762 can be considered as constituting a first plane and the substrate seating surface 768.
Defines a second plane parallel to the first plane, with a shoulder 764 located between the two planes. However, the contact ring of the design shown in FIG. 7 is intended to be exemplary only. In another embodiment, the shoulder portion 764 may be steep, including a substantially vertical angle such that it is substantially perpendicular to both the flange 762 and the substrate seating surface 768. Alternatively, contact ring 466 may be substantially flat, thereby eliminating shoulder portion 764. However, for reasons explained below, the preferred embodiment has a shoulder portion 764 shown in FIG. 6 or some design modification thereof.

【0064】 導電性部材765は、フランジ762上に環状に設けられた複数の外側電気接
点パッド780、基板着座面768の一部上に設けられた複数の内側電気接点パ
ッド772及びパッド772,780を互いに連結する複数の埋め込み状態の導
電性コネクタ776によって構成されている。導電性部材765は、絶縁本体7
70によって互いに絶縁されており、この絶縁本体は、プラスチック、例えばポ
リ弗化ビニリデン(PVDF)、ペルフルオロアルコキシ樹脂(PFA)、テフ
ロン(Teflon:登録商標)、テフゼル(Tefzel:登録商標)又は任意他の絶縁材
料、例えばアルミナ(Al23 )又は他のセラミックスで作られたものであ
るのがよい。外側接点パッド780は、電源(図示せず)に結合されていて、処
理中コネクタ776を介して電流及び電圧を内側接点パッド772に送るように
なっている。内側接点パッド772は、基板の周囲部分周りの接触を維持するこ
とにより基板に電流及び電圧を供給する。かくして、動作中、導電性部材765
は、基板に電気的に接続された別々の電流路として役立つ。
The conductive member 765 includes a plurality of outer electric contact pads 780 provided annularly on the flange 762, a plurality of inner electric contact pads 772 and pads 772, 780 provided on a part of the substrate seating surface 768. Are connected to each other by a plurality of embedded conductive connectors 776. The conductive member 765 is the insulating body 7
Insulated from each other by 70, which is a plastic such as polyvinylidene fluoride (PVDF), perfluoroalkoxy resin (PFA), Teflon®, Tefzel® or any other It may be made of an insulating material such as alumina (Al 2 O 3 ) or other ceramics. The outer contact pad 780 is coupled to a power source (not shown) and is adapted to deliver current and voltage to the inner contact pad 772 via the in-process connector 776. Inner contact pads 772 supply current and voltage to the substrate by maintaining contact around the perimeter of the substrate. Thus, during operation, the conductive member 765
Serve as separate current paths electrically connected to the substrate.

【0065】 抵抗率が低いこと、逆に言えば導電性が高いことは、良好なめっきと直接関連
している。抵抗率を低くするため、導電性部材765は好ましくは、銅(Cu)
、プラチナ(Pt)、タンタル(Ta)、チタン(Ti)、金(Au)、銀(A
g)、ステンレス鋼又は他の導電性材料で作られる。低抵抗率及び低接触抵抗は
又、導電性部材765を導電性材料で被覆することによっても達成できる。かく
して、導電性部材765を例えば、銅(銅の抵抗率は、約2×10-8Ω・m)で
作り、プラチナ(プラチナの抵抗率は、約10.6×10-8Ω・m)で被覆する
のがよい。被膜、例えば窒化タンタル(TaN)、窒化チタン(TiN)、ロジ
ウム(Rh)、Au、Cu、又はAgを導電性基材、例えばステンレス鋼、モリ
ブデン(Mo)、Cu及びTi上に被着させてもよい。さらに、接点パッド77
2,780は代表的には、導電性コネクタ776に接着された別個のユニットな
ので、接点パッド772,780は、一方の材料、例えばCu及び他方の導電性
部材765、例えばステンレス鋼から成るのがよい。パッド772,780のい
ずれか一方又は両方及び導電性コネクタ776を導電性材料で被覆するのがよい
。さらに、めっきの再現性が絶縁体として働く酸化物によって悪影響を受ける場
合があるので、内側接点パッド772は好ましくは、耐酸化性の材料、例えばP
t、Ag又はAuから成る。
Low resistivity, or conversely high conductivity, is directly related to good plating. To reduce the resistivity, the conductive member 765 is preferably copper (Cu).
, Platinum (Pt), tantalum (Ta), titanium (Ti), gold (Au), silver (A
g), made of stainless steel or other conductive material. Low resistivity and low contact resistance can also be achieved by coating the conductive member 765 with a conductive material. Thus, the conductive member 765 is made of, for example, copper (copper has a resistivity of about 2 × 10 −8 Ω · m) and platinum (platinum has a resistivity of about 10.6 × 10 −8 Ω · m). It is better to coat with. A coating, such as tantalum nitride (TaN), titanium nitride (TiN), rhodium (Rh), Au, Cu, or Ag, is deposited on a conductive substrate such as stainless steel, molybdenum (Mo), Cu and Ti. Good. Furthermore, the contact pad 77
Since the 2,780 are typically separate units glued to the conductive connector 776, the contact pads 772,780 are made of one material, such as Cu, and the other conductive member 765, such as stainless steel. Good. Either or both pads 772 and 780 and conductive connector 776 may be coated with a conductive material. In addition, the inner contact pad 772 is preferably an oxidation resistant material, such as P because the reproducibility of the plating may be adversely affected by the oxide acting as an insulator.
It consists of t, Ag or Au.

【0066】 各回路の全抵抗は、接点材料の関数であることに加えて、内側接点パッド77
2の幾何学的寸法又は形状及び接点リング466によって供給される力に依存し
ている。これら要因は、2つの表面相互間の凹凸に起因して内側接点パッド77
2と基板着座面768の境界部のところに集中抵抗RCRを定める。一般に、加え
られた力が大きいと、見かけの面積もまた大きい。見かけの面積は、RCRに反比
例するので見かけの面積が増大すると、その結果RCRが減少する。かくして、全
抵抗を最小限に抑えるためには、力を最大にすることが好ましい。動作中加えら
れる最大の力は、過度の力及びその結果生じる圧力を受けた状態で損傷する場合
のある基板の降伏強さによって制限される。しかしながら、圧力は力と面積の両
方に関連しているので、耐えることができる最大の力もまた、内側接点パッド7
72の幾何学的形状に依存している。かくして、接点パッド772は、図7に示
すような平らな上面を有するのがよいが、他の形状も又、有利に使用できる。例
えば、2つの好ましい形状が、図8及び図9に示されている。図8は、刃先(ナ
イフエッジ)の形をした接点パッドを示し、図9は、半球形の接点パッドを示し
ている。当業者であれば、他の形状を有利に使用できることは理解されよう。接
触の幾何学的形状、力及び抵抗相互間の関係についての一層詳しい説明が、ケニ
ース・イー・ピットニイ(Kenneth E. Pitney )著“Ney Contact Manual”(Th
e J. M. Companey, 1973)に記載されており、かかる文献の内容全体を本明細書
の一部を形成するものとしてここに引用する。
In addition to the total resistance of each circuit being a function of the contact material, the inner contact pad 77
2 geometry or shape and the force provided by the contact ring 466. These factors are caused by the unevenness between the two surfaces, which results in the inner contact pad 77.
The concentrated resistance R CR is defined at the boundary between the substrate 2 and the substrate seating surface 768. In general, the larger the applied force, the larger the apparent area. Since the apparent area is inversely proportional to R CR , increasing the apparent area results in a decrease in R CR . Thus, to minimize total resistance it is preferable to maximize the force. The maximum force exerted during operation is limited by the yield strength of the substrate, which can be damaged under excessive force and the resulting pressure. However, since pressure is related to both force and area, the maximum force that can be withheld is also the inner contact pad 7
It depends on 72 geometries. Thus, contact pad 772 may have a flat top surface as shown in FIG. 7, although other shapes may also be used to advantage. For example, two preferred shapes are shown in Figures 8 and 9. FIG. 8 shows a contact pad in the shape of a cutting edge (knife edge), and FIG. 9 shows a hemispherical contact pad. Those skilled in the art will appreciate that other shapes may be used to advantage. A more detailed explanation of the relationship between contact geometry, force and resistance can be found in "Ney Contact Manual" by Kenneth E. Pitney.
e JM Companey, 1973), the entire contents of which are incorporated herein by reference.

【0067】 コネクタ776の数を、所望の接点パッド772(図7に示す)の特定の数に
応じて様々であってよい。200mm基板の場合、好ましくは、少なくとも24個
のコネクタ776が360°にわたって等角度間隔に設けられている。しかしな
がら、コネクタの数が限界レベルに達すると、接点リング466に対する基板の
コンプライアンスに悪影響が生じる。したがって、25個以上のコネクタ776
を用いることができるが、最終的には、接点パッド772の地形学的特徴及び基
板の剛性に応じて接触の一様性が減少する場合がある。これと同様に、23個以
下のコネクタ776を用いた場合、電流はますます限流されて局所化され、これ
によりめっき結果が不良になる。本発明の寸法形状は特定の用途(例えば、30
0mm基板)に合わせて容易に変えられるので、最適の数を様々な比率及び実施形
態に合わせて容易に決定できる。
The number of connectors 776 may vary depending on the particular number of contact pads 772 (shown in FIG. 7) desired. For a 200 mm board, at least 24 connectors 776 are preferably equiangularly spaced over 360 °. However, when the number of connectors reaches a critical level, the compliance of the substrate with the contact ring 466 is adversely affected. Therefore, 25 or more connectors 776
Can be used, but ultimately the contact uniformity may be reduced depending on the topographical features of the contact pads 772 and the stiffness of the substrate. Similarly, with less than 23 connectors 776, the current is more and more limited and localized, which results in poor plating results. The dimensions and shapes of the present invention may be used for specific applications (eg, 30
The optimum number can be easily determined according to various ratios and embodiments because it can be easily changed according to the 0 mm substrate).

【0068】 図10に示すように、基板着座面768は、絶縁本体770上に設けられてい
て、接点リング466の内周部を構成するよう内側接点パッド772まで直径方
向内側に延びる絶縁ガスケット782を有している。絶縁ガスケット782は好
ましくは、内側接点パッド772の上に僅かに(例えば、数ミル)延び、好まし
くは、エラストマ、例えばヴィトン(Viton :登録商標)、テフロン(Teflon:
登録商標)、ブナラバ(buna rubber:登録商標)等から成る。絶縁本体770も
又、エラストマから成る場合、絶縁ガスケット782はこれと同一の材料で作ら
れたものであるのがよい。かかる実施形態では、絶縁ガスケット782及び絶縁
本体770は、一体形のもの、即ち、単一部品として形成されるのがよい。しか
しながら、絶縁ガスケット782は好ましくは、絶縁本体770とは別体であり
、交換又はクリーニングが行えるよう容易に取り外すことができるようになって
いる。
As shown in FIG. 10, the substrate seating surface 768 is provided on the insulating body 770 and extends diametrically inward to the inner contact pad 772 to form the inner periphery of the contact ring 466. have. Insulation gasket 782 preferably extends slightly (eg, a few mils) over inner contact pad 772 and is preferably an elastomer such as Viton®, Teflon:
(Registered trademark), beech rubber (buna rubber: registered trademark), and the like. If the insulating body 770 also comprises an elastomer, the insulating gasket 782 may be made of the same material. In such an embodiment, insulating gasket 782 and insulating body 770 may be formed in one piece, i.e., a single piece. However, the insulating gasket 782 is preferably separate from the insulating body 770 and is easily removable for replacement or cleaning.

【0069】 図10は、絶縁ガスケット全体が絶縁本体770上に着座する絶縁ガスケット
782の好ましい実施形態を示しているが、図8及び図9は、変形実施形態を示
している。かかる変形実施形態では、絶縁本体770は部分的に切削されて連結
部材776の上面を露出させており、絶縁がスケット782はこの上に設けられ
ている。かくして、絶縁ガスケット782は、連結部材776の一部と接触して
いる。この設計では、内側接点パッド772に用いられる所要材料の量が少なく
、これは、材料費が相当高い場合、例えば内側接点パッド772が金で作られる
場合に有利である。当業者であれば、本発明の範囲から逸脱しない他の実施形態
を想到できよう。
While FIG. 10 shows a preferred embodiment of the insulating gasket 782 in which the entire insulating gasket sits on the insulating body 770, FIGS. 8 and 9 show a modified embodiment. In such a modified embodiment, the insulating body 770 is partially cut to expose the top surface of the connecting member 776 and the insulation is provided on the sket 782. Thus, the insulating gasket 782 is in contact with a portion of the connecting member 776. With this design, the amount of material required for the inner contact pad 772 is low, which is advantageous if the material cost is significant, for example if the inner contact pad 772 is made of gold. One of ordinary skill in the art will recognize other embodiments that do not depart from the scope of the invention.

【0070】 処理中、絶縁ガスケット782は、基板めっき面の周囲部分との接触状態を保
ち、残りの陰極接点リング466と基板との間のシールを構成するよう圧縮され
る。このシールは、電解液が基板のエッジ及び裏面に接触しないようにする。上
述のように、清浄な接触面を維持することは、高いめっき再現性を達成する上で
必要である。従来設計の接点リングは、首尾一貫しためっき結果を生じさせない
。というのは、接触面の地形学的特徴が時間の経過につれて変わるからである。
本発明の接点リングは、もし本発明のように構成しなければ、内側接点パッド7
72上に堆積し、これらの特性を変える場合のあるデポジットを無くし又は実質
的に最小限に抑さえ、それにより、高い再現性、首尾一貫性及び基板のめっき表
面全体上への一様なめっき度が得られる。
During processing, the insulating gasket 782 is compressed to maintain contact with the surrounding portion of the substrate plating surface and to form a seal between the remaining cathode contact ring 466 and the substrate. The seal prevents the electrolyte from contacting the edges and backside of the substrate. As mentioned above, maintaining a clean contact surface is necessary to achieve high plating reproducibility. Conventionally designed contact rings do not produce consistent plating results. This is because the topographical features of the contact surface change over time.
The contact ring of the present invention comprises an inner contact pad 7 if not configured as in the present invention.
Deposition on 72, eliminating or even minimizing deposits that can alter these properties, thereby providing high reproducibility, consistency and uniform plating over the plating surface of the substrate. You get a degree.

【0071】 図11は、接点リング446の電気回路の考えられる構成を表す単純化された
略図である。導電性部材765相互間に一様な電流分布を得るために、外部抵抗
器700が、導電性部材765の各々に直列に接続されている。好ましくは、外
部抵抗器700の抵抗値(REXT で表される)は、回路の他のどの構成部品の
抵抗よりも非常に大きい。図11に示すように、各導電性部材765を通る電気
回路は、電源702に直列に接続された構成部品の各々の抵抗によって表される
。RE は、電解質の抵抗を表し、これは、一般に、陽極と陰極接点リングとの
間の距離及び電解液の化学的組成に依存している。かくして、RA は、基板の
めっき表面754に隣接した電解液の抵抗を表す。RS は、基板のめっき面7
54の抵抗を表し、RC は、陰極導電性部材765の抵抗に、内側接点パッド
772と基板めっき層754との間の境界部のところに結果的に得られる集中抵
抗を加えたものを表す。一般に、外部抵抗器からの抵抗値(REXT )は、ΣR
よりも少なくとも大きい(ここで、ΣRは、RE 、RA 、RS 及びRC の合
計に等しい)。好ましくは、外部抵抗器の抵抗値(REXT )は、ΣRよりも非
常に大きく、ΣRは無視できる程であり、各直列回路の抵抗はほぼREXT であ
る。
FIG. 11 is a simplified schematic diagram showing a possible configuration of the electrical circuit of the contact ring 446. An external resistor 700 is connected in series with each of the conductive members 765 to obtain a uniform current distribution between the conductive members 765. Preferably, the resistance value of external resistor 700 (represented by R EXT ) is much greater than the resistance of any other component of the circuit. As shown in FIG. 11, the electrical circuit through each conductive member 765 is represented by the resistance of each of the components connected in series with the power source 702. R E represents the resistance of the electrolyte, which generally depends on the distance between the anode and cathode contact rings and the chemical composition of the electrolyte. Thus, R A represents the resistance of the electrolyte adjacent the plated surface 754 of the substrate. R S is the plated surface 7 of the substrate
54 represents the resistance of 54 and RC represents the resistance of the cathode conductive member 765 plus the resulting concentrated resistance at the interface between the inner contact pad 772 and the substrate plating layer 754. . Generally, the resistance value (R EXT ) from the external resistor is ΣR
Is at least greater than, where ΣR is equal to the sum of R E , R A , R S, and R C. Preferably, the resistance value (R EXT ) of the external resistor is much larger than ΣR, ΣR is negligible, and the resistance of each series circuit is approximately R EXT .

【0072】 代表的には、一つの電源が、陰極接点リング466の外側接点パッド780の
全てに接続されていて、その結果、内側接点パッド772を通る並列回路が形成
されている。しかしながら、内側接点パッドと基板の境界部の抵抗は、各内側接
点パッド772で異なるので、抵抗が最も低いところでより多くの電流が流れ、
かくして多量のめっきが生じることになろう。しかしながら、外部抵抗器を各導
電性部材765に直列に設けることにより、各導電性部材765を通って流れる
電流の値又は量は、主として外部抵抗器の値によって制御されるようになる。そ
の結果、内側接点パッド772相互間の電気的性質のばらつきは、基板上の電流
分布に影響を及ぼさず、一様な電流密度がめっき面全体に生じ、これは一様なめ
っき厚さが得られる原因となる。内部抵抗器はまた、プロセスシーケンスの互い
に異なる基板相互間の一様な電流分布を生じさせる。
Typically, one power supply is connected to all of the outer contact pads 780 of the cathode contact ring 466, forming a parallel circuit through the inner contact pads 772. However, since the resistance at the boundary between the inner contact pad and the substrate is different for each inner contact pad 772, more current flows at the lowest resistance,
Thus a large amount of plating will result. However, by providing an external resistor in series with each conductive member 765, the value or amount of current flowing through each conductive member 765 will be controlled primarily by the value of the external resistor. As a result, variations in the electrical properties between the inner contact pads 772 do not affect the current distribution on the substrate and a uniform current density occurs across the plating surface, which results in a uniform plating thickness. Will be caused. Internal resistors also produce a uniform current distribution between different substrates of the process sequence.

【0073】 本発明の接点リング466は内側接点パッド772上のデポジットの発生に抵
抗するよう設計されているが、多数回の基板めっきサイクルにわたり、基板とパ
ッドの境界部の抵抗は増大する場合があり、最終的に許容限度を越えるほどの値
に達する。電子センサ/アラーム704を外部抵抗器700の両端に接続して外
部抵抗器の両端の電圧/電流をモニターしてこの問題を解決するのがよい。外部
抵抗器700両端の電圧/電流が基板とパッドとの間の高い抵抗を表す所定の動
作範囲から外れると、センサ/アラーム704は是正措置、例えばめっき法の実
施停止をトリガし、ついには問題がオペレータによって是正されるようになる。
変形例として、別個の電源を各漏電性部材765に接続して、別々に制御すると
共にモニターして基板全体に一様な電流分布を生じさせるようにしてもよい。電
流の流れを調節するためにベリースマートシステム(very smart system :VS
S)をさらに用いるのがよい。VSSは代表的には、処理ユニット及び電流を供
給すると共に(或いは)制御するのに用いられる当業界で知られた装置、例えば
可変抵抗器、別個の電源等の任意の組合せから成る。内側接点パッド772の物
理化学的性質及びそれ故に電気的性質は時間の経過につれて変わるので、VSS
はデータフィードバックを処理して分析する。データは、前もって設定した値と
比べられ、VSSは次に、適当な電流及び電圧の変更を行って一様な堆積又はめ
っきが得られるようにする。
Although the contact ring 466 of the present invention is designed to resist the formation of deposits on the inner contact pads 772, the resistance at the substrate-pad interface may increase over many substrate plating cycles. Yes, the value will eventually exceed the allowable limit. An electronic sensor / alarm 704 may be connected across the external resistor 700 to monitor the voltage / current across the external resistor to solve this problem. When the voltage / current across the external resistor 700 deviates from the predetermined operating range, which represents a high resistance between the substrate and the pad, the sensor / alarm 704 triggers corrective action, for example decommissioning of the plating method, and eventually a problem. Will be corrected by the operator.
Alternatively, a separate power source may be connected to each of the leaky members 765 and controlled and monitored separately to produce a uniform current distribution across the substrate. Very smart system (VS) to regulate the current flow
It is better to use S) further. The VSS typically comprises any combination of processing units and devices known in the art used to supply and / or control current, such as variable resistors, separate power supplies, and the like. The physico-chemical and hence electrical properties of the inner contact pad 772 change over time, so VSS
Processes and analyzes data feedback. The data is compared to preset values and VSS then makes appropriate current and voltage changes to obtain uniform deposition or plating.

【0074】 図18は、陰極接点リングの変形実施形態の斜視図である。図18に示すよう
な陰極接点リング1800は、導電性金属又は金属合金、例えばステンレス鋼、
銅、銀、金、プラチナ、チタン、タンタル及び他の導電性材料、或いは導電性材
料、例えばプラチナで被覆されたステンレンス鋼の組合せからなる。陰極接点リ
ング1800は、陰極接点リングをウェーハホルダ組立体に取り付けるようにな
った上方取付け部分1810及び基板を受け入れるようになった下方基板受入れ
部分1820を有している。基板受入れ部分1820は、複数の接点パッド又は
バンプ1824が好ましくは等角度間隔をおいて設けられた環状基板着座面18
22を有している。基板を基板着座面1822上におくと、接点パッド1824
は、基板の周囲領域に物理的に接触して基板堆積面上の電気めっきシード層に電
気的に接触する。好ましくは、接点パッド1824は、酸化に対して耐性がある
貴金属、例えばプラチナ又は金で被覆される。
FIG. 18 is a perspective view of a modified embodiment of the cathode contact ring. The cathode contact ring 1800, as shown in FIG. 18, includes a conductive metal or metal alloy, such as stainless steel,
It consists of copper, silver, gold, platinum, titanium, tantalum and other conductive materials, or a combination of stainless steel coated with a conductive material such as platinum. The cathode contact ring 1800 has an upper mounting portion 1810 adapted to attach the cathode contact ring to a wafer holder assembly and a lower substrate receiving portion 1820 adapted to receive a substrate. The substrate receiving portion 1820 includes an annular substrate seating surface 18 having a plurality of contact pads or bumps 1824, preferably equiangularly spaced.
22. When the substrate is placed on the substrate seating surface 1822, the contact pads 1824
Physically contact the peripheral region of the substrate to electrically contact the electroplating seed layer on the substrate deposition surface. Contact pad 1824 is preferably coated with a noble metal that is resistant to oxidation, such as platinum or gold.

【0075】 陰極接点リングの露出面は、基板と接触する接点パッドの表面を除き、好まし
くは、親水性の表面となるよう処理され、或いは、親水性を示す材料で被覆され
る。親水性物質及び親水性表面処理は、当該技術分野で公知である。親水性表面
処理法を提供する業者の一つは、マサチューセッツ州レッドフォード所在のミリ
ポア・コーポレーション(Millipore Corporation )である。親水性表面は、陰
極接点リングの表面上への電解液のビード形成を著しく減少させて、陰極接点リ
ングを電気めっき槽又は電解液から取り出した後、陰極接点リングからの電解液
の滑らかな滴下を促進する。電解液を流出させやすくする親水性表面を陰極接点
リングに設けることにより、陰極接点リング上の残留電解液により生じるめっき
欠陥が著しく減少する。本発明者は、陰極接点リング上への残留電解液によるビ
ード形成及びその結果生じる場合のある後で処理される基板上へのめっき欠陥を
減少させるために陰極接点リングの他の実施形態にこの親水性処理又は被膜を利
用することも計画している。本発明の電気めっき処理セルでは、他の設計の接点
リング、例えば、1998年11月30日に出願された譲受人が同一の同時係属
米国特許出願第09/201,486号(発明の名称:Cathode Contact Ring F
or Electrochemical Deposition )に記載された設計の接点リングが有用であり
、かかる米国特許出願の開示内容全体を本明細書の一部を形成するものとしてこ
こに引用する。
The exposed surface of the cathode contact ring is preferably treated to be a hydrophilic surface or coated with a material that exhibits hydrophilicity, except for the surface of the contact pad that contacts the substrate. Hydrophilic substances and hydrophilic surface treatments are known in the art. One of the providers of hydrophilic surface treatment methods is Millipore Corporation of Redford, Massachusetts. The hydrophilic surface significantly reduces the bead formation of the electrolyte on the surface of the cathode contact ring, and after the cathode contact ring is removed from the electroplating bath or the electrolyte, a smooth drop of the electrolyte from the cathode contact ring. Promote. Providing the cathode contact ring with a hydrophilic surface that facilitates the outflow of the electrolyte significantly reduces plating defects caused by residual electrolyte on the cathode contact ring. The present inventor has made this an alternative embodiment of the cathode contact ring to reduce bead formation by residual electrolyte on the cathode contact ring and the resulting plating defects on the subsequently processed substrate. It also plans to utilize hydrophilic treatments or coatings. In the electroplating cell of the present invention, contact rings of other designs, for example, co-pending US patent application Ser. No. 09 / 201,486 filed Nov. 30, 1998, with the same assignee (Title of Invention: Cathode Contact Ring F
Contact rings of the design described in US Pat.

【0076】 図12及び図12Aを参照すると、ウェーハホルダ464は好ましくは、陰極
接点リング466の上に配置され、このウェーハホルダは、ウェーハの裏側に圧
力を及ぼし、ウェーハめっき面と陰極接点リング466を互いに電気的に接触さ
せるブラダ(袋)組立体470を有している。膨まし可能なブラダ組立体470
は、ウェーハホルダプレート832上に設けられている。かくして、ウェーハホ
ルダプレート832の下面上に設けられたブラダ836は、陰極接点リング46
6とこれとの間に介在した基板821との接点に隣接してこの反対側に設けられ
ている。流体源838が、流体、即ちガス又は液体をブラダ836に供給してブ
ラダ836を様々な度合に膨らますことができる。
With reference to FIGS. 12 and 12A, the wafer holder 464 is preferably positioned above the cathode contact ring 466, which exerts pressure on the backside of the wafer, the wafer plating surface and the cathode contact ring 466. A bladder assembly 470 for electrically contacting each other. Inflatable bladder assembly 470
Are provided on the wafer holder plate 832. Thus, the bladder 836 provided on the lower surface of the wafer holder plate 832 has the cathode contact ring 46.
6 is provided on the opposite side adjacent to the contact point between the substrate 6 and the substrate 821 interposed therebetween. A fluid source 838 can supply a fluid, gas or liquid, to the bladder 836 to inflate the bladder 836 to varying degrees.

【0077】 次に図12、図12A及び図13を参照してブラダ組立体470の詳細を説明
する。ウェーハホルダプレート832は、実質的にディスクの形をした状態で示
されており、下面に形成された環状凹部840及び中央に設けられた真空ポート
841を有している。1又は2以上の入口842が、ウェーハホルダプレート8
32に形成され、比較的拡大された環状取付けチャネル843及び環状凹部84
0に通じている。急速脱着式ホース844が、流体源838を入口842に結合
してこれに流体をもたらすようになっている。真空ポート841は好ましくは、
選択的に基板821の裏面に圧力を供給し又は真空を生じさせるようになった真
空/加圧圧送装置859に取り付けられている。図12に示す圧送装置859は
、ポンプ858、クロスオーバ弁847及び真空エゼクタ849(ベンチュリ管
と通称されている)を有している。本発明で有利に使用できる真空エゼクタの一
つは、インディアナ州インディアナポリス所在のエスエムシー・ニューマチック
ス・インコーポレーテッド(SMC Pneumatics, Inc.)から入手できる。ポンプ8
45は、市販の圧縮ガス源であるのがよく、ホース852の一端に結合され、ホ
ース851の他端は、真空ポート841に結合されている。ホース851は、加
圧ライン853と真空ライン855に分流関係で接続され、真空ライン855に
は真空エゼクタ849が設けられている。流体の流量はクロスオーバ弁847に
よって制御され、このクロスオーバ弁847は、加圧ライン853と真空ライン
855にポンプ845の連通状態を選択的に切り換える。好ましくは、クロスオ
ーバ弁は、流体がホース851を通っていずれの方向にも流れないようにするO
FF設定位置を有している。遮断弁861がホース851に設けられており、こ
の遮断弁は、流体が真空エゼクタ849を通って加圧ライン855から上流側に
流れるのを阻止する。流体の所望の流れ方向は矢印で示されている。
Next, the bladder assembly 470 will be described in detail with reference to FIGS. 12, 12A, and 13. Wafer holder plate 832 is shown substantially in the shape of a disk and has an annular recess 840 formed in the lower surface and a vacuum port 841 in the center. The one or more inlets 842 are provided in the wafer holder plate 8
A relatively enlarged annular mounting channel 843 and annular recess 84 formed in 32.
It leads to 0. A quick disconnect hose 844 is adapted to couple the fluid source 838 to the inlet 842 and provide fluid thereto. The vacuum port 841 is preferably
It is attached to a vacuum / pressurizing and pressure-feeding device 859 adapted to selectively supply pressure to the back surface of the substrate 821 or generate a vacuum. The pressure feeding device 859 shown in FIG. 12 includes a pump 858, a crossover valve 847, and a vacuum ejector 849 (commonly called a Venturi pipe). One vacuum ejector that can be used to advantage with the present invention is available from SMC Pneumatics, Inc. of Indianapolis, Ind. Pump 8
45, which may be a commercially available compressed gas source, is coupled to one end of hose 852 and the other end of hose 851 is coupled to vacuum port 841. The hose 851 is connected to the pressure line 853 and the vacuum line 855 in a shunt relationship, and the vacuum line 855 is provided with a vacuum ejector 849. The flow rate of the fluid is controlled by a crossover valve 847, which selectively switches the communication state of the pump 845 between the pressurization line 853 and the vacuum line 855. Preferably, the crossover valve prevents fluid from flowing through hose 851 in either direction.
It has an FF setting position. A shutoff valve 861 is provided on the hose 851, which blocks fluid from flowing upstream from the pressurization line 855 through the vacuum ejector 849. The desired flow direction of the fluid is indicated by the arrow.

【0078】 当業者であれば、本発明の精神及び範囲から逸脱しない他の構成例を容易に想
到できよう。例えば、流体源838がガス供給源である場合、これをホース85
1に結合し、それにより、別個の圧縮ガス供給源、即ちポンプ858を不要にし
てもよい。さらに、別個のガス供給及び真空ポンプが、背圧及び真空条件を提供
することができる。背圧と裏側に加えられる真空の両方に対応することが望まし
い場合、単純化された実施形態は、裏面への真空だけを供給できるポンプを有す
るのがよい。しかしながら、以下に説明するように、処理中に裏側への圧力を生
じさせた場合、めっきの一様性が向上する。したがって、真空エゼクタ及びクロ
スオーバ弁を含む上述の構成が好ましい。
Those skilled in the art can easily devise other configurations that do not depart from the spirit and scope of the invention. For example, if fluid source 838 is a gas source, connect it to hose 85.
1 to thereby eliminate the need for a separate source of compressed gas, ie pump 858. Further, separate gas supplies and vacuum pumps can provide back pressure and vacuum conditions. If it is desired to accommodate both the back pressure and the vacuum applied to the back side, then the simplified embodiment may have a pump that can only supply vacuum to the back side. However, as will be explained below, if pressure is applied to the backside during processing, the uniformity of plating will improve. Therefore, the above-described configuration including the vacuum ejector and the crossover valve is preferable.

【0079】 次に、図12A及び図14を参照すると、実質的に円形リングの形をしたマニ
ホルド846が環状凹部840内に設けられている。マニホルド846は、内側
肩848と外側肩850との間に設けられた取付けレール852を有している。
取付けレール852は、環状取付けチャネル843内へ少なくとも部分的に挿入
されるようになっている。マニホルド846に形成された複数の流体出口854
が、入口842とブラダ836を互いに連通させる。シール837、例えばOリ
ングが、入口842及び出口854と整列した状態で環状マニホルドチャネル8
43内に設けられていて、機密シールが得られるようウェーハホルダプレート8
32によって固定されている。従来型締結具(図示せず)、例えばねじを用いて
、マニホルド846及びウェーハホルダプレート832にこれと協働関係をなす
ように形成されたねじ穴(図示せず)を介してマニホルド846をウェーハホル
ダプレート832に固定するのがよい。
Referring now to FIGS. 12A and 14, a substantially circular ring-shaped manifold 846 is provided within the annular recess 840. The manifold 846 includes a mounting rail 852 provided between an inner shoulder 848 and an outer shoulder 850.
The mounting rail 852 is adapted to be at least partially inserted into the annular mounting channel 843. Multiple fluid outlets 854 formed in manifold 846
Communicates the inlet 842 and the bladder 836 with each other. Annular manifold channel 8 with seal 837, eg, O-ring, aligned with inlet 842 and outlet 854.
The wafer holder plate 8 is provided inside the reference numeral 43 so that a seal can be obtained.
It is fixed by 32. Wafer the manifold 846 through conventional mounting fasteners (not shown), such as screws, through screw holes (not shown) formed in cooperating relationship with the manifold 846 and wafer holder plate 832. It is preferably fixed to the holder plate 832.

【0080】 次に、図15を参照すると、ブラダ836は、各エッジのところに環状リップ
シール856又は突起を備えた細長い実質的に半管状の材料片として断面で示さ
れている。図12Aでは、リップシール856は、内側肩848及び外側肩85
0に設けられた状態で示されている。ブラダ836の一部は、マニホルド836
によって環状凹部840の壁に押し付けられ、このマニホルドは、環状凹部84
0よりも幅が僅かに(例えば、数mm)小さい。かくして、マニホルド846とブ
ラダ836と環状凹部840は互いに協働して、流体密シールを形成する。流体
の損失を防止するため、ブラダ836は好ましくは、電解液に対して化学的に不
活性であり、高信頼度の弾性を示す或る流体不浸透性材料、例えばシリコンゴム
又はこれと同等な任意のエラストマで作られる。必要があれば、柔軟性のある被
覆材857を図15に示すようにブラダ836上に被せ、接着剤又は熱による接
着法を用いて固定するのがよい。被覆材857は好ましくは、エラストマ、例え
ばヴィトン(Viton :登録商標)、ブナゴム(buna rubber 登録商標)等から成
り、これらを例えばケブラー(Kevlar:登録商標)によって補強するのがよい。
一実施形態では、被覆材857とブラダ836は、同一材料からなる。被覆材8
57は、ブラダ836が破裂しがちな場合、特に利用される。変形例として、ブ
ラダ836の厚さをその製造中、増大させるだけでも破裂の恐れが低くなる。好
ましくは、ブラダ836の露出面(被覆されていない場合)及び被覆材857の
露出面は、ヘッド組立体を処理セルの上方に持ち上げた後、残留電解液の滴下及
び除去を促進する親水性表面(陰極接点リングの表面について上述した)を備え
るよう被覆され又は処理される。
Referring now to FIG. 15, bladder 836 is shown in cross-section as an elongated substantially semi-tubular piece of material with an annular lip seal 856 or protrusion at each edge. In FIG. 12A, the lip seal 856 has an inner shoulder 848 and an outer shoulder 85.
0 is provided. The bladder 836 has a portion of the manifold 836
Pressed against the wall of the annular recess 840 by this manifold, the manifold
The width is slightly smaller than 0 (for example, several mm). Thus, the manifold 846, bladder 836 and annular recess 840 cooperate with each other to form a fluid tight seal. To prevent loss of fluid, the bladder 836 is preferably chemically inert to the electrolyte and exhibits some reliable elasticity, such as some fluid impermeable material such as silicone rubber or the like. Made of any elastomer. If desired, a flexible dressing 857 may be placed over the bladder 836 as shown in FIG. 15 and secured using an adhesive or thermal bond. The dressing 857 preferably comprises an elastomer such as Viton®, buna rubber®, etc., which may be reinforced by, for example, Kevlar®.
In one embodiment, the dressing 857 and the bladder 836 are made of the same material. Dressing 8
57 is especially utilized when the bladder 836 is prone to bursting. Alternatively, simply increasing the thickness of bladder 836 during its manufacture reduces the risk of rupture. Preferably, the exposed surface of the bladder 836 (if uncoated) and the exposed surface of the coating 857 are hydrophilic surfaces that facilitate dripping and removal of residual electrolyte after the head assembly is lifted above the processing cell. Coated or treated to provide (as described above for the surface of the cathode contact ring).

【0081】 入口842及び出口854の正確な数は、本発明の範囲から逸脱することなく
特定の用途に応じて変えることができる。例えば、図12は、2つの入口及びこ
れに対応した出口を示しているが、変形実施形態は、流体をブラダ836に供給
する単一の流体入口を採用してもよい。
The exact number of inlets 842 and outlets 854 may vary depending on the particular application without departing from the scope of the invention. For example, while FIG. 12 shows two inlets and corresponding outlets, alternative embodiments may employ a single fluid inlet that supplies fluid to bladder 836.

【0082】 動作原理を説明すると、基板821をこれをウェーハホルダプレート832の
下側側部に固定することにより容器本体802内へ導入する。これを達成するに
は、圧送装置159を連携させて基板821とウェーハホルダプレート832と
の間の空間をポート841を介して排気し、それにより、真空条件を生じさせる
。次に、流体源838から流体、例えば空気又は水を入口842に供給すること
により、ブラダ836を膨らます。マニホルド出口854を介して流体をブラダ
836内へ送り込み、それにより、基板821を陰極接点リング466のコンタ
クトに一様に押し付ける。次に、電気めっき法を実施する。次に、電解液を基板
822に向けて処理キット420内へ圧送し、露出した基板めっき面820に当
てる。電源は、陰極接点リング466を介して負のバイアスを基板めっき面82
0にかける。電解液を基板めっき面820全体にわたり流しているとき、電解溶
液中のイオンが表面820に引き付けられて表面820上に付着し、それにより
所望のフィルムが形成される。
To explain the operation principle, the substrate 821 is introduced into the container body 802 by fixing it to the lower side of the wafer holder plate 832. To accomplish this, the pumping device 159 is coordinated to evacuate the space between the substrate 821 and the wafer holder plate 832 via the port 841 thereby creating a vacuum condition. The bladder 836 is then inflated by supplying a fluid, such as air or water, from the fluid source 838 to the inlet 842. Fluid is pumped through the manifold outlet 854 into the bladder 836, which forces the substrate 821 against the contacts of the cathode contact ring 466 uniformly. Next, an electroplating method is carried out. Next, the electrolytic solution is pressure-fed into the processing kit 420 toward the substrate 822 and applied to the exposed substrate plating surface 820. The power supply applies a negative bias to the substrate plating surface 82 via the cathode contact ring 466.
Multiply by 0. While the electrolyte is flowing over the substrate plated surface 820, the ions in the electrolyte solution are attracted to and adhere to the surface 820, thereby forming the desired film.

【0083】 ブラダ836は、可撓性があるので、基板の裏側及び陰極接点リング466の
コンタクトの凹凸に順応するよう変形し、それにより、導電性陰極接点リング4
66との整列不良状態があってもかまわないようにする。柔軟性のあるブラダ8
36は、基板821の裏側の周囲部分のところに流体密シールを形成することに
より、電解液が基板821の裏面を汚染しないようにする。いったん膨らませる
と、一様な圧力を陰極接点リング466に向かって下方に送って基板821と陰
極接点リング466が境界を接するあらゆる箇所のところに実質的に等しい力を
生じさせるようにする。力を流体源838によって加えられる圧力の関数として
変化させることができる。さらに、ブラダ組立体470の有効性は、陰極接点リ
ング466の形状で左右されることはない。例えば、図12は、複数の別々の接
点を備えたピン構造を示しているが、陰極接点リング466は連続した表面であ
ってもよい。
Because of its flexibility, the bladder 836 is deformed to accommodate the backside of the substrate and the contact irregularities of the cathode contact ring 466, thereby causing the conductive cathode contact ring 4 to move.
Make sure that there is no misalignment with 66. Flexible bladder 8
36 forms a fluid tight seal at the perimeter of the backside of substrate 821 to prevent the electrolyte from contaminating the backside of substrate 821. Once inflated, a uniform pressure is directed downwardly toward the cathode contact ring 466 to create a substantially equal force at every interface between the substrate 821 and the cathode contact ring 466. The force can be varied as a function of the pressure applied by the fluid source 838. Moreover, the effectiveness of the bladder assembly 470 is independent of the shape of the cathode contact ring 466. For example, although FIG. 12 shows a pin structure with multiple separate contacts, the cathode contact ring 466 can be a continuous surface.

【0084】 ブラダ836によって基板821に伝えられた力は可変的であるので、接触環
466によって供給される流れに対して、調整がなされうる。上述のとおり、オ
キシド層は、カソード接触環466の上に形成され、および流れを制限するよう
に振舞ってもよい。しかしながら、ブラダ836の圧力を増加させることは、酸
化によって流れの制限に、反対に作用するかもしれない。圧力が増加すると、可
鍛性のオキシド層が傷つけられ、カソード接触環466と基板821との間に上
質の接触が生じる。この性能におけるブラダ836の有効性は、カソード接触環
466の形状を変えることによって、さらに向上するかもしれない。例えば、ナ
イフ・エッジ(knife-edge)形状は、切れ味が悪い丸いエッジや、平坦なエッジ
よりも、簡単にオキシド層を貫通するであろう。
Since the force transmitted by the bladder 836 to the substrate 821 is variable, adjustments can be made to the flow provided by the contact ring 466. As mentioned above, an oxide layer may be formed over the cathode contact ring 466 and behave to limit flow. However, increasing the pressure of bladder 836 may counteract flow restriction by oxidation. When the pressure is increased, the malleable oxide layer is damaged and good contact is made between the cathode contact ring 466 and the substrate 821. The effectiveness of the bladder 836 in this performance may be further enhanced by changing the shape of the cathode contact ring 466. For example, a knife-edge shape will penetrate the oxide layer more easily than a blunt rounded edge or a flat edge.

【0085】 さらに、膨張したブラダ836によって供給される流体タイト・シール(flui
d tight seal)によって、ポンプ845は、処理の前、処理中、および処理後に
、選択的にまたは継続的に、裏面真空または圧力を維持することができる。しか
しながら、一般的に、ブラダ836は、継続的なポンピングをすることなく、処
理中に、裏面真空状態を維持することができることがわかっているので、ポンプ
845は、電気めっき処理セル400へ、およびそこからの基板の移動中のみ、
真空を維持するようにランする。このように、上述の通り、ブラダ836を膨張
させる間に、裏面真空状態は、例えば、交差バルブ847においてオフ位置を選
択することによって、ポンピング・システム859を離すことによって、同時に
解放される。ポンピング・システム859を離すことは、突然であるかもしれず
、真空状態が勾配を成す、漸次的処理を含むかもしれない。勾配によって、膨張
ブラダ836と、同時に低下した裏面真空状態との間に、制御された交換が可能
になる。この交換は、手動またはコンピュータによって制御されてもよい。
Additionally, the fluid tight seal provided by the expanded bladder 836.
The d tight seal allows the pump 845 to maintain a backside vacuum or pressure selectively or continuously before, during, and after processing. However, in general, the bladder 836 has been found to be capable of maintaining a backside vacuum during processing without continuous pumping, so that the pump 845 can drive the electroplating process cell 400, and Only while moving the board from there,
Run to maintain vacuum. Thus, as described above, while inflating the bladder 836, the backside vacuum condition is simultaneously released, for example, by releasing the pumping system 859 by selecting the off position at the cross valve 847. Releasing the pumping system 859 may be abrupt and may include a gradual process where the vacuum condition forms a gradient. The gradient allows for controlled exchange between the expansion bladder 836 and the simultaneously reduced backside vacuum condition. This exchange may be manual or computer controlled.

【0086】 上述のとおり、ブラダ836が膨張している間の、継続的な裏面真空ポンピン
グは必要ではなく、実際に、基板820を歪めたり、曲げたりさせて、好ましく
ない堆積結果を導くかもしれない。しかしながら、基板の「バウイング(bowing
)」効果が処理されるようにするために、裏面圧を基板820に与えることが望
ましいかもしれない。本発明の発明者は、バウイング効果が、上質な堆積を生む
ことを発見した。ポンピング・システム859は、選択的に、真空または圧力状
態を、基板裏面に与えることができる。200ミリメートルのウェーハに対して
、5psiまでの裏面圧は、基板を曲げるのに好ましい。基板は、典型的にはあ
る程度の柔軟性を示すので、裏面圧によって、前記基板は曲がり、または電解液
の上方への流れに対して、窪んだ形状を呈する。曲がりの程度は、ポンピング・
システム859によって供給される圧力に従って、可変的である。
As mentioned above, continuous backside vacuum pumping is not required while the bladder 836 is inflated, and may actually distort or bend the substrate 820, leading to undesirable deposition results. Absent. However, substrate "bowing"
) ”It may be desirable to provide backside pressure to the substrate 820 to allow the effect to be processed. The inventor of the present invention has discovered that the bowing effect produces a fine deposit. The pumping system 859 can optionally provide a vacuum or pressure condition on the backside of the substrate. For 200 mm wafers, backside pressures up to 5 psi are preferred for bending the substrate. The substrate typically exhibits some flexibility so that back pressure causes the substrate to bend or assume a recessed shape against upward flow of electrolyte. The degree of bending is
It is variable according to the pressure supplied by the system 859.

【0087】 当業者は、本発明が意図する他の実施形態を、容易に理解するであろう。例え
ば、図12Aは、カソード接触環466に実質的に等しい直径で、基板裏面の比
較的小さい周囲部分を覆うのに十分な表面領域を有する好ましいブラダ836を
示す一方で、ブラダ・アセンブリ470は、形状的に変化してもよい。このよう
に、ブラダ・アセンブリは、基板821の増加した表面領域を覆うために、流体
をより浸透させない素材を使用して構成されてもよい。
Those of ordinary skill in the art will readily appreciate other embodiments that the present invention contemplates. For example, FIG. 12A shows a preferred bladder 836 having a diameter substantially equal to the cathode contact ring 466 and having sufficient surface area to cover the relatively small perimeter of the backside of the substrate, while bladder assembly 470 comprises: It may change in shape. Thus, the bladder assembly may be constructed using materials that are less fluid permeable to cover the increased surface area of the substrate 821.

【0088】 図19は、ウェーハ・ホルダ・アセンブリ(wafer holder assembly)の代替
的実施形態の部分的横断面図である。代替的なウェーハ・ホルダ・アセンブリ1
900は、上述のとおり、中間ウェーハ・ホルダ・プレート1910の後面に取
り付けられた、膨張可能ブラダ836を有するブラダ・アセンブリ470を具備
する。好ましくは、膨張可能ブラダ836の一部は、粘着性または他の接合物質
を使用して、中間ウェーハ・ホルダ・プレート1910の後面1912に密着し
て取り付けられる。中間ウェーハ・ホルダ・プレート1910の前面1914は
、処理されるべきウェーハまたは基板821を受けるように適応しており、エラ
ストマ・オーリング(elastomeric o-ring)1916は、ウェーハ後面の周辺部
分に接触するように、中間ウェーハ・ホルダ・プレート1910の前面1914
上の、環状溝1918に配置される。エラストマ・オーリング1916は、中間
ウェーハ・ホルダ・プレートのウェーハ後面と前面との間にシールを供給する。
好ましくは、中間ウェーハ・ホルダ・プレートは、前記ウェーハの後面に加えら
れる真空力(vacuum force)を使用して、前記ウェーハ・ホルダ上にウェーハを
固定するのを容易にするために、真空ポート841と流体接触(fluid communic
ation)している、プレートを通して伸びている複数の穴1920を含む。ウェ
ーハ・ホルダ・アセンブリの、この代替的な実施形態に従って、膨張可能ブラダ
は、処理されているウェーハに直接接触せず、ウェーハ移動中に膨張可能ブラダ
を切り、または損害を与えるリスクは、顕著に低減される。エラストマ・オーリ
ング1916は、好ましくは、ウェーハに接触するための親水性の表面(カソー
ド接触環に関して上述されたとおり)を供給するようにコートされまたは処理さ
れており、エラストマ・オーリング1916は、前記ウェーハへの適切な接触お
よびシールを確実にするために必要とされれば、取り替えられる。他のブラダ・
システムは、本発明に従って、例えば共通して譲渡され、係属中のアメリカ合衆
国特許出願第09/201,796号、「膨張コンプライアント・ブラダ・アセ
ンブリ(Inflatable Compliant Bladder Assembly)」、1998年11月30
日提出、に記述されたブラダ・システム等、本発明に従った電気めっき処理セル
において有用であり、前記発明は、参照のために、そのすべてがここに採用され
ている。
FIG. 19 is a partial cross-sectional view of an alternative embodiment of a wafer holder assembly. Alternative wafer holder assembly 1
The 900 comprises a bladder assembly 470 having an inflatable bladder 836 attached to the rear surface of the intermediate wafer holder plate 1910, as described above. Preferably, a portion of the inflatable bladder 836 is adhesively attached to the back surface 1912 of the intermediate wafer holder plate 1910 using an adhesive or other bonding material. The front surface 1914 of the intermediate wafer holder plate 1910 is adapted to receive a wafer or substrate 821 to be processed, and an elastomeric o-ring 1916 contacts the peripheral portion of the wafer back surface. Front surface 1914 of the intermediate wafer holder plate 1910
Located in the upper, annular groove 1918. Elastomer O-ring 1916 provides a seal between the wafer back and front of the intermediate wafer holder plate.
Preferably, the intermediate wafer holder plate has a vacuum port 841 to facilitate securing the wafer on the wafer holder using a vacuum force applied to the back surface of the wafer. And fluid contact (fluid communic
a plurality of holes 1920 extending through the plate. According to this alternative embodiment of the wafer holder assembly, the inflatable bladder does not directly contact the wafer being processed and the risk of cutting or damaging the inflatable bladder during wafer transfer is significant. Will be reduced. The elastomeric O-ring 1916 is preferably coated or treated to provide a hydrophilic surface (as described above for the cathode contact ring) for contacting the wafer, and the elastomeric O-ring 1916 comprises: It is replaced if needed to ensure proper contact and sealing to the wafer. Other bladders
The system is in accordance with the present invention, eg, commonly assigned and pending US patent application Ser. No. 09 / 201,796, “Inflatable Compliant Bladder Assembly”, November 30, 1998.
It is useful in electroplating cells according to the present invention, such as the bladder system described in US Pat.

【0089】 図25は、回転ヘッド・アセンブリ(rotatable head assembly)2410を
有する処理ヘッド・アセンブリの代替的実施形態である。好ましくは、回転アク
チュエータは、カンチレバード・アーム(cantilevered arm)に配置され、およ
びウェーハ処理中に、前記ヘッド・アセンブリを回転させるために、前記ヘッド
・アセンブリに取り付けられている。回転ヘッド・アセンブリ2410は、ヘッ
ド・アセンブリ・フレーム2452に搭載されている。代替的ヘッド・アセンブ
リ・フレーム2452および回転ヘッド・アセンブリ2410は、図6および上
述のとおり、ヘッド・アセンブリ・フレーム452およびヘッド・アセンブリ4
10に類似したメインフレームに搭載されている。ヘッド・アセンブリ・フレー
ム2452は、搭載ポスト2454、ポスト・カバー2455、およびカンチレ
バー・アーム2456を含む。搭載ポスト2454は、メインフレーム214の
本体に搭載され、ポスト・カバー2455は搭載ポスト2454の上部を覆って
いる。好ましくは、搭載ポスト454は、ヘッド・アセンブリ・フレーム245
2の回転を可能にするために、搭載ポストに沿った縦軸に関して、回転する動き
(矢印A1で記載)を供給する。カンチレバー・アーム2456は、搭載ポスト
2454の上部から横に伸びており、旋回ジョイント(pivot joint)2459
において、ポスト・カバー2455に、旋回するように接続されている。回転ヘ
ッド・アセンブリ2410は、カンチレバー・アーム2456の遠位端部に配置
された搭載スライド(mounting slide)2460に取り付けられている。搭載ス
ライド2460は、ヘッド・アセンブリ2410の縦の動きを導く。ヘッド・リ
フト・アクチュエータ2458は、ヘッド・アセンブリ2410の縦の移動を供
給するために、搭載スライド2460の頭部に配置される。
FIG. 25 is an alternative embodiment of a processing head assembly having a rotatable head assembly 2410. Preferably, a rotary actuator is disposed on the cantilevered arm and is attached to the head assembly for rotating the head assembly during wafer processing. The rotating head assembly 2410 is mounted on the head assembly frame 2452. Alternate head assembly frame 2452 and rotating head assembly 2410 are shown in FIG. 6 and described above, with head assembly frame 452 and head assembly 4
It is mounted on a mainframe similar to the 10. Head assembly frame 2452 includes mounting post 2454, post cover 2455, and cantilever arm 2456. The mounting post 2454 is mounted on the main body of the main frame 214, and the post cover 2455 covers the upper portion of the mounting post 2454. Preferably, the mounting post 454 is a head assembly frame 245.
To allow two rotations, a rotational movement (marked by arrow A1) is provided with respect to the longitudinal axis along the mounting post. The cantilever arm 2456 extends laterally from the top of the mounting post 2454, and has a pivot joint 2459.
At, is pivotally connected to post cover 2455. The rotating head assembly 2410 is attached to a mounting slide 2460 located at the distal end of a cantilever arm 2456. The mounting slide 2460 guides the vertical movement of the head assembly 2410. Head lift actuator 2458 is positioned on the head of mounting slide 2460 to provide vertical movement of head assembly 2410.

【0090】 カンチレバー・アーム2456の低位端部は、例えば搭載ポスト2454に搭
載された、空気シリンダまたは親ねじアクチュエータ等、カンチレバー・アーム
・アクチュエータ2457のシャフト2453に接続される。カンチレバー・ア
ーム・アクチュエータ2457は、カンチレバー・アーム2456とポスト・カ
バー2454との間のジョイント2459に関して、カンチレバー・アーム24
56の旋回する動き(矢印A2で記載)を供給する。カンチレバー・アーム・ア
クチュエータ2457が引き込まれると、カンチレバー・アーム2456は、電
気めっき処理セル240から処理キット420を除去しおよび/または取りかえ
るために必要とされる空間を供給するために、処理キット420からヘッド・ア
センブリ2410を離す。カンチレバー・アーム・アクチュエータ2457が伸
びると、カンチレバー・アーム2456は、ヘッド・アセンブリ2410におけ
るウェーハを処理位置に配置するために、ヘッド・アセンブリ2410を処理キ
ット420へと動かす。
The lower end of cantilever arm 2456 is connected to shaft 2453 of cantilever arm actuator 2457, such as an air cylinder or lead screw actuator, mounted on mounting post 2454, for example. The cantilever arm actuator 2457 includes a cantilever arm 2456 with respect to a joint 2459 between the cantilever arm 2456 and the post cover 2454.
Providing 56 swirling movements (indicated by arrow A2). When the cantilever arm actuator 2457 is retracted, the cantilever arm 2456 provides the processing kit 420 to provide the space needed to remove and / or replace the processing kit 420 from the electroplating processing cell 240. Away the head assembly 2410. As the cantilever arm actuator 2457 extends, the cantilever arm 2456 moves the head assembly 2410 to the processing kit 420 to place the wafer on the head assembly 2410 in the processing position.

【0091】 回転ヘッド・アセンブリ2410は、搭載スライド2460に、スライドする
ように接続された回転アクチュエータ2464を含む。ヘッド・リフト・アクチ
ュエータ2458のシャフト2468は、回転アクチュエータ2464の本体に
取り付けられたリフト・ガイド2466を通して挿入される。好ましくは、シャ
フト2468は、様々な縦の位置の間でリフト・ガイドを動かす(矢印A3で記
載)親ネジ・タイプのシャフトである。回転アクチュエータ2464は、シャフ
ト2470を通してウェーハ・ホルダ・アセンブリ2450に接続され、および
ウェーハ・ホルダ・アセンブリ2450を回転させる(矢印A4で記載)。ウェ
ーハ・ホルダ・アセンブリ2450は、図12乃至15ならびに19に関して上
述された実施形態等のブラダ・アセンブリ、および図7乃至10ならびに18に
関して上述された実施形態等のカソード接触環を含む。
The rotary head assembly 2410 includes a rotary actuator 2464 slidably connected to a mounting slide 2460. The shaft 2468 of the head lift actuator 2458 is inserted through a lift guide 2466 attached to the body of the rotary actuator 2464. Preferably, shaft 2468 is a lead screw type shaft that moves the lift guide (described by arrow A3) between various vertical positions. The rotary actuator 2464 is connected to the wafer holder assembly 2450 through the shaft 2470 and rotates the wafer holder assembly 2450 (indicated by arrow A4). Wafer holder assembly 2450 includes a bladder assembly such as the embodiments described above with respect to FIGS. 12-15 and 19, and a cathode contact ring such as the embodiments described above with respect to FIGS. 7-10 and 18.

【0092】 電気めっき処理中のウェーハの回転は、通常堆積結果を促進させる。好ましく
は、ヘッド・アセンブリは、電気めっき処理中、約2rpmから約20rpmの
間で回転する。ヘッド・アセンブリは、処理セルにおいて電解液からウェーハを
除去するために持ち上げられる時と同様に、処理中に電解液に接触するように、
ウェーハを配置するために下げられるときも、回転しうる。ヘッド・アセンブリ
は、好ましくはヘッド・アセンブリにおける残余電解液の除去を促進するために
、処理セルからヘッド・アセンブリが持ち上げられた後、高速で回転する(すな
わち、>20rpm)。
Rotation of the wafer during the electroplating process usually promotes deposition results. Preferably, the head assembly rotates between about 2 rpm and about 20 rpm during the electroplating process. The head assembly contacts the electrolyte during processing, as well as when it is lifted to remove the wafer from the electrolyte in the processing cell,
It can also rotate when lowered to position the wafer. The head assembly preferably rotates at high speed (ie> 20 rpm) after the head assembly is lifted from the processing cell to facilitate removal of residual electrolyte in the head assembly.

【0093】 一つの実施形態において、標準電気めっき処理は、通常最善で約5.5%以内
の均一性を達成する一方で、本発明者は、堆積したフィルムの均一性を、約2%
以内に向上させた(すなわち、堆積したフィルムの厚みの最大偏差は、平均のフ
ィルムの厚みの約2%である)。しかしながら、ある例において、特に、電気め
っき堆積の均一性が、電解液の化学的作用、電解液の流れおよび他のパラメータ
等、処理パラメータを調節することによって達成される場合、均一した電気めっ
き堆積を達成するためには、ヘッド・アセンブリの回転は必要ではない。
In one embodiment, the standard electroplating process typically achieves a uniformity within the best of about 5.5%, while the inventors have found that the uniformity of the deposited film is about 2%.
Improved (ie, the maximum deviation in deposited film thickness is about 2% of the average film thickness). However, in some instances, a uniform electroplating deposition is achieved, especially if uniformity of the electroplating deposition is achieved by adjusting process parameters such as electrolyte chemistry, electrolyte flow and other parameters. No rotation of the head assembly is required to achieve

【0094】 図6に戻って参照してみると、電気めっき処理セル400の横断面図であり、
ウェーハ・ホルダ・アセンブリ450は処理キット420の上に配置されている
。処理キット420は通常、ボウル(bowl)430、コンテナ本体472、アノ
ード・アセンブリ(anode assembly)474およびフィルタ476を具備する。
好ましくは、アノード・アセンブリ474は、コンテナ本体472の下に配置さ
れ、コンテナ本体472の低位部分に取り付けられ、およびフィルタ476は、
アノード・アセンブリ474とコンテナ本体472との間に配置される。コンテ
ナ本体472は、セラミック、プラスチック、プレキシガラス(アクリル性)、
レキセイン(lexane)、PVC、CPVC、およびPVDF等、電気的絶縁物質
でできた円筒形本体である。代替的に、コンテナ本体472は、ステンレス・ス
チール、ニッケル、およびチタン等の金属で作ることができ、それはテフロン登
録商標、PVDF、プラスチック、ゴム、および電解液で溶解せず、電気的に電
極(すなわち、電気めっきシステムのアノードおよびカソード)から絶縁されう
る物質の他の組み合わせで覆われている。コンテナ本体472は、好ましくは、
ウェーハめっき表面および前記システムを通して処理されるウェーハの形状、通
常は円形または長方形の形状に適合するような大きさであり、およびそのように
適応している。コンテナ本体472の一つの好ましい実施形態は、ウェーハの直
径とおよそ同じ大きさまたはやや大きい内径を有する、円筒形セラミック管を具
備する。本発明者は、通常の電気めっきシステムで求められる回転動作は、コン
テナ本体のサイズが、ウェーハめっき表面の大きさにおよそ適合する時は、均一
なめっき結果を達成するためには、必要とされないことを発見した。
Referring back to FIG. 6, a cross-sectional view of the electroplating cell 400,
The wafer holder assembly 450 is located on the processing kit 420. The processing kit 420 typically comprises a bowl 430, a container body 472, an anode assembly 474 and a filter 476.
Preferably, the anode assembly 474 is disposed below the container body 472 and attached to the lower portion of the container body 472, and the filter 476 is
Located between the anode assembly 474 and the container body 472. The container body 472 is made of ceramic, plastic, plexiglass (acrylic),
It is a cylindrical body made of an electrically insulating material such as lexane, PVC, CPVC, and PVDF. Alternatively, the container body 472 can be made of a metal such as stainless steel, nickel, and titanium, which does not dissolve in Teflon®, PVDF, plastic, rubber, and electrolytes, and can be electrically ( That is, it is covered with another combination of materials that can be insulated from the electroplating system's anode and cathode). The container body 472 is preferably
It is sized and adapted to fit the shape of the wafer being plated through the system and the wafer being processed through the system, usually a circular or rectangular shape. One preferred embodiment of the container body 472 comprises a cylindrical ceramic tube having an inner diameter approximately the same as or slightly larger than the diameter of the wafer. The inventor has found that the rotational motion required in conventional electroplating systems is not required to achieve uniform plating results when the size of the container body approximately matches the size of the wafer plating surface. I found that.

【0095】 コンテナ本体472の上部は、環状堰478を形成するように、放射状に外側
に向かって伸びる。堰478は、電解液コレクタ440の内壁446の上へ伸び
、電解液が電解液コレクタ440へと流れ込むようにする。堰478の上位表面
は、好ましくは、カソード接触環466の低位表面に適合する。好ましくは、堰
478の上位表面は、内側環状平坦部分480、中間傾斜部分482、および外
側下方傾斜部分484を含む。ウェーハが処理位置に配置されると、ウェーハめ
っき表面は、コンテナ本体472の円筒形開口部の上に配置され、電解液の流れ
のための間隙が、カソード接触環466の低位表面と、堰478の上位表面との
間に形成される。カソード接触環466の低位表面は、堰478の内側平坦部分
480および中間傾斜部分の上に配置される。外側下方傾斜部分484は、容易
に電解液が電解液コレクタ440へと流れ込むようにするために、下方に傾斜し
ている。
The upper portion of the container body 472 extends radially outward to form an annular weir 478. The weir 478 extends over the inner wall 446 of the electrolyte collector 440 to allow the electrolyte to flow into the electrolyte collector 440. The upper surface of the weir 478 preferably matches the lower surface of the cathode contact ring 466. Preferably, the upper surface of the weir 478 includes an inner annular flat portion 480, an intermediate sloped portion 482, and an outer downward sloped portion 484. When the wafer is placed in the processing position, the wafer plating surface is placed over the cylindrical opening in the container body 472 and a gap for electrolyte flow is provided by the lower surface of the cathode contact ring 466 and the weir 478. Formed between the upper surface of the. The lower surface of the cathode contact ring 466 is disposed on the inner flat portion 480 and the mid-sloped portion of the weir 478. The outer downward beveled portion 484 is beveled downward to facilitate the flow of electrolyte into the electrolyte collector 440.

【0096】 コンテナ本体472の低位部分は、コンテナ本体472をボウル430に固定
するための、低位環状フランジ(lower annular flange)を形成するように、放
射状に外側に向かって伸びる。環状フランジ486の外側の大きさ(すなわち、
周囲)は、処理キット420の、電気めっき処理セル400からの除去および取
り替えを可能にするために、電解液コレクタ440の開口部444および内周の
大きさよりも小さい。好ましくは複数のボルトが、環状フランジ486に固定さ
れており、ボウル430にある適合するボルト穴を通して下方に伸びる。複数の
取り外し可能ファスナ・ナット490は、処理キット420をボウル430に固
定する。エラストマ・オーリング等のシール487は、処理キット420からの
リークを防ぐために、ボルト488から内側へと放射状に、コンテナ本体472
とボウル430との間に配置される。ナット/ボルトの組み合わせによって、メ
ンテナンス中の処理キット420の構成要素の、迅速かつ容易な除去および取り
替えが促進される。
The lower portion of the container body 472 extends radially outward to form a lower annular flange for securing the container body 472 to the bowl 430. The outer size of the annular flange 486 (ie,
The perimeter is smaller than the size of the opening 444 and inner circumference of the electrolyte collector 440 to allow removal and replacement of the process kit 420 from the electroplating process cell 400. A plurality of bolts are preferably secured to the annular flange 486 and extend downward through matching bolt holes in the bowl 430. A plurality of removable fastener nuts 490 secure the processing kit 420 to the bowl 430. A seal 487, such as an elastomer O-ring, radially extends inwardly from the bolts 488 to prevent leakage from the process kit 420 and the container body 472.
And the bowl 430. The nut / bolt combination facilitates quick and easy removal and replacement of components of the process kit 420 during maintenance.

【0097】 好ましくは、フィルタ476は、コンテナ本体472の低位開口部に取り付け
られ、およびそれを完全に覆い、およびアノード・アセンブリ474は、フィル
タ476の下に配置される。スペーサ(spacer)492は、フィルタ476とア
ノード・アセンブリ474との間に配置される。好ましくは、フィルタ476、
スペーサ492、およびアノード・アセンブリ474は、ネジおよび/またはボ
ルト等、取り外し可能ファスナを使用して、コンテナ本体472の低位表面に取
り付けられる。代替的に、フィルタ476、スペーサ492、およびアノード・
アセンブリ474は、取り外すことができるように、ボウル430に固定される
。フィルタ476は、好ましくは、基板めっき表面への、電解液の流れのパター
ンを制御するようにも機能する、セラミック・ディフューザ(ceramic diffuser
)を具備する。
Preferably, the filter 476 is attached to and completely covers the lower opening of the container body 472, and the anode assembly 474 is located below the filter 476. Spacer 492 is disposed between filter 476 and anode assembly 474. Preferably filter 476,
Spacer 492 and anode assembly 474 are attached to the lower surface of container body 472 using removable fasteners, such as screws and / or bolts. Alternatively, filter 476, spacer 492, and anode
Assembly 474 is secured to bowl 430 so that it can be removed. The filter 476 is preferably a ceramic diffuser that also functions to control the pattern of electrolyte flow to the substrate plating surface.
) Is provided.

【0098】 アノード・アセンブリ474は、好ましくは、電解液において金属ソース(me
tal source)として機能する消耗アノードを具備する。代替的には、アノード・
アセンブリ474は非消耗アノードを具備し、および電気めっきされるべき金属
は、電解液補充システム220から、電解液内に供給される。図6に記載のとお
り、アノード・アセンブリ474は、好ましくは銅等、電気めっきされるべき金
属と同じ金属で作られている多孔質アノード・エンクロージャ(porous anode e
nclosure)494を有する自己内包モジュールである。代替的に、アノード・エ
ンクロージャ494は、セラミックまたは重合膜(polymeric membranes)等、
多孔質物質で作られている。銅の電気化学的堆積のための高純度の銅等、可溶性
金属496が、アノード・エンクロージャ494の中に配置される。可溶性金属
496は、好ましくは金属粒子、ワイヤまたは穿孔シート(perforated sheet)
を具備する。多孔質アノード・エンクロージャ494はまた、アノード・エンク
ロージャ494内の溶解金属によって生成される粒子を保持するフィルタとして
も機能する。非消耗アノードと比較して、消耗(すなわち、可溶性)アノードは
、ガスを生成しない電解液を供給し、および電解液において、金属を継続的に補
充する必要性を最小限にする。
The anode assembly 474 is preferably a metal source (me) in the electrolyte.
a consumable anode that functions as a tal source). Alternatively, the anode
Assembly 474 comprises a non-consumable anode, and the metal to be electroplated is supplied into the electrolyte from electrolyte replenishment system 220. As shown in FIG. 6, the anode assembly 474 is preferably a porous anode enclosure made of the same metal as the metal to be electroplated, such as copper.
nclosure) 494 is a self-contained module. Alternatively, the anode enclosure 494 may be a ceramic or polymeric membranes, etc.
Made of porous material. A soluble metal 496, such as high purity copper for electrochemical deposition of copper, is placed in the anode enclosure 494. The soluble metal 496 is preferably metal particles, wires or perforated sheets.
It is equipped with. Porous anode enclosure 494 also functions as a filter to retain particles produced by the molten metal within anode enclosure 494. Compared to non-consumable anodes, consumable (ie, soluble) anodes provide a gas-free electrolyte and minimize the need to continually replenish metal in the electrolyte.

【0099】 アノード電極接触子498は、電源から可溶性金属496への電気的接続を供
給するために、アノード・エンクロージャ494を通して挿入される。好ましく
は、アノード電極接触子498は、チタン、プラチナ、およびプラチナめっきさ
れたステンレス・スチール等、電解液において不可溶性である伝導性物質から作
られる。アノード電極接触子498は、ボウル430を通って伸びており、電源
に接続されている。好ましくは、アノード電気接触子498は、アノード電気接
触子498をボウル430に固定するために、ファスナ・ナット499のための
スレッデド部分(threaded portion)497を含み、エラストマ・ウォッシャ(
elastomer washer)等、シール495は、処理キット420からのリークを防ぐ
ために、ファスナ・ナット499とボウル430との間に配置される。
Anode electrode contact 498 is inserted through anode enclosure 494 to provide an electrical connection from a power source to soluble metal 496. Preferably, the anode electrode contact 498 is made from a conductive material that is insoluble in the electrolyte, such as titanium, platinum, and platinized stainless steel. The anode electrode contact 498 extends through the bowl 430 and is connected to a power source. Preferably, the anode electrical contact 498 includes a threaded portion 497 for the fastener nut 499 to secure the anode electrical contact 498 to the bowl 430, and the elastomer washer (4).
A seal 495, such as an elastomer washer), is placed between the fastener nut 499 and the bowl 430 to prevent leakage from the processing kit 420.

【0100】 ボウル430は通常、円筒形部分502および底部504を具備する。上部環
状フランジ506は、円筒形部分502の上部から、放射状に外側に向かって伸
びる。上部環状フランジ506は、コンテナ本体472の低部環状フランジ48
6からのボルト488の数に適合する複数の穴508を含む。ボウル430の上
部環状フランジ506およびコンテナ本体472の低部環状フランジ486を固
定するために、ボルト488は、穴508を通して挿入され、およびファスナ・
ナット490は、ボルト488へと固定される。好ましくは、上部環状フランジ
506の外側の大きさ(すなわち、円周)は、低部環状フランジ486の外側の
大きさ(すなわち、円周)とほぼ同じである。好ましくは、ボウル430の上部
環状フランジ506の低位表面は、処理キット420がメインフレーム214に
配置されている時は、メインフレーム214の支持フランジに載っている。
The bowl 430 typically comprises a cylindrical portion 502 and a bottom 504. The upper annular flange 506 extends radially outward from the top of the cylindrical portion 502. The upper annular flange 506 is the lower annular flange 48 of the container body 472.
It includes a plurality of holes 508 to accommodate the number of bolts 488 from six. Bolts 488 are inserted through holes 508 to secure the upper annular flange 506 of the bowl 430 and the lower annular flange 486 of the container body 472, and fasteners.
The nut 490 is fixed to the bolt 488. Preferably, the outer size (ie, circumference) of the upper annular flange 506 is approximately the same as the outer size (ie, circumference) of the lower annular flange 486. Preferably, the lower surface of the upper annular flange 506 of the bowl 430 rests on the support flange of the main frame 214 when the processing kit 420 is placed on the main frame 214.

【0101】 円筒形部分502の内周は、アノード・アセンブリ474およびフィルタ47
6を収容する。好ましくは、電解液の相当な部分を、フィルタ476を通って流
れる前に、最初にアノード・アセンブリ474を通して強制的に流すために、フ
ィルタ476およびアノード・アセンブリ474の外側の大きさは、円筒形部分
502の内側の大きさよりもやや小さい。ボウル430の底部504は、電解液
補充システム220からの電解液供給線に接続している電解液流入口510を含
む。好ましくは、アノード・アセンブリ474は、底部504において、アノー
ド・アセンブリと電解液流入口510との間に、電解液の流れのための間隙を供
給するために、ボウル430の円筒形部分502の中間部分の辺りに配置される
The inner circumference of the cylindrical portion 502 has an anode assembly 474 and a filter 47.
Accommodates 6. Preferably, the outer dimensions of the filter 476 and the anode assembly 474 are cylindrical so that a substantial portion of the electrolyte is first forced through the anode assembly 474 before flowing through the filter 476. It is slightly smaller than the inner size of the portion 502. The bottom 504 of the bowl 430 includes an electrolyte inlet 510 that connects to the electrolyte supply line from the electrolyte replenishment system 220. Preferably, the anode assembly 474 is located at the bottom 504 in the middle of the cylindrical portion 502 of the bowl 430 to provide a gap for electrolyte flow between the anode assembly and the electrolyte inlet 510. It is placed around the part.

【0102】 電解液流入口510および電解液供給線は、好ましくは、処理キット420の
簡単な除去および取り替えを促進する、解放可能コネクタによって接続される。
処理キット420がメンテナンスを必要とする時、電解液は、処理キット420
から排出され、および電解液供給線における電解液の流れは、停止され、排出さ
れる。電解液供給線のためのコネクタは、電解液流入口510から解放され、ア
ノード・アセンブリ474への電気的接続も切断される。ヘッド・アセンブリ4
10は、処理キット420の一掃除去を供給するために、持ち上げられまたは回
転させられる。処理キット420は、メインフレーム214から取り除かれ、新
しいまたは再調整された処理キットが、メインフレーム214へと取り替えられ
る。
The electrolyte inlet 510 and the electrolyte supply line are preferably connected by a releasable connector that facilitates easy removal and replacement of the process kit 420.
When the treatment kit 420 needs maintenance, the electrolyte is treated in the treatment kit 420.
The electrolyte flow is stopped and the electrolyte flow in the electrolyte supply line is stopped and drained. The connector for the electrolyte supply line is released from the electrolyte inlet 510 and the electrical connection to the anode assembly 474 is also disconnected. Head assembly 4
The 10 is lifted or rotated to provide a cleanup of the treatment kit 420. The processing kit 420 is removed from the mainframe 214 and a new or reconditioned processing kit is replaced with the mainframe 214.

【0103】 代替的に、ボウル430は、メインフレーム214の支持フランジへと固定さ
れることができ、およびコンテナ本体472は、アノードおよびフィルタととも
に、メンテナンスのために除去される。この場合、アノード・アセンブリ474
およびコンテナ本体472をボウル430に固定しているナットは、アノード・
アセンブリ474およびコンテナ本体472の除去を容易にするために取り除か
れる。新しい、または再調整されたアノード・アセンブリ474およびコンテナ
本体472は、メインフレーム214へと取りかえられ、およびボウル430に
固定される。
Alternatively, the bowl 430 can be secured to the support flange of the main frame 214 and the container body 472, along with the anode and filter, removed for maintenance. In this case, the anode assembly 474
And the nuts that secure the container body 472 to the bowl 430 are
The assembly 474 and container body 472 are removed to facilitate removal. The new or reconditioned anode assembly 474 and container body 472 are replaced into the main frame 214 and secured to the bowl 430.

【0104】 図20は、封入アノード(encapsulated anode)の第一の実施形態の横断面図
である。封入アノード2000は、金属がアノード・プレート2004から溶解
するときに生成される「アノード・スラッジ(anode sludge)」または粒子をフ
ィルタにかけ、または捕える、透過性アノード・エンクロージャを含む。図20
に記載のとおり、消耗アノード・プレート2004は、固体の銅、好ましくは、
親水性アノード封入メンブレン2002に包まれた高純度の、無酸素銅を含む。
アノード・プレート2004は、ボウル430の底部を通って伸びる複数の電気
接触子またはフィードスルー2006によって固定されおよび支持されている。
電気接触子またはフィードスルー2006は、アノード封入メンブレン2002
を通って、アノード・プレート2004の底面へと伸びる。電解液の流れは、矢
印Aによって、ボウル430の底部に配置された電解液流入口510から、アノ
ードとボウル側壁との間の間隙を通るように、示されている。電解液はまた、矢
印Bで示されたとおり、アノード封入メンブレンとアノード・プレートとの間の
間隙へ、またはそこから出る透過によって、アノード封入メンブレン2002を
通っても流れる。好ましくは、アノード封入メンブレン2002は、改質ポリビ
ニリデン・フッ素メンブレン等、およそ60%から80%の間、より好ましくは
約70%の多孔度を有し、および孔の大きさは、およそ0.025μmおよび約
1μmの間、より好ましくは約0.1μmから約0.2μmの間である、親水性
多孔質メンブレンを具備する。親水性多孔質メンブレンの一例は、マサチューセ
ッツ州、ベッドフォードにあるMillipore Corporationか
ら入手可能である、デュラポア・ハイドロフィリック・メンブレン(Durap
ore Hydrophilic Membrane)である。電解液が封入メ
ンブレンを通って流れると、溶解アノードによって生成されるアノード・スラッ
ジおよび粒子は、封入メンブレンによってフィルタにかけられ、または捕えられ
る。このように、封入メンブレンは、電気めっき処理中の電解液の純度を向上さ
せ、およびアノード・スラッジおよび汚染粒子によって生じる、電気めっき処理
中の基板上の欠陥形成(defect formation )が、顕著に低減
される。
FIG. 20 is a cross-sectional view of a first embodiment of an encapsulated anode. Encapsulated anode 2000 includes a permeable anode enclosure that filters or traps "anode sludge" or particles that are created when metal dissolves from anode plate 2004. Figure 20
The consumable anode plate 2004 is solid copper, preferably
It contains high purity, oxygen-free copper wrapped in a hydrophilic anode encapsulation membrane 2002.
Anode plate 2004 is secured and supported by a plurality of electrical contacts or feedthroughs 2006 extending through the bottom of bowl 430.
The electrical contactor or feedthrough 2006 is an anode encapsulation membrane 2002.
Through to the bottom of the anode plate 2004. The flow of electrolyte is shown by arrow A from the electrolyte inlet 510 located at the bottom of the bowl 430 through the gap between the anode and the bowl sidewall. The electrolyte also flows through the anode encapsulation membrane 2002 by permeation into or out of the gap between the anode encapsulation membrane and the anode plate, as indicated by arrow B. Preferably, the anode encapsulation membrane 2002 has a porosity of between about 60% and 80%, more preferably about 70%, such as a modified polyvinylidene-fluorine membrane, and the pore size is about 0. It comprises a hydrophilic porous membrane between 025 μm and about 1 μm, more preferably between about 0.1 μm and about 0.2 μm. An example of a hydrophilic porous membrane is the Durapore Hydrophilic Membrane (Durap) available from Millipore Corporation of Bedford, Mass.
ore Hydrophilic Membrane). As the electrolyte flows through the encapsulated membrane, the anode sludge and particles produced by the dissolved anode are filtered or trapped by the encapsulated membrane. Thus, the encapsulated membrane improves the purity of the electrolyte solution during the electroplating process and significantly reduces the defect formation on the substrate during the electroplating process caused by anode sludge and contaminant particles. To be done.

【0105】 図21は、封入アノードの第二の実施形態の横断面図である。封入アノードの
第一の実施形態と類似して、アノード・プレート2004は、電気フィードスル
ー2006に固定されおよび支持されている。それぞれアノード・プレート20
04の上および下に配置された、上部封入メンブレン2008および底部封入メ
ンブレン2010は、アノード・プレート2004周辺に配置されたメンブレン
支持環2012に取り付けられる。上部および底部封入メンブレン2008、2
010は、封入されたアノードの第一の実施形態の封入メンブレンのための、上
記リストからの物質を具備する。メンブレン支持環2012は、好ましくは、プ
ラスチックまたは他の重合体等、(封入メンブレンと比較して)相対的に硬い物
質を含む。バイパス流体流入口2014は、電解液を、封入メンブレンとアノー
ド・プレートとの間の間隙に導くために、ボウル430の底部を通って、および
底部封入メンブレン2010を通って配置される。バイパス流出口2016は、
メンブレン支持環2012へと接続され、および封入されたアノードから出たア
ノード・スラッジまたは生成された粒子を伴った、過剰な電解液の、排出ドレイ
ン(図示されていない)への流れを容易にするために、ボウル430を通って伸
びる。
FIG. 21 is a cross sectional view of a second embodiment of an encapsulated anode. Similar to the first embodiment of the encapsulated anode, the anode plate 2004 is fixed and supported by an electrical feedthrough 2006. Each anode plate 20
Top encapsulation membrane 2008 and bottom encapsulation membrane 2010, located above and below 04, are attached to a membrane support ring 2012 located around anode plate 2004. Top and bottom encapsulated membranes 2008, 2
010 comprises the material from the list above for the encapsulation membrane of the first embodiment of the encapsulated anode. The membrane support ring 2012 preferably comprises a relatively stiff material (compared to the encapsulating membrane) such as plastic or other polymers. Bypass fluid inlet 2014 is positioned through the bottom of bowl 430 and through bottom encapsulating membrane 2010 to direct the electrolyte into the gap between the encapsulating membrane and the anode plate. The bypass outlet 2016 is
Facilitates the flow of excess electrolyte to an exhaust drain (not shown) connected to the membrane support ring 2012 and with the anode sludge or generated particles from the encapsulated anode. To extend through bowl 430.

【0106】 好ましくは、バイパス流体流入口2014および主電解液流入口510の中の
電解液の流れは、それぞれ流入口に接続された流体ラインに沿って配置された、
フロー制御バルブ2020、2022によって個別に制御され、およびバイパス
流体流入口2014における流体圧は、好ましくは、主電解液流入口510にお
ける圧力よりも高圧に維持される。主電解液流入口510からの、ボウル430
内での電解液の流れは、矢印Aによって示され、封入アノード2000の内側の
電解液の流れは、矢印Bによって示される。封入アノードへと導かれる電解液の
一部は、封入アノードから出て、バイパス流出口2016を通って流れる。封入
アノードへの専用バイパス電解液供給を供給することによって、溶解消耗アノー
ドから生成されるアノード・スラッジまたは粒子は、継続的にアノードから除去
され、それによって電気めっき処理中の電解液の純度は向上する。
Preferably, the flow of electrolyte in the bypass fluid inlet 2014 and the main electrolyte inlet 510 is respectively arranged along a fluid line connected to the inlet,
Individually controlled by flow control valves 2020, 2022, and the fluid pressure at bypass fluid inlet 2014 is preferably maintained above the pressure at main electrolyte inlet 510. Bowl 430 from main electrolyte inlet 510
The flow of electrolyte within is indicated by arrow A, and the flow of electrolyte inside the encapsulated anode 2000 is indicated by arrow B. A portion of the electrolyte that is directed to the encapsulated anode exits the encapsulated anode and flows through bypass outlet 2016. By providing a dedicated bypass electrolyte supply to the encapsulated anode, the anode sludge or particles produced from the melt-depleted anode are continuously removed from the anode, thereby improving the purity of the electrolyte during the electroplating process. To do.

【0107】 図22は、封入アノードの第三の実施形態の横断面図である。封入アノード2
000の第三の実施形態は、複数の電気フィード・スルー2006に固定されお
よび支持されたアノード・プレート2004と、メンブレン支持環2012に取
り付けられた上部ならびに底部封入メンブレン2008、2010と、およびメ
ンブレン支持環2012に接続され、ボウル430を通って伸びるバイパス流出
口2016とを含む。この、封入アノードの第三の実施形態は、好ましくは、封
入アノードの第一および第二の実施形態に関して上述された物質を含む。第三の
実施形態に従った底部封入メンブレン2010は、主電解液流入口510の上に
実質的に配置された一つ以上の開口部2024を含む。開口部2024は、主電
解液流入口510からの電解液の流れを受けるように適応しており、好ましくは
主電解液流入口510の内周とおよそ同じ大きさである。主電解液流入口510
からの電解液の流れは、矢印Aによって示され、封入アノード内の電解液の流れ
は、矢印Bによって示される。電解液の一部は、封入アノードから出て、バイパ
ス流出口2016を通って流れ、アノード溶解から生成されるノード・スラッジ
および粒子の一部を運搬する。
FIG. 22 is a cross sectional view of a third embodiment of an encapsulated anode. Enclosed anode 2
Third embodiment of 000 includes an anode plate 2004 fixed and supported by a plurality of electrical feedthroughs 2006, a top and bottom encapsulation membrane 2008, 2010 attached to a membrane support ring 2012, and a membrane support. A bypass outlet 2016 connected to the annulus 2012 and extending through the bowl 430. This third embodiment of the encapsulated anode preferably comprises the materials described above with respect to the first and second embodiments of the encapsulated anode. The bottom encapsulating membrane 2010 according to the third embodiment includes one or more openings 2024 substantially disposed above the main electrolyte inlet 510. The opening 2024 is adapted to receive the flow of electrolyte from the main electrolyte inlet 510 and is preferably about the same size as the inner circumference of the main electrolyte inlet 510. Main electrolyte inlet 510
The flow of electrolyte from the is indicated by arrow A and the flow of electrolyte in the encapsulated anode is indicated by arrow B. A portion of the electrolyte exits the encapsulated anode and flows through the bypass outlet 2016, carrying some of the node sludge and particles produced from the anodic dissolution.

【0108】 図23は、封入アノードの第四の実施形態の横断面図である。封入アノード2
000の第四の実施形態は、複数の電気フィードスルー2006に固定されおよ
び支持されたアノード・プレート2002と、メンブレン支持環2012に取り
付けられた上部ならびに底部封入メンブレン2008、2010と、および封入
メンブレンとアノード・プレートとの間の間隙に電解液を導くために、ボウル4
30の底部を通って、ならびに底部封入メンブレン2010を通って配置された
、バイパス流体流入口2014とを含む。この、封入アノードの第四の実施形態
は、好ましくは、封入アノードの第一ならびに第二の実施形態に関して上述され
た物質を含む。好ましくは、バイパス流体流入口2014および主電解液流入口
510を通る電解液の流れは、それぞれ制御バルブ2020、2022によって
個別に制御される。主電解液流入口510からの電解液の流れは、矢印Aによっ
て示される一方で、封入アノードを通る電解液の流れは、矢印Bによって示され
る。この実施形態に関して、溶解アノード・プレートによって生成されるアノー
ド・スラッジおよび粒子は、電解液がメンブレンを通過する時に、封入メンブレ
ンによってフィルタにかけられ、および捕えられる。
FIG. 23 is a cross sectional view of a fourth embodiment of an encapsulated anode. Enclosed anode 2
000 fourth embodiment includes an anode plate 2002 fixed and supported by a plurality of electrical feedthroughs 2006, a top and bottom encapsulation membrane 2008, 2010 attached to a membrane support ring 2012, and an encapsulation membrane. To guide the electrolyte into the gap between the anode plate, bowl 4
Bypass fluid inlet 2014 disposed through the bottom of 30 as well as through the bottom encapsulating membrane 2010. This fourth embodiment of the encapsulated anode preferably comprises the materials described above with respect to the first as well as the second embodiment of the encapsulated anode. Preferably, the flow of electrolyte through bypass fluid inlet 2014 and main electrolyte inlet 510 is individually controlled by control valves 2020 and 2022, respectively. The flow of electrolyte from the main electrolyte inlet 510 is indicated by arrow A, while the flow of electrolyte through the encapsulated anode is indicated by arrow B. For this embodiment, the anode sludge and particles produced by the fused anode plate are filtered and trapped by the encapsulating membrane as the electrolyte passes through the membrane.

【0109】 図16は、電解液補充システム220の概要図である。電解液補充システム2
20は、電気めっき処理のために、電気めっき処理セルに電解液を供給する。電
解液補充システム220は、通常、主電解液タンク602、ドージング・モジュ
ール(dosing module)603、ろ過モジュール605、化学的アナライザ・モ
ジュール616、および電解液廃液ドレイン620によって解析モジュール61
6に接続された、電解液廃液廃棄システム622を含む。一つ以上のコントロー
ラが、主タンク602における電解液の合成および電解液補充システム220の
オペレーションを制御する。好ましくは、前記コントローラは、個別に操作可能
であるが、電気めっきシステム・プラットフォーム200の制御システム222
と統合されていてもよい。
FIG. 16 is a schematic diagram of the electrolyte replenishment system 220. Electrolyte replenishment system 2
20 supplies an electrolytic solution to the electroplating cell for electroplating. The electrolyte replenishment system 220 typically includes an analysis module 61 with a main electrolyte tank 602, a dosing module 603, a filtration module 605, a chemical analyzer module 616, and an electrolyte waste drain 620.
6 includes an electrolyte waste disposal system 622 connected to the No. One or more controllers control the synthesis of electrolyte in the main tank 602 and the operation of the electrolyte replenishment system 220. Preferably, the controllers are individually operable, but control system 222 of electroplating system platform 200.
May be integrated with.

【0110】 主電解液タンク602は、電解液のための貯蔵槽を供給し、および一つ以上の
流体ポンプ608ならびにバルブ607を通って、電気めっき処理セルの各々に
接続される、電解液供給ライン612を含む。主タンク602と熱的に接続する
ように配置された熱交換器624またはヒータ(heater)/チラー(chiller)
は、主タンク602に貯蔵された電解液の温度を制御する。熱交換器624は、
コントローラ610に接続され、およびそれによって操作される。
A main electrolyte tank 602 supplies a reservoir for the electrolyte and is connected to each of the electroplating cells through one or more fluid pumps 608 and valves 607. Including line 612. A heat exchanger 624 or heater / chiller arranged to be in thermal connection with the main tank 602.
Controls the temperature of the electrolytic solution stored in the main tank 602. The heat exchanger 624 is
Connected to and operated by controller 610.

【0111】 ドージング・モジュール603は、供給ラインによって主タンク602に接続
されており、複数のソース・タンク606、またはフィード・ボトル(feed bot
tle)、複数のバルブ609、およびコントローラ611を含む。ソース・タン
ク606は、電解液を合成するために必要な化学物質を含み、通常は、電解液を
合成するための脱イオン水源タンクおよび硫酸銅(CuSO4)ソース・タンク
を含む。他のソース・タンク606は、硫酸水素塩(H2SO4)、塩酸塩(HC
L)およびグリコール等の様々な添加物を含んでもよい。各ソース・タンクは、
好ましくは色でコード化され、およびドージング・モジュールにおける適合流入
コネクタに接続するように適応した、独自の接続流出コネクタを備える。ソース
・タンクを色でコード化し、およびソース・タンクに独自のコネクタを備えるこ
とによって、ソース・タンクを交換し、または取りかえる時に、人間のオペレー
タによって生じる誤りが、顕著に低減される。
The dosing module 603 is connected to the main tank 602 by a supply line, and has a plurality of source tanks 606, or feed bottles.
tle), a plurality of valves 609, and a controller 611. Source tank 606 contains the chemicals needed to synthesize the electrolyte, and typically includes a deionized water source tank and a copper sulfate (CuSO 4 ) source tank for synthesizing the electrolyte. Other source tanks 606 include hydrogen sulfate (H 2 SO 4 ), hydrochloride (HC
L) and various additives such as glycols may be included. Each source tank is
It is provided with its own connection outflow connector, which is preferably color-coded and adapted to connect to a matching inflow connector in the dosing module. By color-coding the source tank and providing the source tank with its own connector, the errors made by a human operator when replacing or replacing the source tank are significantly reduced.

【0112】 脱イオン水源タンクは、好ましくは、脱イオン水を、メンテナンス中のシステ
ムの清浄のためのシステムにも供給する。各ソース・タンク606と関連するバ
ルブ609は、主タンク602への化学物質の流れを調整し、およびバタフライ
・バルブ(butterfly valves)、スロットル・バルブ(throttle valves)等、
数多くの商業上入手可能なバルブのいずれでもよい。バルブ609の作動は、好
ましくはそこからの信号を受信するために、システム制御222に接続された、
コントローラ611によって達成される。
The deionized water source tank preferably also supplies deionized water to the system for cleaning the system during maintenance. A valve 609 associated with each source tank 606 regulates the flow of chemicals to the main tank 602, and includes butterfly valves, throttle valves, etc.
It may be any of a number of commercially available valves. The actuation of valve 609 is preferably connected to system control 222 to receive signals therefrom.
This is achieved by the controller 611.

【0113】 電解液ろ過モジュール605は、複数のフィルタ・タンク604を含む。電解
液返送ライン614は、処理セルの各々と、一つ以上のフィルタ・タンク604
との間に接続される。フィルタ・タンク604は、再利用のために、電解液を主
タンク602に戻す前に、使用された電解液における望ましくない含有物を除去
する。主タンク602は、主タンク602における電解液の再循環およびろ過を
容易にするために、フィルタ・タンク604にも接続されている。主タンク60
2からフィルタ・タンク604を通して電解液を再循環させることによって、電
解液内の望ましくない含有物は、一定のレベルの純度を維持するために、フィル
タ・タンク604によって継続的に除去される。さらに、主タンク602とろ過
モジュール605との間で電解液を再循環させることで、電解液内の様々な化学
物質が、完全に混合される。
The electrolyte filtration module 605 includes a plurality of filter tanks 604. The electrolyte return line 614 includes each of the processing cells and one or more filter tanks 604.
Connected between and. The filter tank 604 removes unwanted inclusions in the used electrolyte prior to returning the electrolyte to the main tank 602 for reuse. Main tank 602 is also connected to filter tank 604 to facilitate recirculation and filtration of electrolyte in main tank 602. Main tank 60
By recirculating the electrolyte from 2 through the filter tank 604, the unwanted inclusions in the electrolyte are continuously removed by the filter tank 604 to maintain a certain level of purity. Further, by recirculating the electrolyte solution between the main tank 602 and the filtration module 605, the various chemicals within the electrolyte solution are thoroughly mixed.

【0114】 電解液補充システム220は、電解液の化学的合成の、リアルタイムな化学的
解析を供給する、化学的アナライザ・モジュール616も含む。アナライザ・モ
ジュール616は、サンプル・ライン613によって主タンク602へと、およ
び流出ライン621によって廃液廃棄システム622へと流体的に結合される。
アナライザ・モジュール616は、通常、アナライザを操作するために、少なく
とも一つのアナライザおよびコントローラを具備する。特定の処理ツールに必要
となるアナライザの数は、電解液の合成による。例えば、第一のアナライザは、
有機物質の濃度を監視するために使用されてもよい一方で、第二のアナライザは
、無機化学物質に必要とされる。図16に記載の特定の実施形態において、化学
的アナライザ・モジュール616は、自動滴定アナライザ615およびサイクリ
ック・ボルタメトリック・ストリッパ(cyclic voltametric stripper)(CV
S)617を具備する。どちらのアナライザも、様々な供給者から商業的に入手
可能である。効果的に使用されてもよい自動滴定アナライザは、Parker
Systemsから入手可能であり、サイクリック・ボルタメトリック・ストリ
ッパは、ECIから入手可能である。自動適定アナライザ615は、塩化銅等の
無機物質および酸の濃度を決定する。CVS617は、電解液において使用され
るかもしれない様々な添加物、および処理セルから主タンク602に戻される、
処理から生じる副産物等、有機物質の濃度を決定する。
The electrolyte replenishment system 220 also includes a chemical analyzer module 616 that provides real-time chemical analysis of the chemical synthesis of the electrolyte. The analyzer module 616 is fluidly coupled to the main tank 602 by a sample line 613 and to a waste disposal system 622 by an outflow line 621.
The analyzer module 616 typically comprises at least one analyzer and controller for operating the analyzer. The number of analyzers required for a particular processing tool depends on the electrolyte synthesis. For example, the first analyzer
While it may be used to monitor the concentration of organics, a second analyzer is needed for inorganic chemicals. In the particular embodiment illustrated in FIG. 16, the chemical analyzer module 616 includes an automatic titration analyzer 615 and a cyclic voltametric stripper (CV).
S) 617 is provided. Both analyzers are commercially available from various suppliers. An automated titration analyzer that may be used effectively is Parker
Systems are available from Systems and cyclic voltammetric strippers are available from ECI. An automatic titration analyzer 615 determines the concentration of inorganic substances such as copper chloride and acids. The CVS 617 is returned to the main tank 602 from various additives that may be used in the electrolyte and from the processing cell.
Determine the concentration of organic substances, such as by-products from processing.

【0115】 図16に記載のアナライザ・モジュールは、単なる例示である。他の実施形態
において、各アナライザは、個別の供給ラインによって主電解液タンクに結合さ
れ、および個別のコントローラによって操作されてもよい。当業者は、他の実施
形態を認識するであろう。
The analyzer module described in FIG. 16 is merely exemplary. In other embodiments, each analyzer may be coupled to the main electrolyte tank by a separate supply line and operated by a separate controller. One of ordinary skill in the art will recognize other embodiments.

【0116】 操作において、電解液のサンプルが、サンプル・ライン613を介してアナラ
イザ・モジュール616へと流れる。サンプルは周期的に取られてもよいが、好
ましくは、アナライザ・モジュール616へ、電解液の継続的な流れが維持され
る。サンプルの一部は、自動滴定アナライザ615に運ばれ、および一部は、適
切な解析のためにCVS617に運ばれる。コントローラ619は、データを生
成するために、アナライザ615、617を操作するためのコマンド信号を開始
する。化学的アナライザ615、617からの情報は、制御システム222に送
られる。制御システム222は前記情報を処理し、およびユーザが定義する化学
的適正量パラメータ(chemical dosage parameters)を含む信号を、ドージング
・コントローラ611に送信する。受信された情報は、バルブ609のうち一つ
以上を操作することによって、ソース化学物質補充速度へのリアルタイムな調整
を供給するために使用され、それによって、電気めっき処理の間、電解液の所望
の、好ましくは一定した化学的合成を維持する。アナライザ・モジュールからの
廃液電解液は、流出ライン621を介して廃液廃棄システム622へと流れる。
In operation, a sample of electrolyte flows through sample line 613 to analyzer module 616. Samples may be taken periodically, but preferably a continuous flow of electrolyte to the analyzer module 616 is maintained. A portion of the sample is delivered to an automatic titration analyzer 615 and a portion is delivered to CVS 617 for proper analysis. The controller 619 initiates command signals for operating the analyzers 615, 617 to generate the data. Information from the chemical analyzers 615,617 is sent to the control system 222. The control system 222 processes the information and sends a signal to the dosing controller 611 that includes user defined chemical dosage parameters. The received information is used to provide a real-time adjustment to the source chemical replenishment rate by operating one or more of valves 609, thereby providing a desired electrolyte solution during the electroplating process. , Preferably maintaining a constant chemical synthesis. The waste electrolyte from the analyzer module flows to the waste disposal system 622 via the outflow line 621.

【0117】 好ましい実施形態は、電解液のリアルタイムな監視および調整を利用するが、
様々な代替例が本発明に従って採用されてもよい。たとえば、ドージング・モジ
ュール603は、化学的アナライザ・モジュール616によって供給される出力
値を見ているオペレータによって、手動で制御されてもよい。好ましくは、シス
テム・ソフトウェアによって、オペレータ(手動)モードと同様に、自動リアル
タイム調整モードの両方が可能になる。さらに、複数のコントローラが図16に
記載されているが、一つのコントローラが、化学的アナライザ・モジュール61
6、ドージング・モジュール603、および熱交換器624等、システムの様々
な構成要素を操作するために使用されてもよい。他の実施形態は、当業者には明
らかであろう。
Although the preferred embodiment utilizes real-time monitoring and conditioning of the electrolyte,
Various alternatives may be employed in accordance with the present invention. For example, dosing module 603 may be manually controlled by an operator looking at the output value provided by chemical analyzer module 616. Preferably, the system software allows both an automatic (real-time) adjustment mode as well as an operator (manual) mode. Further, although multiple controllers are shown in FIG. 16, one controller is the chemical analyzer module 61.
6, dosing module 603, and heat exchanger 624 may be used to operate various components of the system. Other embodiments will be apparent to those of skill in the art.

【0118】 好ましくは、アナライザは、アナライザにおける電極またはセンサが、繰り返
して使用されることによって腐食されると、コントローラが、計測におけるドリ
フト(drift)を補うことを容易にする標準および基準体系を含む。標準および
基準体系は、好ましくは、アナライザによって解析されている物質に従って分類
される。例えば、自動滴定アナライザ615は、無機物質のための標準および基
準体系を含み、およびCVS617は、有機物質のための標準および基準体系を
含む。例えば、表1に記載のとおり、三つの標準が、電解液における銅および塩
化物含有の解析のために示される。
[0118] Preferably, the analyzer includes a standard and reference system that facilitates the controller to compensate for drifts in measurements when electrodes or sensors in the analyzer are corroded by repeated use. . The standards and reference systems are preferably classified according to the substance being analyzed by the analyzer. For example, automatic titration analyzer 615 includes a standard and reference system for inorganic substances, and CVS 617 includes a standard and reference system for organic substances. For example, as set forth in Table 1, three standards are presented for analysis of copper and chloride content in electrolytes.

【0119】 表1:銅および塩化物含有に関する標準 銅 塩化物 標準1(低) 49g/l 40ppm 標準2(中) 50g/l 70ppm 標準3(高) 60g/l 100ppm アナライザは、銅および塩化物含有に関するアナライザのための電極またはセ
ンサが、繰返しの使用によって腐食されるにつれて、電極またはセンサの偏差ま
たは計測ドリフトを決定するために、前記標準を使用する。標準における既知の
含有物と、アナライザによる計測との間に、線形関係(linear relationship)
を補間することによって、アナライザは、電解液サンプルにおける物質の正確な
解析を供給するために基準化される。電解液サンプルから計測されたデータは、
正確な計測を供給するために、電極またはセンサの計測ドリフトに関して補われ
る。標準および基準体系を使用することによって、本発明は、電解液の、正確で
リアルタイムな、オンライン解析を供給し、前記システムに取り付けられたアナ
ライザによって実行されうる閉ループ解析を容易にする。本発明はまた、電極ま
たはセンサの有効寿命を延長し、およびこれらの構成要素の取り替えによるシス
テムの遮断の頻度を下げる。
Table 1: Standards for Copper and Chloride Content Copper Chloride Standard 1 (Low) 49 g / l 40 ppm Standard 2 (Medium) 50 g / l 70 ppm Standard 3 (High) 60 g / l 100 ppm Analyzer is copper and chloride The standard is used to determine the deviation or metrological drift of the electrodes or sensors as they are corroded by repeated use as the electrodes or sensors for the content analyzers are corroded. A linear relationship between the known inclusions in the standard and the analyzer measurement.
By interpolating, the analyzer is calibrated to provide an accurate analysis of the material in the electrolyte sample. The data measured from the electrolyte sample is
In order to provide an accurate measurement, compensation is made for the measurement drift of the electrodes or sensors. By using standards and reference systems, the present invention provides accurate, real-time, online analysis of electrolytes and facilitates closed loop analysis that can be performed by an analyzer attached to the system. The present invention also extends the useful life of the electrodes or sensors and reduces the frequency of system shutdowns due to replacement of these components.

【0120】 電解液補充システム220はまた、使用された電解液、化学物質、および電気
めっきシステムにおいて使用された他の流体の安全な廃棄のための、電解液廃液
廃棄システム622へと接続された、電解液廃液ドレイン620も含む。好まし
くは、電気めっきセルは、電解液補充システム220を通して電解液を戻すこと
なく、電気めっきセルを排出するために、電解液廃液ドレイン620または電解
液廃液廃棄システム622への直接ライン接続を含む。電解液補充システム22
0は、好ましくは、過剰な電解液を、電解液廃液ドレイン620に抜き取るため
の、ブリード・オフ接続(bleed off connection)も含む。
The electrolyte replenishment system 220 was also connected to an electrolyte waste disposal system 622 for the safe disposal of used electrolytes, chemistries, and other fluids used in the electroplating system. Also, an electrolyte waste liquid drain 620 is included. Preferably, the electroplating cell includes a direct line connection to an electrolyte waste drain 620 or an electrolyte waste disposal system 622 to drain the electroplating cell without returning the electrolyte through the electrolyte replenishment system 220. Electrolyte replenishment system 22
0 also preferably includes a bleed off connection for draining excess electrolyte to the electrolyte waste drain 620.

【0121】 好ましくは、電解液補充システム220はまた、電解液から望ましくないガス
を除去するように適応した、一つ以上のガス抜きモジュール630も含む。ガス
抜きモジュールは、通常、解放されたガスを除去するためのガス抜きモジュール
および真空システムを通過する流体から、ガスを分離するメンブレンを具備する
。ガス抜きモジュール630は、好ましくは、処理セル240に隣接する電解液
供給ライン612に一列で配置される。ガス抜きモジュール630は、好ましく
は、電解液補充システムからのガスのほとんどが、電解液が処理セルに入る前に
、ガス抜きモジュールによって除去されるように、処理セル240のできるだけ
近くに配置される。好ましくは、各ガス抜きモジュール630は、ガス抜きされ
た電解液を、各処理ステーション218の二つの処理セル240に供給するため
の二つの流出口を含む。代替的に、ガス抜きモジュール630は、各処理セルの
ために供給される。ガス抜きモジュールは、多くの他の場所に配置されうる。例
えば、ガス抜きモジュールは、電解液補充システムにおける他の場所に、例えば
フィルタ・セクションとともに、または主タンクまたは処理セルを伴う閉ループ
・システムに配置されうる。他の例として、一つのガス抜きモジュールは、ガス
抜きされた電解液を、電気化学堆積システムの処理セル240のすべてに供給す
るために、電解液供給ライン612と、一列で配置される。さらに、個別のガス
抜きモジュールは、直列に、または脱イオン水供給ラインを伴う閉ループに配置
され、および脱イオン水源から酸素を除去することに専念する。脱イオン水は処
理された基板を洗うために使用されるので、遊離酸素ガスは、好ましくは、電気
めっきされた銅が、洗う処理によって酸化しにくいように、SRDモジュールに
到達する前に、脱イオン水から除去される。ガス抜きモジュールは、当業界では
よく知られており、商業的実施形態が、様々な応用における使用に関して利用可
能であり、適応している。商業的に入手可能なガス抜きモジュールは、マサチュ
ーセッツ州、ベッドフォードにある、Millipore Corporati
onから入手可能である。
Electrolyte replenishment system 220 also preferably includes one or more degassing modules 630 adapted to remove unwanted gases from the electrolyte. Venting modules typically include a venting module for removing released gas and a membrane that separates the gas from the fluid passing through the vacuum system. The degassing module 630 is preferably arranged in a row in the electrolyte supply line 612 adjacent the processing cell 240. The degassing module 630 is preferably located as close as possible to the processing cell 240 so that most of the gas from the electrolyte replenishment system is removed by the degassing module before the electrolyte enters the processing cell. . Preferably, each degassing module 630 includes two outlets for supplying degassed electrolyte to the two processing cells 240 of each processing station 218. Alternatively, a degassing module 630 is provided for each processing cell. The degassing module can be located in many other locations. For example, the degassing module may be located elsewhere in the electrolyte replenishment system, such as with the filter section or in a closed loop system with a main tank or process cell. As another example, one degassing module is arranged in line with the electrolyte supply line 612 to supply degassed electrolyte to all of the processing cells 240 of the electrochemical deposition system. Furthermore, the individual degassing modules are arranged in series or in a closed loop with the deionized water supply line and are dedicated to removing oxygen from the deionized water source. Since deionized water is used to wash the treated substrate, the free oxygen gas is preferably deoxygenated prior to reaching the SRD module so that the electroplated copper is less susceptible to oxidation by the washing process. Removed from ionized water. Venting modules are well known in the art and commercial embodiments are available and adapted for use in various applications. A commercially available degassing module is available from Millipore Corporati, Bedford, Mass.
available from on.

【0122】 図26aに記載のとおり、ガス抜きモジュール630の一つの実施形態は、メ
ンブレン632の一方に流体(すなわち、電解液)通路634を、およびメンブ
レンの反対側に配置された真空システム636を有する、疎水性メンブレン63
2を含む。ガス抜きモジュールのエンクロージャ638は、流入口640および
一つ以上の流出口642を含む。電解液が、ガス抜きモジュール630を通過す
ると、電解液におけるガスおよび他の微小バブル(micro-bubbles)が、疎水性
メンブレンを通る電解液から分離され、および真空システムによって除去される
。ガス抜きモジュール630’の他の実施形態は、図26bに記載のとおり、疎
水性メンブレンの管632’および疎水性メンブレンの管632’の周辺に配置
された真空システム636を含む。電解液は、疎水性メンブレンの管の内側に導
かれ、電解液が前記管における流体通路634を通過すると、電解液におけるガ
スおよび他の微小バブルが、疎水性メンブレンの管632’を通る電解液から分
離され、および前記管を取り巻く真空システム636によって除去される。ガス
抜きモジュールのより複雑な設計が本発明によって意図されており、それは、メ
ンブレンを横切る電解液の蛇行したパス(paths)を有する設計、およびガス抜
きモジュールの、他のマルチ・セクションな(multi-sectioned)設計を含む。
As shown in FIG. 26a, one embodiment of the degassing module 630 includes a fluid (ie, electrolyte) passageway 634 on one side of the membrane 632 and a vacuum system 636 located on the opposite side of the membrane. Having a hydrophobic membrane 63
Including 2. The degassing module enclosure 638 includes an inlet 640 and one or more outlets 642. As the electrolyte passes through the degassing module 630, gases and other micro-bubbles in the electrolyte are separated from the electrolyte through the hydrophobic membrane and removed by the vacuum system. Another embodiment of the degassing module 630 'includes a hydrophobic membrane tube 632' and a vacuum system 636 disposed around the hydrophobic membrane tube 632 'as described in Figure 26b. The electrolyte is guided inside the tube of the hydrophobic membrane, and as the electrolyte passes through the fluid passages 634 in the tube, the gas and other microbubbles in the electrolyte pass through the tube 632 'of the hydrophobic membrane. , And removed by a vacuum system 636 surrounding the tube. A more complex design of the degassing module is contemplated by the present invention, which has a design with serpentine paths of electrolyte across the membrane and other multi-section (de-) of the degassing module. sectioned) Including design.

【0123】 図16には記載されていないが、電解液補充システム220は、多くの他の構
成要素を含んでもよい。例えば、電解液補充システム220は、好ましくは、ウ
ェーハ清浄システム、例えばSRDステーションに関する化学物質の貯蔵のため
の一つ以上の付加的タンクも含む。有害物質コネクション(hazardous material
connection)のための二重包含されたパイピング(piping)も、前記システム
全体における化学物質の安全な輸送を供給するために採用されてもよい。任意で
、電解液補充システム220は、電気めっきシステムへの付加的な電解液供給を
供給するために、付加的なまたは外部の電解液処理システムへの接続を含む。
Although not shown in FIG. 16, electrolyte replenishment system 220 may include many other components. For example, the electrolyte replenishment system 220 preferably also includes one or more additional tanks for the storage of chemicals for wafer cleaning systems, such as SRD stations. Hazardous material connection
Double enclosed piping for connections may also be employed to provide safe transport of chemicals throughout the system. Optionally, electrolyte replenishment system 220 includes a connection to an additional or external electrolyte treatment system to provide additional electrolyte supply to the electroplating system.

【0124】 図17は、本発明に従った、急速熱アニール・チャンバ(thermal anneal cha
mber)の横断面図である。急速熱アニール(RTA)チャンバ211は、好まし
くはローディング・ステーション(loading station)210に接続され、およ
び基板は、ローディング・ステーション移送ロボット228によって、RTAチ
ャンバ211へ、またはそこから移送される。電気めっきシステムは、図2およ
び3に記載のとおり、好ましくは、ローディング・ステーション210の対称的
な設計に対応して、ローディング・ステーションの反対側に配置された、二つの
RTAチャンバ211を具備する。熱アニール処理チャンバは、当業界で一般的
によく知られており、急速熱アニール・チャンバは、典型的には、堆積した物質
の属性を向上させるために、基板処理システムにおいて利用される。本発明は、
電気めっきの結果を向上させるために、ホット・プレート設計および熱ランプ設
計を含む、様々な熱アニール・チャンバ設計を利用することを意図する。本発明
に有効な、一つの特定的な熱アニール・チャンバは、カリフォルニア州、San
ta Claraにある、Applied material Inc.,から
入手可能な、WxZチャンバである。本発明は、ホット・プレート急速熱アニー
ル・チャンバを使用して記述されているが、本発明は、他の熱アニール・チャン
バのアプリケーションも、同様に意図する。
FIG. 17 illustrates a thermal anneal chamber according to the present invention.
mber). The rapid thermal anneal (RTA) chamber 211 is preferably connected to a loading station 210, and the substrate is transferred to or from the RTA chamber 211 by a loading station transfer robot 228. The electroplating system comprises two RTA chambers 211, preferably on opposite sides of the loading station, corresponding to the symmetrical design of the loading station 210, as described in FIGS. 2 and 3. . Thermal anneal processing chambers are generally well known in the art, and rapid thermal anneal chambers are typically utilized in substrate processing systems to improve the properties of deposited materials. The present invention is
It is intended to utilize a variety of thermal anneal chamber designs, including hot plate designs and heat ramp designs, to improve electroplating results. One particular thermal annealing chamber useful with the present invention is San San, Calif.
Applied material Inc., Ta Clara. , WxZ chamber available from Although the present invention has been described using a hot plate rapid thermal anneal chamber, the present invention contemplates other thermal anneal chamber applications as well.

【0125】 RTAチャンバ211は、通常、エンクロージャ902、ヒータ・プレート9
04、ヒータ907および複数の基板支持ピン906を具備する。エンクロージ
ャ902は、基盤908、側壁910、および上部912を含む。好ましくは、
冷プレート913が、エンクロージャの上部912の下に配置される。代替的に
は、冷プレートは、エンクロージャの上部912の一部として、一体的に形成さ
れる。好ましくは、反射絶縁皿(reflector insulator dish)914は、基盤9
08上のエンクロージャ902の内側に配置される。反射絶縁皿914は、典型
的には、石英、アルミナ、または高温(すなわち、約500℃以上)に耐えるこ
とができ、およびヒータ907とエンクロージャ902との間の熱絶縁体として
機能することができる他の物質で作られる。皿914は、熱をヒータ・プレート
906に戻るように導くために、金等の反射性物質で覆われていてもよい。
RTA chamber 211 typically includes enclosure 902, heater plate 9
04, a heater 907, and a plurality of substrate support pins 906. Enclosure 902 includes base 908, sidewalls 910, and top 912. Preferably,
A cold plate 913 is located below the top 912 of the enclosure. Alternatively, the cold plate is integrally formed as part of the top 912 of the enclosure. Preferably, the reflector insulator dish 914 is the substrate 9
It is located inside the enclosure 902 on the 08. The reflective isolation dish 914 can typically withstand quartz, alumina, or high temperatures (ie, above about 500 ° C.) and can act as a thermal insulator between the heater 907 and the enclosure 902. Made of other substances. The dish 914 may be covered with a reflective material such as gold to conduct heat back to the heater plate 906.

【0126】 ヒータ・プレート904は、好ましくは、システムで処理されている基板と比
較して質量が大きく、好ましくは、例えば、炭化ケイ素、石英、またはRTAチ
ャンバにおける周囲のガスに反応せず、または基板素材と反応しない他の物質等
、の物質から製造される。ヒータ907は、典型的には、抵抗性加熱素子または
伝導性/放射性熱源を具備し、および被加熱プレート906と反射絶縁皿914
との間に配置される。ヒータ907は、ヒータ907を熱するために必要なエネ
ルギを供給する電源906に接続される。好ましくは、熱電対920は、コンジ
ット922に配置され、基盤908および皿914を通るように配置され、ヒー
タ・プレート904へと伸びる。熱電対920は、コントローラ(すなわち、後
述のシステム・コントローラ)に接続され、前記コントローラに温度計測を供給
する。コントローラは、温度計測および所望のアニール温度に従って、ヒータ9
07によって供給される熱を上げたり下げたりする。
The heater plate 904 preferably has a high mass as compared to the substrate being processed in the system and is preferably non-responsive to ambient gas in, for example, silicon carbide, quartz, or RTA chambers, or Manufactured from materials such as other materials that do not react with the substrate material. The heater 907 typically comprises a resistive heating element or a conductive / radiative heat source, and a heated plate 906 and a reflective insulating dish 914.
It is placed between and. The heater 907 is connected to a power supply 906 that supplies the energy required to heat the heater 907. Preferably, thermocouple 920 is positioned in conduit 922, positioned through substrate 908 and pan 914, and extends to heater plate 904. The thermocouple 920 is connected to the controller (ie, the system controller described below) and provides temperature measurement to the controller. The controller uses the heater 9 according to the temperature measurement and the desired annealing temperature.
Increases or decreases the heat supplied by 07.

【0127】 エンクロージャ902は、好ましくは、エンクロージャ902を冷却するため
に、側壁910と熱的に接触するエンクロージャ902の外側に配置された、冷
却メンバ918を含む。代替的には、一つ以上の冷却チャネル(図示されていな
い)が、エンクロージャ902の温度を制御するために、側壁910内に形成さ
れる。上部912の内側表面に配置された冷プレート913は、冷プレート91
3の近くに配置されている基板を冷却する。
Enclosure 902 preferably includes a cooling member 918 disposed outside enclosure 902 that is in thermal contact with sidewall 910 to cool enclosure 902. Alternatively, one or more cooling channels (not shown) are formed in the sidewall 910 to control the temperature of the enclosure 902. The cold plate 913 disposed on the inner surface of the upper portion 912 is the cold plate 91.
3. Cool the substrate located near 3.

【0128】 RTAチャンバ211は、RTAチャンバへの、およびそこからの基板の移送
を容易にするために、エンクロージャ902の側壁910に配置されたスリット
・バルブ(slit valve)922を含む。スリット・バルブ922は、選択的に、
ローディング・ステーション210と通じているエンクロージャの側壁910に
ある開口部924を密閉する。ローディング・ステーション移送ロボット228
(図2参照)は、開口部924を通って、RTAチャンバへと、およびそこから
基板を移送する。
RTA chamber 211 includes a slit valve 922 located in sidewall 910 of enclosure 902 to facilitate the transfer of substrates to and from the RTA chamber. The slit valve 922 is selectively
The opening 924 in the side wall 910 of the enclosure that communicates with the loading station 210 is sealed. Loading station transfer robot 228
(See FIG. 2) transfers the substrate through opening 924 to and from the RTA chamber.

【0129】 基板支持ピン906は、好ましくは、石英、酸化アルミニウム、炭化ケイ素、
または他の高温耐性物質で作られた、末端に向かって先細りになったメンバを具
備する。各基板支持ピン906は、管状コンジット926の中に配置され、好ま
しくは、熱および酸化耐性素材で作られており、ヒータ・プレート904を通っ
て伸びる。基板支持ピン906は、統一的な方法で、基板支持ピン906を動か
すためのリフト・プレート928に接続されている。リフト・プレート928は
、RTAチャンバ内の様々な縦の位置に基板を配置することを容易にするために
リフト・プレート928を動かす、リフト・シャフト932を通って、ステッパ
・モータ(stepper motor)等、アクチュエータ930に取り付けられる。リフ
ト・シャフト932は、エンクロージャ902の基盤908を通って伸び、前記
シャフト周辺に配置された密閉フランジ(sealing flange)934によって密閉
される。
The substrate support pins 906 are preferably quartz, aluminum oxide, silicon carbide,
Alternatively, it comprises a member tapering towards the end made of another high temperature resistant material. Each substrate support pin 906 is disposed within a tubular conduit 926 and is preferably made of a heat and oxidation resistant material and extends through heater plate 904. The substrate support pins 906 are connected to a lift plate 928 for moving the substrate support pins 906 in a uniform manner. The lift plate 928 moves the lift plate 928 to facilitate placement of the substrate in various vertical positions within the RTA chamber, through a lift shaft 932, stepper motor, etc. , Attached to the actuator 930. The lift shaft 932 extends through the base 908 of the enclosure 902 and is sealed by a sealing flange 934 located around the shaft.

【0130】 基板をRTAチャンバ211に移送するために、スリット・バルブ922は開
けられ、ローディング・ステーション移送ロボット228は、そこに配置された
基板を持っているそのロボット・ブレード(robot blade)を、開口部924を
通って、RTAチャンバへと伸ばす。ローディング・ステーション移送ロボット
228のロボット・ブレードは、前記基板を、ヒータ・プレート904の上のR
TAチャンバに配置し、および基板支持ピン906は、基板をロボット・ブレー
ドの上に持ち上げるために、上方へと伸びる。ロボット・ブレードは、RTAチ
ャンバから引き込まれ、スリット・バルブ922は開口部を閉じる。基板支持ピ
ン906は、ヒータ・プレート904から所望の距離だけ、前記基板を下げるた
めに引き込まれる。任意で、基板支持ピン906は、基板がヒータ・プレートに
直接接触するように配置するために、完全に引き込まれてもよい。
To transfer the substrate to the RTA chamber 211, the slit valve 922 is opened and the loading station transfer robot 228 causes its robot blade with the substrate placed therein to Extend through the opening 924 and into the RTA chamber. The robot blade of the loading station transfer robot 228 moves the substrate R onto the heater plate 904.
Placed in the TA chamber, and substrate support pins 906 extend upward to lift the substrate onto the robot blade. The robot blade is withdrawn from the RTA chamber and the slit valve 922 closes the opening. The substrate support pins 906 are retracted to lower the substrate a desired distance from the heater plate 904. Optionally, the substrate support pins 906 may be fully retracted to place the substrate in direct contact with the heater plate.

【0131】 好ましくは、ガス流入口936は、アニール加工処理中に、RTAチャンバ2
11へ、選択されたガスが流れ込むようにするために、エンクロージャ902の
側壁910を通して配置される。ガス流入口936は、RTAチャンバ211へ
のガスの流れを制御するためのバルブ940を通してガス・ソース(gas source
)938に接続される。ガス流出口942は、好ましくは、RTAチャンバにお
けるガスを排出するために、エンクロージャ902の側壁910の低位部分に配
置され、および好ましくはチャンバの外側からの大気のバックストリーム(back
streaming)を防ぐために、リリーフ/チェック・バルブ(relief/check valve
)944に接続される。任意で、ガス流出口942は、アニール加工中、所望の
真空レベルに、RTAチャンバを排気するために、真空ポンプ(図示されていな
い)に接続される。
[0131] Preferably, the gas inlet 936 is configured to allow the RTA chamber 2 to be treated during the annealing process.
11 is positioned through side wall 910 of enclosure 902 to allow the selected gas to flow into it. The gas inlet 936 is a gas source through a valve 940 to control the flow of gas into the RTA chamber 211.
) 938. The gas outlet 942 is preferably located in the lower portion of the side wall 910 of the enclosure 902 for venting gas in the RTA chamber, and preferably back to the atmosphere from outside the chamber.
Relief / check valve to prevent streaming
) 944. Optionally, the gas outlet 942 is connected to a vacuum pump (not shown) to evacuate the RTA chamber to the desired vacuum level during the annealing process.

【0132】 本発明に従って、基板は、電気めっきセルにおいて電気めっきされ、SRDス
テーションにおいて清浄された後、RTAチャンバ211においてアニールされ
る。好ましくは、RTAチャンバ211は、およそ大気圧に維持され、RTAチ
ャンバ211内の酸素含有量は、アニール加工処理中は、およそ100ppm以
下に制御される。好ましくは、RTAチャンバ211内の周辺環境は、窒素(N 2 )または窒素(N2)化合物および約4%以下の水素(H2)を含み、RTAチ
ャンバ211への周辺ガスの流れは、酸素含有量を100ppm以下に制御する
ために、20リットル/分(20 liters/min)以上に維持される。電気めっきさ
れた基板は、好ましくは、約30秒から30分間、約摂氏200度から約摂氏4
50度の間の温度で、より好ましくは、約1分から5分間、約摂氏250度から
約摂氏400度の温度でアニールされる。急速熱アニール処理は、典型的には、
少なくとも摂氏50度毎秒の温度上昇を必要とする。アニール加工中、基板のた
めに必要とされる速度の温度上昇を供給するために、ヒータ・プレートは、好ま
しくは、約摂氏350度から約摂氏450度の間に維持され、および基板は、好
ましくは、アニール加工処理中、ヒータ・プレートから約0mm(すなわち、ヒ
ータ・プレートに接している)から約20mmの間で配置される。好ましくは、
制御システム222は、RTAチャンバにおける所望の周辺環境およびヒータ・
プレートの温度を維持することを含む、RTAチャンバ211のオペレーション
を制御する。
[0132]   In accordance with the present invention, the substrate is electroplated in an electroplating cell and the SRD plate is
And then annealed in the RTA chamber 211.
It Preferably, the RTA chamber 211 is maintained at about atmospheric pressure and the RTA chamber
The oxygen content in the chamber 211 is about 100 ppm or less during the annealing process.
Controlled under. Preferably, the ambient environment within the RTA chamber 211 is nitrogen (N 2 2 ) Or nitrogen (N2) Compound and hydrogen (H2) Is included, RTA
The flow of ambient gas to the chamber 211 controls the oxygen content below 100 ppm.
Therefore, it is maintained above 20 liters / min. Electroplated
The deposited substrate is preferably about 30 seconds to 30 minutes, about 200 degrees Celsius to about 4 degrees Celsius.
At temperatures between 50 degrees, more preferably from about 1 to 5 minutes, from about 250 degrees Celsius
Anneal at a temperature of about 400 degrees Celsius. The rapid thermal anneal process is typically
Requires a temperature rise of at least 50 degrees Celsius per second. During annealing, the substrate
A heater plate is preferred to provide the required rate of temperature rise for
Preferably, the temperature is maintained between about 350 degrees Celsius and about 450 degrees Celsius, and the substrate is
More preferably, it is about 0 mm (ie,
Contacting the data plate) to about 20 mm. Preferably,
The control system 222 controls the desired ambient environment and heaters in the RTA chamber.
Operation of RTA chamber 211, including maintaining plate temperature
To control.

【0133】 アニール加工処理が完了した後、基板支持ピン906は、RTAチャンバ21
1からの移送のための位置に、前記基板を持ち上げる。スリット・バルブ922
が開き、ローディング・ステーション移送ロボット228のロボット・ブレード
は、RTAチャンバの中へと伸び、前記基板の下に配置される。基板支持ピン9
06は、前記基板をロボット・ブレードへと下げるために引き込まれ、ロボット
・ブレードは、RTAチャンバから引き込まれる。ローディング・ステーション
移送ロボット228は、電気めっき処理システムからの除去のため、処理された
基板をカセット(cassette)232の中へと移送する(図2および3参照)。
After the annealing process is completed, the substrate support pins 906 are removed from the RTA chamber 21.
Lift the substrate into position for transfer from 1. Slit valve 922
The robot blade of the loading station transfer robot 228 extends into the RTA chamber and is positioned below the substrate. Board support pin 9
06 is retracted to lower the substrate to the robot blade, which is retracted from the RTA chamber. The loading station transfer robot 228 transfers the processed substrates into a cassette 232 for removal from the electroplating processing system (see FIGS. 2 and 3).

【0134】 図2に戻って参照すると、電気めっきシステム・プラットフォーム200は、
前記プラットフォームの各構成要素の機能を制御する制御システム222を含む
。好ましくは、制御システム222は、メインフレーム214の上に搭載され、
およびプログラム可能マイクロプロセッサを具備する。プログラム可能マイクロ
プロセッサは、典型的には、電気めっきシステム・プラットフォーム200のす
べての構成要素を制御するために、特に設計されたソフトウェアを使用してプロ
グラムされる。制御システム222は、前記システムの構成要素へ電力も供給し
、およびオペレータが、電気めっきシステム・プラットフォーム200を監視し
および操作することができるようにする制御パネル223を含む。制御パネル2
23は、図2に記載のとおり、ケーブルを通して制御システム222に接続され
、オペレータに簡単なアクセスを供給する、スタンドアロン・モジュールである
。通常は、制御システム222は、ローディング・ステーション210、RTA
チャンバ211、SRDステーション212、メインフレーム214および処理
ステーション218のオペレーションを調整する。さらに、制御システム222
は、電気めっき処理のための電解液を供給するために、電解液補充システム22
0のコントローラと調整される。
Referring back to FIG. 2, the electroplating system platform 200 is
It includes a control system 222 that controls the function of each component of the platform. Preferably, the control system 222 is mounted on the mainframe 214,
And a programmable microprocessor. The programmable microprocessor is typically programmed using software specifically designed to control all components of the electroplating system platform 200. The control system 222 also includes power to the components of the system and includes a control panel 223 that allows an operator to monitor and operate the electroplating system platform 200. Control panel 2
23 is a stand-alone module that connects to the control system 222 through a cable, as described in FIG. 2, and provides easy access to the operator. Typically, the control system 222 will include the loading station 210, RTA
It coordinates the operation of chamber 211, SRD station 212, mainframe 214 and processing station 218. Further, the control system 222
Includes an electrolyte replenishment system 22 for supplying the electrolyte for the electroplating process.
Coordinated with 0 controllers.

【0135】 以下の記述は、図2に記載されたとおり、電気めっきシステム・プラットフォ
ーム200を通した、典型的なウェーハ電気めっき処理シーケンスの記述である
。後述の処理シーケンスは、本発明に従って、電気化学堆積システムを利用して
実行されうる、様々な他の処理シーケンスまたは組み合わせの例示である。複数
のウェーハを含むウェーハ・カセットは、電気めっきシステム・プラットフォー
ム200のローディング・ステーション210におけるウェーハ・カセット受け
取り領域224へとロードされる。ローディング・ステーション移送ロボット2
28は、ウェーハ・カセットにおけるウェーハ・スロット(wafer slot)からウ
ェーハを取り上げ、前記ウェーハをウェーハ・オリエンタ(wafer orientor)2
30に配置する。ウェーハ・オリエンタ230は、前記システムを通した処理の
ための所望の位置に、前記ウェーハを決定しおよび向ける。ローディング・ステ
ーション移送ロボット228はそれから、位置付けされたウェーハをウェーハ・
オリエンタ230から移送し、前記ウェーハを、SRDステーション212にお
けるウェーハ通過カセット238におけるウェーハ・スロットの一つに配置する
。メインフレーム移送ロボット242は、前記ウェーハを、ウェーハ通過カセッ
ト238から取り上げ、および前記ウェーハをフリッパ・ロボット・エンド・イ
フェクタ2404(flipper robot end effector)2404に固定する。メイン
フレーム移送ロボット242は、前記ウェーハをEDPセル3010へ移送し、
シード層修復処理(seed layer repair process)は、無電解堆積を利用して実
行される。
The following description is a description of a typical wafer electroplating process sequence through the electroplating system platform 200 as described in FIG. The process sequences described below are exemplary of various other process sequences or combinations that may be performed utilizing an electrochemical deposition system in accordance with the present invention. A wafer cassette containing a plurality of wafers is loaded into a wafer cassette receiving area 224 at loading station 210 of electroplating system platform 200. Loading station transfer robot 2
28 picks up the wafer from the wafer slot in the wafer cassette and picks up the wafer from the wafer orientor 2.
Place at 30. Wafer orienter 230 determines and directs the wafer to a desired location for processing through the system. The loading station transfer robot 228 then transfers the positioned wafer to the wafer.
Transferred from the orienter 230, the wafer is placed in one of the wafer slots in the wafer pass cassette 238 at the SRD station 212. The mainframe transfer robot 242 picks up the wafer from the wafer passage cassette 238 and secures the wafer to a flipper robot end effector 2404. The mainframe transfer robot 242 transfers the wafer to the EDP cell 3010,
The seed layer repair process is performed utilizing electroless deposition.

【0136】 シード層修復処理の後、メインフレーム移送ロボットは、電気めっき処理のた
めに、前記ウェーハを処理セル240に移送する。フリッパ・ロボット・エンド
・イフェクタ2404は、ウェーハの表面が下になるように、ウェーハ・ホルダ
・アセンブリ450において回転させ、配置する。前記ウェーハは、ウェーハ・
ホルダ464の下に配置されるが、カソード接触環466の上に配置される。フ
リッパ・ロボット・エンド・イフェクタ2404はそれから、ウェーハをカソー
ド接触環466へと配置するために、前記ウェーハを解放する。ウェーハ・ホル
ダ464は、前記ウェーハに向かって動き、真空チャック(vacuum chuck)は、
前記ウェーハをウェーハ・ホルダ464に固定する。ウェーハ・ホルダ・アセン
ブリ450上にあるブラダ・アセンブリ470は、ウェーハめっき表面とカソー
ド接触環466との間に電気的接触を確保するために、ウェーハの裏面に対して
圧力をかける。
After the seed layer repairing process, the mainframe transfer robot transfers the wafer to the processing cell 240 for the electroplating process. The flipper robot end effector 2404 is rotated and positioned in the wafer holder assembly 450 so that the surface of the wafer is facing down. The wafer is a wafer
It is located below the holder 464, but above the cathode contact ring 466. The flipper robot end effector 2404 then releases the wafer for placement on the cathode contact ring 466. The wafer holder 464 moves towards the wafer and the vacuum chuck
The wafer is fixed to the wafer holder 464. A bladder assembly 470 on the wafer holder assembly 450 applies pressure to the backside of the wafer to ensure electrical contact between the wafer plating surface and the cathode contact ring 466.

【0137】 ヘッド・アセンブリ452は、処理キット420の上の処理位置へと下げられ
る。この点において、ウェーハは、堰478の上面(upper plane)の下にあり
、処理キット420に含まれる電解液と接触する。電源は、電気めっき処理を可
能にするために、電力(すなわち、電圧および電流)をカソードおよびアノード
に供給するように稼動する。電解液は、典型的には、電気めっき処理中、処理キ
ットへと継続的に汲み込まれる。カソードおよびアノードに供給される電力、お
よび電解液の流れは、所望の電気めっき結果を達成するために、制御システム2
22によって制御される。好ましくは、ヘッド・アセンブリは、ヘッド・アセン
ブリが下がると、また電気めっき処理中も回転する。
The head assembly 452 is lowered to the processing position on the processing kit 420. At this point, the wafer is below the upper plane of the weir 478 and is in contact with the electrolyte contained in the processing kit 420. The power supply operates to provide electrical power (ie, voltage and current) to the cathode and anode to enable the electroplating process. The electrolyte is typically continuously pumped into the processing kit during the electroplating process. The power supplied to the cathode and anode, and the flow of electrolyte, are controlled by the control system 2 to achieve the desired electroplating results.
Controlled by 22. Preferably, the head assembly rotates as the head assembly is lowered and during the electroplating process.

【0138】 電気めっき処理が完了した後、ヘッド・アセンブリ410は、ウェーハ・ホル
ダ・アセンブリを持ち上げ、前記ウェーハを電解液から除去する。好ましくは、
ヘッド・アセンブリは、ウェーハ・ホルダ・アセンブリからの残余電解液の除去
を促進するために、一定時間、回転する。真空チャックおよびウェーハ・ホルダ
のブラダ・アセンブリは、ウェーハ・ホルダからウェーハを解放し、およびウェ
ーハ・ホルダは、フリッパ・ロボット・エンド・イフェクタ2404が、カソー
ド接触環から処理されたウェーハを取り上げることができるようにするために、
持ち上げられる。フリッパ・ロボット・エンド・イフェクタ2404は、カソー
ド接触環における処理されたウェーハの裏面の上の位置に動かされ、およびフリ
ッパ・ロボット・エンド・イフェクタ上の真空吸入グリッパ(vacuum suction g
ripper)を使用して、前記ウェーハを取り上げる。メインフレーム移送ロボット
は、処理セル240から、ウェーハとともにフリッパ・ロボット・エンド・イフ
ェクタを引き込み、フリッパ・ロボット・エンド・イフェクタは、表面が下を向
いた位置から、表面を上に向けた位置へと、前記ウェーハをめくる。
After the electroplating process is complete, the head assembly 410 lifts the wafer holder assembly to remove the wafer from the electrolyte. Preferably,
The head assembly rotates for a period of time to facilitate removal of residual electrolyte from the wafer holder assembly. The vacuum chuck and wafer holder bladder assembly releases the wafer from the wafer holder, and the wafer holder allows the flipper robot end effector 2404 to pick up the processed wafer from the cathode contact ring. In order to
Can be lifted. The flipper robot end effector 2404 is moved to a position above the backside of the processed wafer in the cathode contact ring, and a vacuum suction gripper on the flipper robot end effector.
ripper) is used to pick up the wafer. The mainframe transfer robot retracts the flipper robot end effector with the wafer from the processing cell 240, and the flipper robot end effector moves from a surface-down position to a surface-up position. Flip the wafer.

【0139】 前記ウェーハは、EBR/SRDモジュール2200へと移送される。EBR
/SRDウェーハ支持は前記ウェーハを持ち上げ、およびメインフレーム移送ロ
ボットは、EBR/SRDモジュール2200から引き込まれる。ウェーハは、
EBR/SRDセルにおける真空ウェーハ・ホルダへと配置され、詳細に上述さ
れたとおり、ウェーハのエッジ部分での過剰な堆積を除去するために、エッジ・
ビード除去処理(edge bead removal process)が実行される。ウェーハは、詳
細に上述されたとおり、脱イオン水、または脱イオン水と清浄流体との組み合わ
せを使用して、EBR/SRDモジュールにおいて、スピン・リンス・ドライ処
理(spin-rinse-dry process)を使用して清浄される。ウェーハは、EBR/S
RDモジュールからの移送のために配置される。
The wafer is transferred to the EBR / SRD module 2200. EBR
The / SRD wafer support lifts the wafer and the mainframe transfer robot is retracted from the EBR / SRD module 2200. Wafers
Placed on a vacuum wafer holder in an EBR / SRD cell, to remove excess deposition at the edge of the wafer, as described in detail above, the edge
An edge bead removal process is executed. The wafers were subjected to spin-rinse-dry process in an EBR / SRD module using deionized water or a combination of deionized water and clean fluid as described in detail above. Be cleaned using. Wafer is EBR / S
Arranged for transfer from the RD module.

【0140】 ローディング・ステーション移送ロボット228は、EBR/SRDモジュー
ル236からウェーハを取り上げ、堆積した物質の属性を向上させるための、ア
ニール加工処理のために、RTAチャンバ211へと処理されたウェーハを移送
する。アニールされたウェーハは、ローディング・ステーション・ロボット22
8によってRTAチャンバ211から移送され、電気めっきシステムからの除去
のために、ウェーハ・カセットへと戻され、配置される。上述されたシーケンス
は、本発明の電気めっきシステム・プラットフォーム200において、実質的に
同時に、複数のウェーハに関して実行されうる。また、本発明に従った電気めっ
きシステムは、マルチスタック(multi-stack)のウェーハ処理を供給するよう
に適応しうる。
The loading station transfer robot 228 picks the wafers from the EBR / SRD module 236 and transfers the processed wafers to the RTA chamber 211 for an annealing process to improve the properties of the deposited material. To do. The annealed wafer is loaded by the loading station robot 22.
8 from the RTA chamber 211 and back into the wafer cassette and placement for removal from the electroplating system. The sequence described above may be performed on multiple wafers substantially simultaneously at the electroplating system platform 200 of the present invention. Also, the electroplating system according to the present invention may be adapted to provide multi-stack wafer processing.

【0141】 前述の内容は、本発明の好ましい実施形態のためのものである一方で、本発明
の他の、およびさらなる実施形態が、その基本的な範囲から逸脱することなく考
案されてもよい。
While the above description is for the preferred embodiments of the invention, other and further embodiments of the invention may be devised without departing from its basic scope. .

【図面の簡単な説明】[Brief description of drawings]

【図1】 コンタクトピンが組み込まれた単純化された代表的なファウンテン形めっき装
置10の断面図である。
FIG. 1 is a cross-sectional view of a simplified representative fountain-type plating apparatus 10 incorporating contact pins.

【図1A】 ウェーハ30のエッジの断面図であり、過剰堆積部36がシード層34のエッ
ジ32のところに生じている状態を示す図である。
FIG. 1A is a cross-sectional view of an edge of a wafer 30, showing an overdeposited portion 36 at an edge 32 of a seed layer 34.

【図2】 本発明の電気めっき装置プラットホーム200の斜視図である。[Fig. 2]   1 is a perspective view of an electroplating apparatus platform 200 of the present invention.

【図3】 本発明の電気めっき装置プラットホーム200の斜視図である。[Figure 3]   1 is a perspective view of an electroplating apparatus platform 200 of the present invention.

【図4】 リンス及び溶解流体入口を備えた本発明のスピン−リンス−ドライ(SRD)
モジュールの斜視図である。
FIG. 4 Spin-rinse-dry (SRD) of the present invention with rinse and dissolution fluid inlets.
It is a perspective view of a module.

【図5】 図4のスピン−リンス−ドライ(SRD)モジュールの側面断面図であり、基
板を流体入口相互間で垂直方向に配置された状態において処理位置で示す図であ
る。
FIG. 5 is a side cross-sectional view of the spin-rinse-dry (SRD) module of FIG. 4, showing the substrate in a processing position with the substrates arranged vertically between fluid inlets.

【図6】 本発明の電気めっき処理セル400の断面図である。[Figure 6]   It is sectional drawing of the electroplating cell 400 of this invention.

【図7】 陰極接点リングの部分断面斜視図である。[Figure 7]   It is a partial cross-sectional perspective view of a cathode contact ring.

【図8】 陰極接点リングの断面斜視図であり、接触パッドの変形例を示す図である。[Figure 8]   It is a sectional perspective view of a cathode contact ring, and is a figure showing a modification of a contact pad.

【図9】 陰極接点リングの断面斜視図であり、接触パッドの変形例及び絶縁ガスケット
を示す図である。
FIG. 9 is a cross-sectional perspective view of a cathode contact ring, showing a modified example of the contact pad and an insulating gasket.

【図10】 陰極接点リングの断面斜視図であり、絶縁ガスケットを示す図である。[Figure 10]   It is a cross-sectional perspective view of a cathode contact ring and is a view showing an insulating gasket.

【図11】 各コンタクトピン経由の電気めっき装置の電気回路の略図である。FIG. 11   3 is a schematic diagram of an electric circuit of an electroplating apparatus via each contact pin.

【図12】 本発明のウェーハ組立体450の断面図である。[Fig. 12]   4 is a cross-sectional view of a wafer assembly 450 of the present invention.

【図12A】 図12のブラダ領域の拡大断面図である。FIG. 12A   It is an expanded sectional view of the bladder area | region of FIG.

【図13】 ウェーハホルダプレートの部分断面図である。[Fig. 13]   It is a fragmentary sectional view of a wafer holder plate.

【図14】 マニホルドの部分断面図である。FIG. 14   It is a fragmentary sectional view of a manifold.

【図15】 ブラダの部分断面図である。FIG. 15   It is a fragmentary sectional view of a bladder.

【図16】 電解液補給装置220の略図である。FIG. 16   5 is a schematic diagram of an electrolyte replenishing device 220.

【図17】 迅速熱アニールチャンバの断面図である。FIG. 17   FIG. 6 is a cross-sectional view of a rapid thermal anneal chamber.

【図18】 陰極コンタクトリングの変形例を示す斜視図である。FIG. 18   It is a perspective view which shows the modification of a cathode contact ring.

【図19】 ウェーハホルダ組立体の変形例の部分断面図である。FIG. 19   It is a fragmentary sectional view of the modification of a wafer holder assembly.

【図20】 封入陽極の第1の実施形態の断面図である。FIG. 20   1 is a cross-sectional view of a first embodiment of an encapsulated anode.

【図21】 封入陽極の第2の実施形態の断面図である。FIG. 21   FIG. 6 is a cross-sectional view of a second embodiment of an encapsulated anode.

【図22】 封入陽極の第3の実施形態の断面図である。FIG. 22   FIG. 6 is a cross-sectional view of a third embodiment of an encapsulated anode.

【図23】 封入陽極の第4の実施形態の断面図である。FIG. 23   FIG. 9 is a cross-sectional view of a fourth embodiment of an encapsulated anode.

【図24】 無電気めっき法(EDP)セルの断面図である。FIG. 24   It is sectional drawing of an electroless plating (EDP) cell.

【図25】 回転自在なヘッド組立体2410を備えた処理ヘッド組立体の変形実施形態を
示す図である。
FIG. 25 is a diagram illustrating a modified embodiment of a processing head assembly including a rotatable head assembly 2410.

【図26A】 ゲッターモジュールの一実施形態の断面図である。FIG. 26A   FIG. 6 is a cross-sectional view of one embodiment of a getter module.

【図26B】 ゲッターモジュールの別の実施形態の断面図である。FIG. 26B   FIG. 9 is a cross-sectional view of another embodiment of the getter module.

【図27】 エッジクリーン兼スピン−リンス−ドライ(EBR/SRD)モジュールの断
面図であり、基板を流体入口相互間で垂直方向に配置された状態において処理位
置で示す図である。
FIG. 27 is a cross-sectional view of an edge clean and spin-rinse-dry (EBR / SRD) module, showing a substrate in a processing position with the substrates arranged vertically between fluid inlets.

【図28】 EBR/SRDモジュールの平面図であり、エッジクリーンのためのノズル位
置の一実施形態を示す図である。
FIG. 28 is a plan view of an EBR / SRD module showing one embodiment of nozzle positions for edge cleaning.

【図29】 処理中のウェーハ2122に関連して設けられたノズル2150の側面図であ
る。
FIG. 29 is a side view of a nozzle 2150 provided in association with a wafer 2122 being processed.

───────────────────────────────────────────────────── フロントページの続き (31)優先権主張番号 09/289,074 (32)優先日 平成11年4月8日(1999.4.8) (33)優先権主張国 米国(US) (31)優先権主張番号 09/350,210 (32)優先日 平成11年7月9日(1999.7.9) (33)優先権主張国 米国(US) (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),CN,JP,K R (72)発明者 オルガド ドナルド ジェイ アメリカ合衆国 カリフォルニア州 94301 パロ アルト メルヴィル アヴ ェニュー 831 (72)発明者 モラド ラットソン アメリカ合衆国 カリフォルニア州 94306 パロ アルト ソラナ ドライヴ 4157 (72)発明者 ヘイ ピーター アメリカ合衆国 カリフォルニア州 94087 サニーヴェイル ロッビア ドラ イヴ 1087 (72)発明者 デノーム マーク アメリカ合衆国 カリフォルニア州 95134 サン ホセ #8 ガレリア ド ライヴ 418 (72)発明者 シュガーマン マイケル アメリカ合衆国 カリフォルニア州 94117 サン フランシスコ ベルヴェデ ール ストリート 134 (72)発明者 ロイド マーク アメリカ合衆国 カリフォルニア州 94555 フリーモント カシオ サークル 33852 (72)発明者 スティーヴンス ジョー アメリカ合衆国 カリフォルニア州 サン ホセ エニング アヴェニュー 5653 (72)発明者 マロール ダン アメリカ合衆国 カリフォルニア州 95171 サン ホセ ハーロン アヴェニ ュー 193 (72)発明者 シン ホー セオン アメリカ合衆国 カリフォルニア州 94040 マウンテン ヴュー ベーコン ストリート 115 (72)発明者 ラヴィノヴィッチ ユージーン アメリカ合衆国 カリフォルニア州 94539 フリーモント コルテ 41952 (72)発明者 チェウン ロビン アメリカ合衆国 カリフォルニア州 95014 クパーティノ クリッチ プレイ ス 21428 (72)発明者 シンハ アショック ケイ アメリカ合衆国 カリフォルニア州 94304 パロ アルト ハッバート ドラ イヴ 4176 (72)発明者 テプマン アヴィ アメリカ合衆国 カリフォルニア州 95014 クパーティノ レインボウ ドラ イヴ 21610 (72)発明者 カール ダン アメリカ合衆国 カリフォルニア州 94566 プレザントン ポメジア コート 2161 (72)発明者 バークマイアー ジョージ アメリカ合衆国 カリフォルニア州 95014 クパーティノ カントリー スプ リング コート 11553 (72)発明者 シェン ベン アメリカ合衆国 カリフォルニア州 95131 サン ホセ レイクシャー サー クル 1361 Fターム(参考) 4K024 AA09 AB01 BA11 BB12 CB01 CB02 CB03 CB04 CB11 CB26 4M104 BB04 DD52 ─────────────────────────────────────────────────── ─── Continued front page    (31) Priority claim number 09 / 289,074 (32) Priority date April 8, 1999 (April 4, 1999) (33) Priority claiming countries United States (US) (31) Priority claim number 09/350, 210 (32) Priority date July 9, 1999 (July 9, 1999) (33) Priority claiming countries United States (US) (81) Designated countries EP (AT, BE, CH, CY, DE, DK, ES, FI, FR, GB, GR, IE, I T, LU, MC, NL, PT, SE), CN, JP, K R (72) Inventor Olga Donald Jay             United States California             94301 Palo Alto Melville Av             Menu 831 (72) Inventor Morad Ratson             United States California             94306 Palo Alto Solana Drive               4157 (72) Inventor Hay Peter             United States California             94087 Sunnyvale Robbie Dora             Eve 1087 (72) Inventor Denome Mark             United States California             95134 San Jose # 8 Galleria             Live 418 (72) Inventor Sugarman Michael             United States California             94117 San Francisco Belvede             Ru Street 134 (72) Inventor Lloyd Mark             United States California             94555 Fremont Casio Circle               33852 (72) Inventor Stevens Joe             United States of America California Sun               Jose Ening Avenue 5653 (72) Inventor Marol Dan             United States California             95171 San Jose Haron Aveni             193 (72) Inventor Shin Haoseon             United States California             94040 Mountain View Bacon             Street 115 (72) Inventor Ravinovich Eugene             United States California             94539 Fremont Corte 41952 (72) Inventor Chaeun Robin             United States California             95014 Kupartino Clitch Play             Space 21428 (72) Inventor Singha Shock Kay             United States California             94304 Palo Alto Hubbert Dora             Eve 4176 (72) Inventor Tepman Avi             United States California             95014 Cupertino Rainbow Dora             Eve 21610 (72) Inventor Karl Dan             United States California             94566 Pleasanton Pomezia Court               2161 (72) Inventor Burkmeir George             United States California             95014 Cupertino Country Sp             Ring coat 11553 (72) Inventor Shen Ben             United States California             95131 San Jose Lakeshire Sir             Curu 1361 F-term (reference) 4K024 AA09 AB01 BA11 BB12 CB01                       CB02 CB03 CB04 CB11 CB26                 4M104 BB04 DD52

Claims (63)

【特許請求の範囲】[Claims] 【請求項1】 a) メインフレーム・ウェーハ移送ロボットを有するメインフレームと; b) 前記メインフレームに接続するように配置されたローディング・ステ ーションと; c) 前記メインフレームと接続するように配置された一つ以上の処理セル と;および d) 前記一つ以上の処理セルに流体で接続された電解液供給と を具備する、電気化学堆積システム。1.   a) a mainframe having a mainframe / wafer transfer robot;   b) A loading stage arranged to connect to the mainframe.         And;   c) one or more processing cells arranged to connect to the mainframe         And; and   d) an electrolyte supply fluidly connected to the one or more processing cells; An electrochemical deposition system comprising: 【請求項2】 e) 電気化学堆積処理を制御するためのシステム・コントローラ をさらに具備することを特徴とする、請求項1に記載のシステム。2.   e) System controller for controlling the electrochemical deposition process The system of claim 1, further comprising: 【請求項3】 f) 前記ローディング・ステーションに隣接した前記メインフレーム上に 配置された、エッジ・ビード除去/スピン・リンス・ドライ(EBR /SRD)ステーション をさらに具備することを特徴とする、請求項2に記載のシステム。3.   f) on the mainframe adjacent to the loading station         Placed edge bead removal / spin rinse dry (EBR         / SRD) station The system of claim 2, further comprising: 【請求項4】 g) 前記ローディング・ステーションと接続するように配置された熱アニ ール・チャンバ をさらに具備することを特徴とする、請求項3に記載のシステム。4.   g) A thermal annealer arranged to connect with the loading station.         Chamber The system of claim 3, further comprising: 【請求項5】 前記ローディング・ステーションは: 1) 一つ以上のウェーハ・カセット受け取り領域と; 2) 前記ローディング・ステーション内でウェーハを移送するための、一 つ以上のローディング・ステーション・ウェーハ移送ロボットと;お よび 3) ウェーハ・オリエンタと を具備することを特徴とする、請求項1に記載のシステム。5.   The loading station is:   1) one or more wafer cassette receiving areas;   2) One for transferring wafers in the loading station.         One or more loading station wafer transfer robots;         And   3) With wafer orientor The system of claim 1, comprising: 【請求項6】 前記メインフレーム・ウェーハ移送ロボットは、複数の個別に操作可能なロボ
ット・アームを具備することを特徴とする、請求項1に記載のシステム。
6. The system of claim 1, wherein the mainframe wafer transfer robot comprises a plurality of individually manipulatable robot arms.
【請求項7】 各ロボット・アームは、真空グリッパ・ロボット・ブレードを有するフリッパ
・ロボットを具備するエンド・イフェクタを含むことを特徴とする、請求項6に
記載のシステム。
7. The system of claim 6, wherein each robot arm includes an end effector with a flipper robot having a vacuum gripper robot blade.
【請求項8】 前記処理セルは: 1) カソードと、前記カソードの上に配置されたウェーハ・ホルダとを具 備するヘッド・アセンブリと; 2) 堰および電解液流入口を有する電解液コンテナと、前記電解液コンテ ナに配置されたアノードとを具備する処理キットと; 3) 前記堰の下に配置された過剰電解液受け(electrolyte overflow catch
)と;および 4) 前記カソードおよび前記アノードに接続された電源と を具備することを特徴とする、請求項1に記載のシステム。
8. The processing cell comprises: 1) a head assembly comprising a cathode and a wafer holder disposed on the cathode; 2) an electrolyte container having a weir and an electrolyte inlet. A treatment kit comprising an anode arranged in the electrolyte container; and 3) an excess electrolyte catch located under the weir.
And; 4) a system according to claim 1, comprising a power supply connected to the cathode and the anode.
【請求項9】 前記ヘッド・アセンブリは、前記ヘッド・アセンブリを、前記処理キットから
離すように回転させるよう適応した回転アームに取り付けられることを特徴とす
る、請求項8に記載のシステム。
9. The system of claim 8, wherein the head assembly is mounted on a rotating arm adapted to rotate the head assembly away from the process kit.
【請求項10】 前記ヘッド・アセンブリは、前記回転アームから伸びるカンチレバー・アーム
に取り付けられることを特徴とする、請求項9に記載のシステム。
10. The system of claim 9, wherein the head assembly is attached to a cantilever arm extending from the rotating arm.
【請求項11】 前記処理キットは、前記メインフレームに、取り外すことができるように配置
されることを特徴とする、請求項8に記載のシステム。
11. The system of claim 8, wherein the processing kit is removably disposed on the mainframe.
【請求項12】 前記電解液供給は、前記メインフレームと接続するように配置された電解液補
充システムを具備し: (1) 電解液供給タンクと; (2) 前記電解液供給タンクと通じる一つ以上の化学的アナライザを具備 する化学的アナライザ・モジュールと; (3) 前記電解液供給タンクと通じる化学供給モジュールと;および (4) 前記電解液補充ステーションを操作するための一つ以上のコントロ ーラと を具備する、請求項1に記載のシステム。
12. The electrolytic solution supply comprises an electrolytic solution replenishment system arranged to connect to the main frame: (1) an electrolytic solution supply tank; (2) one communicating with the electrolytic solution supply tank. A chemical analyzer module comprising one or more chemical analyzers; (3) a chemical supply module in communication with the electrolyte supply tank; and (4) one or more controls for operating the electrolyte replenishment station. The system of claim 1, further comprising:
【請求項13】 前記化学供給モジュールは、個別の適合コネクタを有する、色でコード化され
たモジュール・タンクを具備する、一つ以上のソース・タンクを具備することを
特徴とする、請求項12に記載のシステム。
13. The chemical supply module comprises one or more source tanks, including color-coded module tanks with individual mating connectors. The system described in.
【請求項14】 前記電解液補充システムは: (5) 前記電解液供給タンクに接続された一つ以上のフィルタを含むろ過 モジュール をさらに具備することを特徴とする、請求項12に記載のシステム。14.   The electrolyte replenishment system is:   (5) Filtration including one or more filters connected to the electrolyte supply tank           module The system of claim 12, further comprising: 【請求項15】 前記一つ以上の化学アナライザは、有機化学アナライザおよび無機化学アナラ
イザを具備することを特徴とする、請求項12に記載のシステム。
15. The system of claim 12, wherein the one or more chemistry analyzers comprises an organic chemistry analyzer and an inorganic chemistry analyzer.
【請求項16】 前記有機化学アナライザは、サイクリック・ボルタメトリック・ストリッパを
具備することを特徴とする、請求項15に記載のシステム。
16. The system of claim 15, wherein the organic chemistry analyzer comprises a cyclic voltammetric stripper.
【請求項17】 前記無機化学アナライザは、自動滴定アナライザを具備することを特徴とする
、請求項15に記載のシステム。
17. The system of claim 15, wherein the inorganic chemistry analyzer comprises an automatic titration analyzer.
【請求項18】 前記一つ以上の化学アナライザは、一つ以上の標準および一つ以上の基準体系
を含むことを特徴とする、請求項12に記載のシステム。
18. The system of claim 12, wherein the one or more chemical analyzers include one or more standards and one or more reference systems.
【請求項19】 e) 前記電解液供給と前記処理セルとの間に配置された一つ以上のガス抜 き装置 をさらに具備することを特徴とする、請求項1に記載のシステム。19.   e) one or more vents located between the electrolyte supply and the processing cell.         Device The system of claim 1, further comprising: 【請求項20】 g) 前記メインフレームに配置されたシード層修復ステーション をさらに具備することを特徴とする、請求項1に記載のシステム。20.   g) Seed layer repair station located on the mainframe The system of claim 1, further comprising: 【請求項21】 前記シード層ステーションは、無電解堆積セルを具備することを特徴とする、
請求項20に記載のシステム。
21. The seed layer station comprises an electroless deposition cell.
The system according to claim 20.
【請求項22】 前記メインフレームは、保護コーティングを有する基盤を含むことを特徴とす
る、請求項1に記載のシステム。
22. The system of claim 1, wherein the mainframe includes a base with a protective coating.
【請求項23】 前記コーティングは、エチレン・クロロ・トリ・フルオロ・エチレン(ethyle
n-chloro-tri-fluoro-ethaylene)(ECTFE)を具備することを特徴とする
、請求項22に記載のシステム。
23. The coating comprises ethylene chloro tri fluoro ethylene (ethyle).
23. System according to claim 22, characterized in that it comprises n-chloro-tri-fluoro-ethaylene (ECTFE).
【請求項24】 a) 一つ以上の電気化学処理セルと流体で通じている電解液供給タンク と;および b) 前記電解液供給タンクと通じている一つ以上の化学アナライザを具備 する化学アナライザ・モジュールと を具備する、電気化学堆積システム。24.   a) Electrolyte supply tank in fluid communication with one or more electrochemical treatment cells         And; and   b) comprises one or more chemical analyzers in communication with the electrolyte supply tank         With a chemical analyzer module An electrochemical deposition system comprising: 【請求項25】 前記一つ以上の化学アナライザに接続されたコントローラをさらに具備するこ
とを特徴とする、請求項24に記載のシステム。
25. The system of claim 24, further comprising a controller connected to the one or more chemical analyzers.
【請求項26】 前記電解液供給タンクに接続された一つ以上のフィルタを含むろ過モジュール
を、さらに具備することを特徴とする、請求項24に記載のシステム。
26. The system of claim 24, further comprising a filtration module including one or more filters connected to the electrolyte supply tank.
【請求項27】 前記一つ以上の化学アナライザは、有機化学アナライザおよび無機化学アナラ
イザを具備することを特徴とする、請求項24に記載のシステム。
27. The system of claim 24, wherein the one or more chemical analyzers comprises an organic chemical analyzer and an inorganic chemical analyzer.
【請求項28】 前記有機化学アナライザは、サイクリック・ボルタメトリック・ストリッパを
具備することを特徴とする、請求項27に記載のシステム。
28. The system of claim 27, wherein the organic chemistry analyzer comprises a cyclic voltammetric stripper.
【請求項29】 前記無機化学アナライザは、自動滴定アナライザを具備することを特徴とする
、請求項27に記載のシステム。
29. The system of claim 27, wherein the inorganic chemistry analyzer comprises an automatic titration analyzer.
【請求項30】 c) 前記電解液供給タンクと流体で通じている化学供給モジュール をさらに具備することを特徴とする、請求項24に記載のシステム。30.   c) Chemical supply module in fluid communication with the electrolyte supply tank 25. The system of claim 24, further comprising: 【請求項31】 d) 前記化学アナライザ・モジュールおよび前記化学供給モジュールに結合
された、電気化学堆積処理を操作するための制御システム をさらに具備することを特徴とする、請求項30に記載のシステム。
31. The system of claim 30, further comprising: d) a control system coupled to the chemical analyzer module and the chemical supply module for operating an electrochemical deposition process. .
【請求項32】 前記化学供給モジュールに接続されたコントローラをさらに具備することを特
徴とする、請求項30に記載のシステム。
32. The system of claim 30, further comprising a controller connected to the chemical supply module.
【請求項33】 前記化学供給モジュールおよび前記化学アナライザ・モジュールに接続された
コントローラをさらに具備することを特徴とする、請求項30に記載のシステム
33. The system of claim 30, further comprising a controller connected to the chemical supply module and the chemical analyzer module.
【請求項34】 主電解液供給タンクと通じる一つ以上の処理セルを具備する、電気化学堆積シ
ステムにおける電解液を解析するための方法であって: a) 前記電解液の少なくとも一部を、前記主電解液供給タンクから、一つ以
上の化学アナライザへ流し;および b) 前記電解液を解析する ステップを具備する前記方法。
34. A method for analyzing an electrolyte in an electrochemical deposition system comprising one or more processing cells in communication with a main electrolyte supply tank: a) at least a portion of the electrolyte Flowing from the main electrolyte supply tank to one or more chemical analyzers; and b) analyzing the electrolyte.
【請求項35】 前記電解液を解析するステップは、無機物質および有機物質の濃度を決定する
ステップを具備することを特徴とする、請求項34に記載の方法。
35. The method of claim 34, wherein the step of analyzing the electrolyte solution comprises the step of determining the concentrations of inorganic and organic substances.
【請求項36】 前記電解液を解析するステップは、自動滴定アナライザおよびサイクリック・
ボルタメトリック・ストリッパを操作するステップを具備することを特徴とする
、請求項34に記載の方法。
36. The step of analyzing the electrolyte solution comprises an automatic titration analyzer and a cyclic
35. The method of claim 34, comprising operating a voltammetric stripper.
【請求項37】 c) 一つ以上の化学物質を、一つ以上のソース・タンクから、前記主電解 液供給タンクへと流す ステップをさらに具備することを特徴とする、請求項34に記載の方法。37.   c) adding one or more chemicals from one or more source tanks to the main electrolysis         Flow to liquid supply tank 35. The method of claim 34, further comprising steps. 【請求項38】 c) 前記電解液の少なくとも一部を、一つ以上の処理セルへと流す ステップをさらに具備することを特徴とする、請求項34に記載の方法。38.   c) flowing at least a portion of the electrolyte into one or more processing cells 35. The method of claim 34, further comprising steps. 【請求項39】 プロセッサによって実行される時には、一つ以上のコントローラに: a) 電解液の少なくとも一部を、電解液供給タンクから一つ以上の化学ア ナライザへと流すステップと;および b) 前記電解液の合成を評価するデータを生成するステップと を実行させるプログラムを含む単一ベアリング媒体(a single-bearing medium
)。
39. When executed by a processor, to one or more controllers: a) flowing at least a portion of the electrolyte from the electrolyte supply tank to the one or more chemical analyzers; and b). A single-bearing medium including a program for executing data generating data for evaluating the composition of the electrolyte.
).
【請求項40】 ステップ(b)は、自動滴定アナライザおよびサイクリック・ボルタメトリッ
ク・ストリッパを操作するステップを具備することを特徴とする、請求項39に
記載の単一ベアリング媒体。
40. The single bearing medium of claim 39, wherein step (b) comprises operating an automatic titration analyzer and a cyclic voltammetric stripper.
【請求項41】 ステップ(b)は、無機物質および有機物質の濃度を決定するステップを具備
することを特徴とする、請求項39に記載の単一ベアリング媒体。
41. The single bearing medium of claim 39, wherein step (b) comprises the step of determining the concentrations of inorganic and organic materials.
【請求項42】 (c) ステップ(b)において生成されたデータに基づいて、一つ以上の 化学物質を、一つ以上のソース・タンクから前記電解液供給タンク へと流す ステップをさらに具備することを特徴とする、請求項39に記載の単一ベアリン
グ媒体。
42. Further comprising the step of: (c) flowing one or more chemicals from one or more source tanks to the electrolyte supply tank based on the data generated in step (b). 40. A single bearing medium according to claim 39, characterized in that
【請求項43】 a) 1)カソードと;および 2)前記カソードの上に配置されたウェーハ・ホルダと を具備するヘッド・アセンブリと b) 1)堰および電解液流入口を有する電解液コンテナと;および 2)前記電解液コンテナに配置されたアノードと を具備する、前記ヘッド・アセンブリの下に配置された処理キットと c) 電解液流出口を有する、前記堰の下に配置された過剰電解液受けと;お
よび d) 前記カソードおよび前記アノードに接続された電源と を具備する、金属を基板に電気化学的に堆積させるための装置。
43. A head assembly comprising: a) 1) a cathode; and 2) a wafer holder disposed on the cathode, and b) 1) an electrolyte container having a weir and an electrolyte inlet. And 2) a treatment kit located under the head assembly, comprising: an anode located in the electrolyte container; and c) an excess electrolysis located under the weir, having an electrolyte outlet. An apparatus for electrochemically depositing a metal on a substrate, comprising a liquid receiver; and d) a power source connected to the cathode and the anode.
【請求項44】 前記カソードは、カソード接触環を具備することを特徴とする、請求項43に
記載の装置。
44. The device of claim 43, wherein the cathode comprises a cathode contact ring.
【請求項45】 前記カソード接触環は、複数のウェーハ接触パッドを有するウェーハ・シーテ
ィング表面(wafer seating surface)を具備することを特徴とする、請求項4
4に記載の装置。
45. The cathode contact ring comprises a wafer seating surface having a plurality of wafer contact pads.
The device according to 4.
【請求項46】 前記カソード接触環は、親水性表面を有することを特徴とする、請求項44に
記載の装置。
46. The device according to claim 44, wherein the cathode contact ring has a hydrophilic surface.
【請求項47】 前記処理キットは、前記アノードの上の前記電解液コンテナに配置されたフィ
ルタをさらに具備することを特徴とする、請求項43に記載の装置。
47. The apparatus of claim 43, wherein the treatment kit further comprises a filter disposed in the electrolyte container above the anode.
【請求項48】 e) 1)ポンプを通して、前記電解液コンテナ上の前記電解液流入口へと接続 された主タンクと; 2)前記主タンクに接続された一つ以上のフィルタ・タンクと;および 3)前記主タンクに接続された一つ以上のソース・タンクと を具備する電解液供給 をさらに具備することを特徴とする、請求項43に記載の装置。48.   e)     1) Connect to the electrolyte inlet on the electrolyte container through a pump         The main tank that was opened;     2) one or more filter tanks connected to the main tank; and     3) One or more source tanks connected to the main tank   Electrolyte supply with 44. The device of claim 43, further comprising: 【請求項49】 前記アノードは: a) 消耗アノード・プレートと;および b) 前記消耗アノード・プレートを包囲する透過性封入メンバと を具備することを特徴とする、請求項43に記載の装置。49.   The anode is:   a) with a consumable anode plate; and   b) a permeable encapsulation member surrounding the consumable anode plate. 44. The device of claim 43, comprising: 【請求項50】 前記アノードは: c) 前記封入メンバを通して前記アノード・プレートへと伸びる複数の電気
接触メンバであって、その各々は前記電解液コンテナを通して伸び、およびそれ
に固定されている前記電気接触メンバ をさらに具備することを特徴とする、請求項49に記載の装置。
50. The anode is: c) a plurality of electrical contact members extending through the encapsulation member to the anode plate, each extending through the electrolyte container and fixed thereto. 50. The device of claim 49, further comprising a member.
【請求項51】 前記封入メンバは、親水性メンブレンを具備することを特徴とする、請求項4
9に記載の装置。
51. The encapsulation member comprises a hydrophilic membrane.
9. The device according to item 9.
【請求項52】 前記封入メンバは、それらの間に配置されたメンブレン支持環に取り付けられ
た、上部親水性メンブレンおよび底部親水性メンブレンを具備することを特徴と
する、請求項49に記載の装置。
52. The apparatus of claim 49, wherein the encapsulation member comprises a top hydrophilic membrane and a bottom hydrophilic membrane attached to a membrane support ring disposed therebetween. .
【請求項53】 前記底部親水性メンブレンは、前記封入メンバと前記アノード・プレートとの
間の間隙への、電解液の流れを容易にするように適応した開口部を含むことを特
徴とする、請求項52に記載の装置。
53. The bottom hydrophilic membrane is characterized in that it comprises an opening adapted to facilitate the flow of electrolyte into the gap between the encapsulation member and the anode plate. 53. The device of claim 52.
【請求項54】 前記アノードは: d) 前記メンブレン支持環に接続され、および前記電解液コンテナを通っ て伸びるバイパス流出口 をさらに具備することを特徴とする、請求項53に記載の装置。54.   The anode is:   d) Connected to the membrane support ring and through the electrolyte container.         Bypass outlet that extends 54. The device of claim 53, further comprising: 【請求項55】 前記アノードは: d) 前記底部親水性メンブレンを通って接続されるバイパス電解液流入口 をさらに具備することを特徴とする、請求項52に記載の装置。55.   The anode is:   d) A bypass electrolyte inlet connected through the bottom hydrophilic membrane. 53. The device of claim 52, further comprising: 【請求項56】 前記アノードは: e) 前記メンブレン支持環に接続され、および前記電解液コンテナを通っ て伸びるバイパス流出口 をさらに具備することを特徴とする、請求項55に記載の装置。56.   The anode is:   e) Connected to the membrane support ring and passing through the electrolyte container.         Bypass outlet that extends 56. The device of claim 55, further comprising: 【請求項57】 前記バイパス流入口は、フロー制御バルブを含むことを特徴とする、請求項5
5に記載の装置。
57. The bypass inlet comprises a flow control valve.
5. The device according to item 5.
【請求項58】 前記電解液流入口は、フロー制御バルブを含むことを特徴とする、請求項57
に記載の装置。
58. The electrolyte inlet port according to claim 57, further comprising a flow control valve.
The device according to.
【請求項59】 e) 前記ヘッド・アセンブリに接続され、および前記ヘッド・アセンブリ を回転させるように適応した回転アクチュエータ をさらに具備することを特徴とする、請求項43に記載の装置。59.   e) connected to said head assembly, and said head assembly         Rotary actuator adapted to rotate 44. The device of claim 43, further comprising: 【請求項60】 前記ウェーハ・ホルダは、ブラダ・アセンブリを具備することを特徴とする、
請求項43に記載の装置。
60. The wafer holder comprises a bladder assembly,
The device of claim 43.
【請求項61】 前記ブラダ・アセンブリは、中間ウェーハ・ホルダ・プレートの裏面に取り付
けられた膨張可能ブラダと、および前記中間ウェーハ・ホルダ・プレートの前面
にある環状溝に配置されたオーリングとを具備することを特徴とする、請求項6
0に記載の装置。
61. The bladder assembly includes an inflatable bladder attached to the backside of the intermediate wafer holder plate and an O-ring disposed in an annular groove on the front side of the intermediate wafer holder plate. 7. The method according to claim 6, further comprising:
0. The device according to 0.
【請求項62】 前記中間ウェーハ・ホルダ・プレートは、前記プレートを通して伸び、および
真空ポートと流体で通じるように配置された複数の穴を含むことを特徴とする、
請求項61に記載の装置。
62. The intermediate wafer holder plate includes a plurality of holes extending through the plate and arranged in fluid communication with the vacuum port.
62. The device of claim 61.
【請求項63】 前記オーリングの一つ以上の表面および前記中間ウェーハ・ホルダ・プレート
は、親水性表面を具備することを特徴とする、請求項61に記載の装置。
63. The apparatus of claim 61, wherein one or more surfaces of the O-ring and the intermediate wafer holder plate comprise hydrophilic surfaces.
JP2000585464A 1998-11-30 1999-11-29 Electrochemical deposition equipment Expired - Fee Related JP4766579B2 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US11020998P 1998-11-30 1998-11-30
US60/110,209 1998-11-30
US09/263,649 US6254760B1 (en) 1999-03-05 1999-03-05 Electro-chemical deposition system and method
US09/263,649 1999-03-05
US09/289,074 1999-04-08
US09/289,074 US6258220B1 (en) 1998-11-30 1999-04-08 Electro-chemical deposition system
US09/350,210 US6267853B1 (en) 1999-07-09 1999-07-09 Electro-chemical deposition system
US09/350,210 1999-07-09
PCT/US1999/028159 WO2000032835A2 (en) 1998-11-30 1999-11-29 Electro-chemical deposition system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009214692A Division JP2009293134A (en) 1998-11-30 2009-09-16 Electro-chemical deposition system

Publications (3)

Publication Number Publication Date
JP2003528214A true JP2003528214A (en) 2003-09-24
JP2003528214A5 JP2003528214A5 (en) 2007-01-25
JP4766579B2 JP4766579B2 (en) 2011-09-07

Family

ID=27493736

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000585464A Expired - Fee Related JP4766579B2 (en) 1998-11-30 1999-11-29 Electrochemical deposition equipment
JP2009214692A Pending JP2009293134A (en) 1998-11-30 2009-09-16 Electro-chemical deposition system

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009214692A Pending JP2009293134A (en) 1998-11-30 2009-09-16 Electro-chemical deposition system

Country Status (3)

Country Link
JP (2) JP4766579B2 (en)
TW (1) TW513751B (en)
WO (1) WO2000032835A2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068434A (en) * 1999-08-25 2001-03-16 Ebara Corp Copper plating device
JP2006070349A (en) * 2004-09-06 2006-03-16 Renesas Technology Corp Semiconductor fabrication equipment
JP2006339665A (en) * 2000-10-12 2006-12-14 Ebara Corp Apparatus for manufacturing semiconductor substrate
US7169269B2 (en) 2003-01-21 2007-01-30 Dainippon Screen Mfg. Co., Ltd. Plating apparatus, plating cup and cathode ring
KR100854373B1 (en) 2006-12-29 2008-09-02 주식회사 포스코 Acid cleaning solution sampling apparatus of the acid solution tank
JP2011089209A (en) * 2010-12-17 2011-05-06 Renesas Electronics Corp Method of operating semiconductor manufacturing apparatus and method of manufacturing semiconductor device
KR20180119575A (en) * 2016-03-04 2018-11-02 가부시키가이샤 에바라 세이사꾸쇼 Plating apparatus and plating method
KR20200118864A (en) * 2018-03-13 2020-10-16 가부시키가이샤 야마모토메키시켄키 Plating device and plating system
JP2021063306A (en) * 2016-03-04 2021-04-22 株式会社荏原製作所 Plating device and plating method

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0901153B1 (en) 1997-09-02 2009-07-15 Ebara Corporation Method and apparatus for plating a substrate
US6261433B1 (en) 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6773560B2 (en) 1998-07-10 2004-08-10 Semitool, Inc. Dry contact assemblies and plating machines with dry contact assemblies for plating microelectronic workpieces
CN1244722C (en) 1998-07-10 2006-03-08 塞米用具公司 Method and apparatus for copper plating using electroless plating and electroplating
US7048841B2 (en) 1998-12-07 2006-05-23 Semitool, Inc. Contact assemblies, methods for making contact assemblies, and plating machines with contact assemblies for plating microelectronic workpieces
US6303010B1 (en) 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6645356B1 (en) 1998-12-07 2003-11-11 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6309520B1 (en) 1998-12-07 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
WO2000040779A1 (en) 1998-12-31 2000-07-13 Semitool, Inc. Method, chemistry, and apparatus for high deposition rate solder electroplating on a microelectronic workpiece
EP1031647A3 (en) * 1999-02-19 2002-03-06 Solid State Equipment Corporation Apparatus and method for plating a wafer
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US6557237B1 (en) * 1999-04-08 2003-05-06 Applied Materials, Inc. Removable modular cell for electro-chemical plating and method
US6551488B1 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Segmenting of processing system into wet and dry areas
US6837978B1 (en) 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
KR100637890B1 (en) * 1999-07-08 2006-10-23 가부시키가이샤 에바라 세이사꾸쇼 Plating apparatus, plating method, plating process equipment
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US7645366B2 (en) 1999-07-12 2010-01-12 Semitool, Inc. Microelectronic workpiece holders and contact assemblies for use therewith
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
TW466561B (en) * 1999-10-06 2001-12-01 Ebara Corp Method and apparatus for cleaning substrates
US6454927B1 (en) * 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US6709563B2 (en) 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
AT412043B (en) * 2000-07-12 2004-08-26 Ind Tech Res Inst METHOD FOR CLEANING A WAFER WITH METALS ON THE BACK
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
WO2002031227A2 (en) * 2000-10-12 2002-04-18 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6569307B2 (en) * 2000-10-20 2003-05-27 The Boc Group, Inc. Object plating method and system
JP2002212786A (en) 2001-01-17 2002-07-31 Ebara Corp Substrate processor
JP2002220692A (en) * 2001-01-24 2002-08-09 Ebara Corp Plating equipment and method
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
WO2003007274A1 (en) * 2001-07-12 2003-01-23 James Hambleton Electro-chemical teaching unit
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
KR100518788B1 (en) * 2003-03-11 2005-10-05 삼성전자주식회사 Spin coating apparatus for coating photoresist
KR101590661B1 (en) * 2010-09-13 2016-02-01 도쿄엘렉트론가부시키가이샤 Liquid processing apparatus, liquid processing method and storage medium
CN105044370B (en) * 2014-11-04 2017-03-08 长沙绿智电子科技有限公司 A kind of unattended heavy metal-polluted water monitoring apparatus
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
CN110799672B (en) * 2017-06-30 2022-03-08 昭和电工株式会社 Fluorine electrolytic cell anode mounting part, fluorine electrolytic cell, and method for producing fluorine gas
CN110355025B (en) * 2019-08-01 2020-11-27 宿迁市创盈知识产权服务有限公司 Automobile spare and accessory part material nanometer modification equipment
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6410073U (en) * 1987-07-03 1989-01-19
JPH0222499A (en) * 1988-07-12 1990-01-25 Yamaha Corp Plating equipment
JPH04293796A (en) * 1991-03-20 1992-10-19 Electroplating Eng Of Japan Co Automatic wafer plating device
JPH05195296A (en) * 1992-01-22 1993-08-03 Nippon Hyomen Kagaku Kk Automatic controlling device for electrolytic solution
JPH05214594A (en) * 1992-01-09 1993-08-24 Nec Corp Metal plating device
JPH06349952A (en) * 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd Wiring forming method
JPH08158094A (en) * 1994-11-29 1996-06-18 Nec Corp Jet-type electroplating device and plating
WO1997012079A1 (en) * 1995-09-27 1997-04-03 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of c4, tab microbumps, and ultra large scale interconnects
JPH10204690A (en) * 1997-01-24 1998-08-04 Electroplating Eng Of Japan Co Automatic wafer plating apparatus
JPH10242082A (en) * 1997-02-24 1998-09-11 Internatl Business Mach Corp <Ibm> Through-mask electroplating, selective base removing method and material
WO2000003073A2 (en) * 1998-07-13 2000-01-20 Dj Parker Company, Inc. D/B/A Parker Systems Paced chemical replenishment system

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529353A (en) * 1983-01-27 1985-07-16 At&T Bell Laboratories Wafer handling apparatus and method
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS6410073A (en) * 1987-07-01 1989-01-13 Sanyo Electric Co Decompression device
US4981715A (en) * 1989-08-10 1991-01-01 Microelectronics And Computer Technology Corporation Method of patterning electroless plated metal on a polymer substrate
JPH03193899A (en) * 1989-12-22 1991-08-23 Ebara Yuujiraito Kk Method for automatically controlling electrolytic solution
WO1998002907A1 (en) * 1996-07-15 1998-01-22 Semitool, Inc. Control system for a semiconductor workpiece processing tool
CA2572499A1 (en) * 1997-04-04 1998-10-15 University Of Southern California Method for electrochemical fabrication including use of multiple structural and/or sacrificial materials

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6410073U (en) * 1987-07-03 1989-01-19
JPH0222499A (en) * 1988-07-12 1990-01-25 Yamaha Corp Plating equipment
JPH04293796A (en) * 1991-03-20 1992-10-19 Electroplating Eng Of Japan Co Automatic wafer plating device
JPH05214594A (en) * 1992-01-09 1993-08-24 Nec Corp Metal plating device
JPH05195296A (en) * 1992-01-22 1993-08-03 Nippon Hyomen Kagaku Kk Automatic controlling device for electrolytic solution
JPH06349952A (en) * 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd Wiring forming method
JPH08158094A (en) * 1994-11-29 1996-06-18 Nec Corp Jet-type electroplating device and plating
WO1997012079A1 (en) * 1995-09-27 1997-04-03 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of c4, tab microbumps, and ultra large scale interconnects
JPH10204690A (en) * 1997-01-24 1998-08-04 Electroplating Eng Of Japan Co Automatic wafer plating apparatus
JPH10242082A (en) * 1997-02-24 1998-09-11 Internatl Business Mach Corp <Ibm> Through-mask electroplating, selective base removing method and material
WO2000003073A2 (en) * 1998-07-13 2000-01-20 Dj Parker Company, Inc. D/B/A Parker Systems Paced chemical replenishment system

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068434A (en) * 1999-08-25 2001-03-16 Ebara Corp Copper plating device
JP2006339665A (en) * 2000-10-12 2006-12-14 Ebara Corp Apparatus for manufacturing semiconductor substrate
US7169269B2 (en) 2003-01-21 2007-01-30 Dainippon Screen Mfg. Co., Ltd. Plating apparatus, plating cup and cathode ring
JP2006070349A (en) * 2004-09-06 2006-03-16 Renesas Technology Corp Semiconductor fabrication equipment
KR100854373B1 (en) 2006-12-29 2008-09-02 주식회사 포스코 Acid cleaning solution sampling apparatus of the acid solution tank
JP2011089209A (en) * 2010-12-17 2011-05-06 Renesas Electronics Corp Method of operating semiconductor manufacturing apparatus and method of manufacturing semiconductor device
KR20180119575A (en) * 2016-03-04 2018-11-02 가부시키가이샤 에바라 세이사꾸쇼 Plating apparatus and plating method
JP2021063306A (en) * 2016-03-04 2021-04-22 株式会社荏原製作所 Plating device and plating method
KR102342006B1 (en) * 2016-03-04 2021-12-22 가부시키가이샤 에바라 세이사꾸쇼 Plating apparatus and plating method
JP7029556B2 (en) 2016-03-04 2022-03-03 株式会社荏原製作所 Plating equipment and plating method
KR20200118864A (en) * 2018-03-13 2020-10-16 가부시키가이샤 야마모토메키시켄키 Plating device and plating system
KR102373893B1 (en) * 2018-03-13 2022-03-11 가부시키가이샤 야마모토메키시켄키 Plating equipment and plating system

Also Published As

Publication number Publication date
JP4766579B2 (en) 2011-09-07
TW513751B (en) 2002-12-11
WO2000032835A2 (en) 2000-06-08
WO2000032835A8 (en) 2000-08-17
JP2009293134A (en) 2009-12-17

Similar Documents

Publication Publication Date Title
JP4766579B2 (en) Electrochemical deposition equipment
US7497932B2 (en) Electro-chemical deposition system
US6267853B1 (en) Electro-chemical deposition system
US6254760B1 (en) Electro-chemical deposition system and method
US6582578B1 (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6551488B1 (en) Segmenting of processing system into wet and dry areas
US6585876B2 (en) Flow diffuser to be used in electro-chemical plating system and method
EP1037263B1 (en) Apparatus for electro-chemical deposition of copper with the capability of in-situ thermal annealing
US20030201184A1 (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6576110B2 (en) Coated anode apparatus and associated method
US6837978B1 (en) Deposition uniformity control for electroplating apparatus, and associated method
US6551484B2 (en) Reverse voltage bias for electro-chemical plating system and method
US6557237B1 (en) Removable modular cell for electro-chemical plating and method
US6571657B1 (en) Multiple blade robot adjustment apparatus and associated method
US7114693B1 (en) Stable cell platform
US20040079633A1 (en) Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US20040020780A1 (en) Immersion bias for use in electro-chemical plating system
WO2002031227A2 (en) Deposition uniformity control for electroplating apparatus, and associated method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061120

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061120

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090316

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090616

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090623

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101227

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110107

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110331

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110421

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110520

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110610

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140624

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140624

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140624

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees