TW513751B - Electro-chemical deposition system - Google Patents

Electro-chemical deposition system Download PDF

Info

Publication number
TW513751B
TW513751B TW88120799A TW88120799A TW513751B TW 513751 B TW513751 B TW 513751B TW 88120799 A TW88120799 A TW 88120799A TW 88120799 A TW88120799 A TW 88120799A TW 513751 B TW513751 B TW 513751B
Authority
TW
Taiwan
Prior art keywords
electrolyte
patent application
scope
item
wafer
Prior art date
Application number
TW88120799A
Other languages
Chinese (zh)
Inventor
Yezdi Dordi
Donald J Olgado
Ratson Morad
Peter Hey
Mark Denome
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/263,649 external-priority patent/US6254760B1/en
Priority claimed from US09/289,074 external-priority patent/US6258220B1/en
Priority claimed from US09/350,210 external-priority patent/US6267853B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW513751B publication Critical patent/TW513751B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

The present invention provides an electro-chemical deposition system that is designed with a flexible architecture that is expandable to accommodate future designs and gap fill requirements and provides satisfactory throughput to meet the demands of other processing systems. The electro-chemical deposition system generally comprises a mainframe having a mainframe wafer transfer robot, a loading station disposed in connection with the mainframe, one or more processing cells disposed in connection with the mainframe, and an electrolyte supply fluidly connected to the one or more electrical processing cells. Preferably, the electro-chemical, deposition system includes an edge bead removal/spin-rinse-dry (EBR/SRD) station disposed on the mainframe adjacent the loading station, a rapid thermal anneal chamber attached to the loading station, a seed layer repair station disposed on the mainframe, and a system controller for controlling the electro-chemical deposition process and the components of the electro-chemical deposition system.

Description

513751 經濟部智慧財產笱員1-肖費^ A7 B7 五、發明說明() 發明領域 本發明一般而言係關於在晶片/基材上沈積金屬層。更明 確的說,本發明係關於一種在晶片/基材上形成金屬層的電化 學沈積或電鍍系統。 發明背景 次四分(sub-quarter)微米、多重層金屬化是下一代超大型 整合(U L SI)技術的關鍵所在。此技藝的重心是多重層間的互 聯性需要將以縱橫比大的孔徑形成之互聯形體磨平,包括^接 點、轉接孔、線路及其他形體。此類互聯形體在形成後的可 靠性對於ULSI是否成功及其後提高各個基材及模具之電路 密度和品質均極為重要。 當電路金度提南時’轉接孔、接點與其他形體及介於其 間之電介質材料的寬度即降低至250毫微米以下,而電介質 層的厚度實質上仍維持不變,結果造成形體的縱橫比(即其 高度除以寬度之值),加。許多傳統的沈積程序,例如:物 理蒸氣沈積法(PVD)和化學蒸氣沈積法(CVD)均難以填補縱 橫比超過4 : 1、尤其是超過1 〇 : 1的構造。因此, u 曰則的研 究玉積極發展出一種無空隙、毫微米大小且縱橫比值大的來 體,其中形體高度對形體寬度之縱橫比例為4 : 1 A或以上。 此外,隨著形體寬度降低,該裝置的電流仍維持不變或增加 ,導致形體的電流密度增加。 半導體製造業傳統上均使用鋁(A1)元素及其合金金屬來 形成線路及插頭,此係因為鋁的電阻小、與二氧化碎) ; 第2頁 張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) 裳--------訂-ti------- 513751 A7 B7 五、發明說明() 的勒耆刀徑住 。不過,鋁的電阻卻高於其它導電性更佳的金屬,例如:鋼 ,铭也會因電遷移而在導體上形成空隙。 銅與其合金之電阻均低於鋁,因而其電遷移電阻顯著的 咼於鋁。此種特質對於高整合量且以高速運轉的裝置能否承 受杈咼電流密度是非常重要的。同時銅的熱傳導度良好且易 於取得純物質。因此,在填補半導體基材次四分(sub-quartM) 微米、南縱g比之互聯形體之金屬時銅便成為不二選擇。 不過雖然可用銅製造半導體裝置,將銅沈積在極高縱橫 比的形體,例如:4:卜具有〇·叫或更小)寬之轉接孔中的 製造方法之選擇卻有限。由於此種製造程序上的限制,造成 目前以電鍍法(先前僅限用於製造電路板之線路)填補半導體 裝置上之轉接孔及接點。 金屬電鍍法是眾所皆知的技術且可以各種技藝達成。一 般典型的方法包括在形體表面上以物理蒸氣法沈積一層柵 層 '在柵層上以物气蒸氣法沈積—層導電性金屬籽晶層(以 ㈣佳)、再於籽晶層上電鍍導電性金屬以填補其構造/形體 。最後,將沈積層及電介質層麼平,彳 丨貝層歷十例如用化學機械磨光法 (cMp)限定導電互聯形體的界限。 圖1是配備著接觸針的典型喷鍍器10之橫切面簡圖。一 般而言,喷鍍器ίο包括··開口在頂部 丨日7包~硬容器1 2、位 在電解液容器12上方的基材支架14 .^ Α σ ^在電解液容器12 底邵的1%極16及與基材22接合的接 "沾丁、主士 突陶3衣20。在基材支架 I4的下万表面有數個溝槽24。真空泵 1禾顯不)係連接在基材 第3頁 本紙張尺度咖〒國國家標準(CNS)A4規格(咖X 297公爱)~〜 (請先閱讀背面之注意事項再填寫本頁) 裝 A7 五、發明說明() 經濟部智慧財產局員工消費合作社印,Μ‘ 支I 14並與溝槽24相通以產生真空狀態使基材22在處理 時能固定在基材支架14上。接觸環2〇上有數個金屬製或半 金屬製的接觸針26分佈在基材22周圍以界定中央基材電鍍 表面。此一系列接觸針26係以放射狀向内延伸分佈在基材 22狹窄的周圍部份並觸及接觸針26之尖端而與基材22之導 電性籽晶層接觸。電源(未顯示)係附在針26處以提供電偏壓 給基材22 °基材22係位於圓筒狀電解液容器12之上方,在 槽1 0運轉時·電解液流即垂直沖擊到基材電鍍表面。 雖然目前的電鍍槽,例如圖1所示者,在處理大型基材 的效果差強人意,不過仍存有許多障礙,包括在具有微米大 小、高縱橫比形體的基材達到可靠的電鍍。通常,此類障礙 包括提供基材電鍍表面穩定的電壓和電流密度以形成厚度 均句的金屬層、避免形成不受歡迎之棱邊及背部沈積以便控 制待處理基材及其後之基材遭到污染、並於處理時維持真空 狀態以確使基材牢固在基材支架上。同時,時下之電鍍槽尚 未能提供令人滿意的生產能力以配合其他處理系統之需求 ,且其設計並未考慮到未來在設計準則與填隙方面的需求 。产外,現行的系統尚未能解決電鍍處理過程因籽晶層不足 或士連續而"產生的問題。此外,現行的電鍍系統平台並未 提供後電化學沈積處理,例如:快速熱退火處理’以便在同 一系統平台中提高沈積效果。 其他在典型電鍍處理過程中會面對的特定問題是在電鍍 處理過程中籽晶層邊緣之沈積過量’ 一般稱為棱珠。圖1 A 為晶片30之棱邊橫切面,顯示在籽晶層34的棱邊32處有 : 第4頁 太紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事@填寫本頁) •裝 贫· #_ A7 〜------- B7 —______ 五、發明說明() 過量沈積物36。如圖ία所示,3 β, _ iA所不晶片30上有籽晶層32沈積 %籽曰曰層34上則是電化學沈積的電鍍層3 8。&圖上可觀 察到籽晶層34之棱邊32的電流密度高於其它籽晶層34,而 在籽晶層34之棱邊32造成較快的沈積速度。抒晶層^之 棱邊3 2其機械張力亦高於其它籽晶層,因而造成軒晶層棱 邊上的沈積從晶片30之棱邊處拔起而脫離。過量沈積物% 通常以CMP方法去除。但是,在CMp過程中,在晶片棱邊 的過量沈積物a 6經常自籽晶層棱邊處扯斷而損及鄰近部份 的晶片。斷裂的金屬亦可能損害在晶片上形成的裝置。因此 ,使適切形成的裝置數目減少而造成裝置之製造成本增加 此外’現行的電鍍系統尚無法在不涉及其它周邊組件和 時間下進行必需的處理步驟。例如,在電鍍程序中需要定期 的分析處理過程之化學藥品。此種分析可測定電解液的組成 而可確保其成分具有適當的比例。傳統分析方式係自測試端 抽出電解液樣品並運4送樣品到末端的分析器。電解液組成再 依據分析結果以人工調整。此種分析步驟必須經常進行,因 為争種化學藥品之濃度經常在變化。但是,上述方法卻相當 耗時’因而分析的次數也受到限制。 因此’電化學沈積系統需要一種已考慮到未來在設計上 與填隙方面之需求、並提供令人滿意的生產能力以配合其他 處理系統需求的可擴充式彈性結構設計。此電化學沈積系統 同時也須能提供穩定的電壓與電流密度以形成厚度均勻的 金屬層’並於處理時难持真空狀態以確使基材牢固在基材支 ; 第5頁 本紙張尺度適用中國國家標準(〇^)Α4規格⑵Q χ撕公爱) (請先閲讀背面之注意事'^:填寫本頁) 1 裝 訂-!·------#_ 經濟部智慧財產局員工消費合作土 pi A7 ------— B7 _____ I、發明說明() 木上。此没備進而須包括一種具有形體沈積能力的系統,以 便在後續電鍍處理過程中強化起始導電層。 此系統須遗避免及/或去除不欲得的棱邊和背部沈積以便 控制待處理基材和後續的芙姑A 〜1瓦頁的暴材又到3染,此設備並須能在去 除晶片上的過量沈積物後進行晶片清洗程序,例如:旋轉· 沖洗-乾燥程序。此系統亦須具有與一種或多種化學分析器 整合的處理系統以便連線分析電解液組成。此電化學沈積系 統更須能提保後電化學沈積處理’例如··快速熱退火處理, 以ί疋向沈積效果。 發明概述 本發明一般而言係提供一種電化學沈積系統,其設計已 考慮到未來在設計上與填隙方面的需求、並提供令人滿意的 生產能力以配合其他處理系統需求的可擴充式彈性結構。該 電化學沈積系統一般而言包含:具有自動晶片轉換機之主機 I、與主機架相連接之裝料站、與主機架連接之一個或數個 處理槽、以及與一個减多個電處理槽流體連接的電解液供應 器。較佳者,其主機架亦包括棱珠去除/旋轉-沖洗_乾燥 (EB,R/SRD)平台及籽晶層修補平台。較佳者,電化學沈積系 統亦包括附I裝料站的快速熱退火室、内含與化學分析器整 合為一的電解液補充系統、及用以控制電化學沈積程序及電 化學沈積系統組件的系統控制器。 本發明特色之一的電化學沈積系統能供應穩定的電壓和 電流密度以形成厚度均勻的金屬層,並於處理時維持真空狀 態以確使基材牢固在基材支架上。 : 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事_填寫本頁) 191 裝 tT---.--- #! 513751 A7 五、發明說明( 本發明其他特色之-的電化學沈積系統能預防及/或去除 不欲得的棱邊和背部沈積以便控制待處理基材及其後的基 材受污染。 本發明其他特色之一的設備可將金屬以電化學方式沈積 在基材1,包括具有陰極的頭端配件和晶片支架、具有電解 液容器以及陽極的處理套組、電解液溢流盆以及連接陰極和 陽極的電源供應器。較佳者,陰極包括陰極接環,而晶片支 架則包括囊,系統以確使陰極接環與晶片有適當的接觸。較 佳者罝在與電解液接觸之陰極接環表面上塗覆或處理以提 供親水性表面。 本發明其他特&之一尚提供一種具通透性的封包陽極以 便除去因陽極溶解而產生的陽極殘渣和其他微粒。較佳者, 封包式陽極宜内含可阻截或過濾電解液中之污染物的親水 性膜。封包式陽極較佳者宜包括旁通電解液入口及旁通出口 以促進封包陽極内的電解液流動。 本發明其他特色^ 一的電解液補充系統具有連線的化學 分析咨微型組件和計 >量微型組件。化學分析器微型組件包括 至^ 一個、較佳者兩個分析器,由控制器操控,並與控制系 統#合成電化學沈積系統。其取樣管能由主電解液槽連續地 送出電解液到化學分析器微型組件。第一個分析器測定電解 液中有機物f濃度’第二個分析器則測定無機物質濃度。其 後再因應化學分析器微型組件收集到的訊息啟動計量微型 組件以傳送適當比例的化學物質到主槽中。 本發明其他特色之一亦提供連線的化學分析器微型組件 . 第7頁 眯張尺度適财規格咖χ撕) (請先閱讀背面之注意事項再填寫本頁) · n n n n ϋ n n--aJi ϋ «I* n A7 B7 五、 發明說明( 。化學分析器微型組件包括至少一個、較佳者兩個分析器, 由技制器操控並與控制系統整合成電化學沈積系統。其取樣 管能由主電解液槽連續送出電解液流到化學分析器微型組 件。第一個分析器測定電解液中有機物質濃度,第二個分析 器則測定無機物質濃度。 本發明其他特色之一的設備可去除晶片棱邊的過量沈積 物而不致損及在晶片表面形成的裝置。此設備在去除晶片上 過!的沈積巧後能進行晶片清潔程序,例如:旋轉-沖洗-乾 燥程序。 本發明其他特色之一的設備可提高後續的電鍍處理過程 <起始導電層而延續了在形體沈積的可信賴性。 本餐明其他特色之一尚提供後電化學沈積處理,例如快 速…L火處理’以提咼沈積效果。此快速熱退火處理的設備 較佳者苴包含與電化學沈積系統裝料站相鄭的快速熱退火 室。 本發月其他特色^一尚有在電鍍槽提供旋轉式頭端配件 以便在處理過程中旋囀晶片而改善沈積的一致性。旋轉式頭 端配件亦有助於在電鍍處理過程後去除晶片支架配件上殘 存的電解液‘。較佳者’晶片支架配件的組件,包括膨脹式囊 袋和陰極接環’以具有親水性表面較佳以促進滴流,並可去 除殘存的電解液。 圖7f簡述 為求詳盡解說上述提及之本發明的特色、 ^ 第8頁 ------------裝--- (請先閱讀背面之注意事項再填寫本頁) 訂.!l-----參· 經濟部智慧財產局員工消費合作社印别f 優點及目的513751 Intellectual Property Officer, Ministry of Economic Affairs 1- Xiao Fei ^ A7 B7 V. Description of the Invention Field of the Invention The present invention generally relates to the deposition of a metal layer on a wafer / substrate. More specifically, the present invention relates to an electrochemical deposition or electroplating system for forming a metal layer on a wafer / substrate. BACKGROUND OF THE INVENTION Sub-quarter micron, multi-layer metallization is the key to the next generation of ultra-large-scale integration (UL SI) technology. The center of gravity of this technique is that the interconnection between multiple layers requires the interconnected bodies formed with apertures with large aspect ratios to be flattened, including contact points, vias, lines, and other shapes. The reliability of such interconnected bodies after they are formed is extremely important for the success of ULSI and the subsequent increase in circuit density and quality of various substrates and molds. When the circuit gold is raised south, the widths of the vias, contacts, and other shapes and the dielectric material between them are reduced to less than 250 nm, while the thickness of the dielectric layer remains substantially unchanged, resulting in the Aspect ratio (that is, its height divided by its width), plus. Many traditional deposition processes, such as physical vapor deposition (PVD) and chemical vapor deposition (CVD), are difficult to fill structures with aspect ratios exceeding 4: 1, especially exceeding 10: 1. Therefore, the research on u said that jade actively developed a void-free, nanometer-sized and large aspect ratio value, in which the aspect ratio of the height of the shape to the width of the shape was 4: 1 A or more. In addition, as the shape width decreases, the current of the device remains unchanged or increases, resulting in an increase in the current density of the shape. The semiconductor manufacturing industry traditionally uses aluminum (A1) element and its alloy metal to form circuits and plugs. This is because aluminum has a small resistance and is broken by dioxide.) Page 2 The dimensions on page 2 apply the Chinese National Standard (CNS) A4 (210 X 297 public love) (Please read the precautions on the back before filling this page) -------- Order-ti ------- 513751 A7 B7 V. Description of the invention () The dagger stopped. However, the electrical resistance of aluminum is higher than that of other more conductive metals, such as steel. Ming also forms voids in the conductor due to electromigration. Copper and its alloys have lower electrical resistance than aluminum, so their electromigration resistance is significantly lower than aluminum. This characteristic is very important for a device with high integration and high speed to withstand the current density. At the same time, copper has good thermal conductivity and is easy to obtain pure substances. Therefore, copper has become the best choice when filling the metal of the interconnected body of the sub-quartM and semi-g ratio of the semiconductor substrate. However, although copper can be used to make semiconductor devices, there are limited manufacturing methods for depositing copper in a very high aspect ratio shape, such as 4: b with a width of 0 ° or less). Due to this manufacturing process limitation, the vias and contacts on semiconductor devices are currently being filled by electroplating (previously limited to circuits used to manufacture circuit boards). Metal plating is a well-known technique and can be achieved by various techniques. Generally, the typical method includes depositing a grid layer on the surface of the body by physical vapor method. On the grid layer, it is deposited by material gas vapor method-a conductive metal seed layer (preferably good), and then electroplating on the seed layer. Sex metal to fill its structure / shape. Finally, the deposited layer and the dielectric layer are flattened. For example, the mechanical layer (cMp) is used to define the boundaries of the conductive interconnects. FIG. 1 is a schematic cross-sectional view of a typical sprayer 10 equipped with a contact pin. Generally speaking, the sprayer includes: 7 openings at the top, 7 packs ~ hard container 1, 2, a substrate support 14 above the electrolyte container 12, and ^ σ ^ 1 at the bottom of the electrolyte container 12. % Pole 16 and the junction with the base material 22 "Ting Ding, Master Tutu 3 clothes 20". There are several grooves 24 on the lower surface of the substrate holder I4. The vacuum pump 1 Hexianbu) is connected to the substrate. Page 3 This paper is standard national standard (CNS) A4 (Ka X 297). ~ (Please read the precautions on the back before filling this page). A7 V. Description of the invention () Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, M ′ supports I 14 and communicates with the groove 24 to generate a vacuum state so that the substrate 22 can be fixed on the substrate support 14 during processing. The contact ring 20 has a plurality of metal or semi-metal contact pins 26 distributed around the substrate 22 to define a central substrate plating surface. This series of contact pins 26 are radially inwardly distributed on the narrow peripheral portion of the substrate 22 and touch the tip of the contact pins 26 to contact the conductive seed layer of the substrate 22. A power source (not shown) is attached to the needle 26 to provide electrical bias to the substrate 22 ° The substrate 22 is located above the cylindrical electrolyte container 12 and the electrolyte flow is perpendicular to the substrate when the tank 10 is running Wood plating surface. Although current plating baths, such as those shown in Figure 1, are not effective at processing large substrates, there are still many obstacles, including achieving reliable electroplating on substrates with micron size and high aspect ratio features. Generally, such obstacles include providing a stable voltage and current density on the electroplated surface of the substrate to form a uniformly thick metal layer, avoiding the formation of unwanted edges and back deposits in order to control the substrate to be treated and subsequent substrates. To contamination, and maintain a vacuum state during processing to ensure that the substrate is firmly on the substrate support. At the same time, the current plating tank has not yet provided satisfactory production capacity to meet the needs of other processing systems, and its design does not take into account future requirements in terms of design criteria and gap filling. Outside of production, the current system has not been able to solve the problems caused by the plating process due to insufficient seed layers or continuous lasers. In addition, the current electroplating system platform does not provide post-electrochemical deposition processing, such as: rapid thermal annealing treatment 'in order to improve the deposition effect in the same system platform. Another specific problem that is faced during a typical plating process is the excessive deposition of the seed layer edges during the plating process, which is commonly referred to as a bead. Figure 1 A is the cross section of the edge of the wafer 30, shown at the edge 32 of the seed layer 34: Page 4 The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) (please first Read the notes on the back @Fill this page) • Pretend to be poor. #_ A7 ~ ------- B7 —______ V. Description of the invention () Excessive deposits 36. As shown in Fig. Α, there is a seed layer 32 deposited on the wafer 30 where 3 β, _ iA. The seed layer 34 is an electroplated layer 38 deposited on the seed layer 34. & It can be observed that the current density of the edge 32 of the seed layer 34 is higher than that of the other seed layers 34, and the edge 32 of the seed layer 34 causes a faster deposition rate. The mechanical tension of the edge 32 of the crystal layer ^ is also higher than that of other seed layers, so that the deposition on the edge of the crystal layer is pulled up from the edge of the wafer 30 and detached. Excess deposits are usually removed by CMP. However, during the CMP process, the excessive deposit a 6 on the edge of the wafer is often torn off from the edge of the seed layer to damage the adjacent part of the wafer. Broken metal can also damage the devices formed on the wafer. Therefore, the number of appropriately formed devices is reduced and the manufacturing cost of the device is increased. In addition, the current plating system cannot perform the necessary processing steps without involving other peripheral components and time. For example, chemicals in a plating process need to be analyzed regularly during processing. This analysis can determine the composition of the electrolytic solution and ensure that the composition has an appropriate ratio. The traditional analysis method is to extract the electrolyte sample from the test end and transport the sample to the analyzer at the end. The electrolyte composition is adjusted manually based on the analysis results. This analysis step must be performed frequently because the concentration of the competing chemicals is constantly changing. However, the above method is quite time-consuming 'and the number of analyses is also limited. Therefore, the 'electrochemical deposition system needs an expandable elastic structure design that takes into account future design and gap filling requirements and provides satisfactory production capacity to meet the needs of other processing systems. The electrochemical deposition system must also be able to provide stable voltage and current density to form a metal layer with a uniform thickness, and it is difficult to maintain a vacuum state during processing to ensure that the substrate is firmly supported on the substrate; page 5 of this paper applies Chinese national standard (〇 ^) Α4 size ⑵Q χ tear public love) (Please read the note on the back first '^: Fill in this page) 1 Binding-! · ------ # _ Staff Consumption of Intellectual Property Bureau, Ministry of Economic Affairs Cooperative soil pi A7 -------- B7 _____ I. Description of the invention () On wood. This equipment must further include a system with physical deposition capabilities to strengthen the starting conductive layer during subsequent plating processes. This system must avoid and / or remove undesired edges and back deposits in order to control the substrate to be processed and subsequent Fugu A ~ 1 watt of storm material to 3 dyes. This equipment must be able to remove wafers Excessive deposits on the wafer are followed by wafer cleaning procedures, such as spin, rinse, and dry procedures. The system must also have a processing system integrated with one or more chemical analyzers for on-line analysis of the electrolyte composition. This electrochemical deposition system must also be able to enhance post-electrochemical deposition treatments, such as rapid thermal annealing treatments, in order to enhance the deposition effect. SUMMARY OF THE INVENTION The present invention generally provides an electrochemical deposition system whose design has taken into account future requirements in design and gap filling, and provides satisfactory production capacity to meet the needs of other processing systems with expandable elasticity structure. The electrochemical deposition system generally includes: a mainframe I having an automatic wafer changer, a loading station connected to the mainframe, one or more processing tanks connected to the mainframe, and one minus multiple electric processing tanks Fluid connection electrolyte supply. Preferably, the main frame also includes a bead removal / rotation-washing-drying (EB, R / SRD) platform and a seed layer repair platform. Preferably, the electrochemical deposition system also includes a rapid thermal annealing chamber with an I loading station, an electrolyte replenishment system integrated with the chemical analyzer, and components for controlling the electrochemical deposition process and the electrochemical deposition system components. System controller. One of the features of the present invention is that the electrochemical deposition system can supply a stable voltage and current density to form a metal layer with a uniform thickness, and maintain a vacuum state during processing to ensure that the substrate is firmly fixed on the substrate support. : Page 6 This paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) (Please read the notes on the back _ fill out this page) 191 tT ---.--- #! 513751 A7 5. Description of the invention (The electrochemical deposition system, which is one of the other features of the present invention, can prevent and / or remove unwanted edge and back deposition in order to control the substrate to be treated and subsequent substrates to be contaminated. Other features of the present invention One of the devices can electrochemically deposit metal on substrate 1, including a head-end fitting and a wafer holder with a cathode, a treatment kit with an electrolyte container and an anode, an electrolyte overflow basin, and a connection between the cathode and anode. Power supply. Preferably, the cathode includes a cathode ring, and the wafer holder includes a pouch. The system ensures that the cathode ring has proper contact with the wafer. It is better to coat the surface of the cathode ring in contact with the electrolyte. Cover or treat to provide a hydrophilic surface. One of the other features of the present invention is to provide a packaged anode with permeability in order to remove anode residues and other particles caused by anode dissolution. Preferably, a packaged anode It should contain a hydrophilic membrane that can block or filter contaminants in the electrolyte. The packaged anode preferably includes a bypass electrolyte inlet and a bypass outlet to promote the electrolyte flow in the package anode. Other features of the present invention ^ An electrolyte replenishment system has a connected chemical analysis module and a metering unit. The chemical analyzer micro-module includes one, preferably two analyzers, which are controlled by a controller and communicate with the control system. #Synthetic electrochemical deposition system. Its sampling tube can continuously send the electrolyte from the main electrolyte tank to the micro-component of the chemical analyzer. The first analyzer measures the concentration of organic substances in the electrolyte, and the second analyzer measures the inorganic substances. Concentration. Then, in response to the information collected by the chemical analyzer micro-module, the metering micro-module is started to transmit the appropriate proportion of chemicals to the main tank. One of the other features of the present invention also provides a connected chemical analyzer micro-module. 7 Page 眯 Zhang scale suitable financial specifications coffee χ tear) (Please read the notes on the back before filling this page) · nnnn ϋ n n--aJi ϋ «I * n A7 B7 5 Explanation of the invention. The chemical analyzer micro-component includes at least one, preferably two analyzers, which are controlled by the processor and integrated with the control system into an electrochemical deposition system. Its sampling tube can be continuously sent out from the main electrolyte tank for electrolysis. The liquid flows to the micro-analyzer of the chemical analyzer. The first analyzer measures the concentration of organic substances in the electrolyte, and the second analyzer measures the concentration of inorganic substances. One of the other features of the present invention can remove excess deposits on the edges of the wafer. Without damaging the device formed on the surface of the wafer. This equipment can perform wafer cleaning procedures, such as spin-rinsing-drying procedures, after removing the deposition on the wafer! The equipment of one of the other features of the present invention can improve subsequent The plating process < starts with the conductive layer and continues the reliability of the deposit on the body. One of the other features of this meal is to provide post-electrochemical deposition treatment, such as fast ... L fire treatment 'to improve the effect of radon deposition. This rapid thermal annealing equipment preferably includes a rapid thermal annealing chamber that is compatible with the electrochemical deposition system loading station. Other features this month include the provision of a rotary head-end fitting in the plating bath to spin the wafer during processing to improve the consistency of the deposition. Rotary head-end fittings also help to remove residual electrolyte from wafer holder fittings after the plating process. The preferred component of the "wafer holder assembly" includes an expandable pouch and a cathode ring 'to have a hydrophilic surface, preferably to promote dripping, and to remove residual electrolyte. Figure 7f briefly describes the features of the present invention mentioned above. ^ Page 8 ------------ Install --- (Please read the precautions on the back before filling this page) Order.! l ----- Participant Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs

乂下將藉由具體實施例及隨附之圖示為本發明作更詳細的 說明。 不過’附圖僅旨於示範本發明典型的具體實施例,而並 非在限制本發明之範疇或其他同等有效的具體實施例。 圖1為配備有接觸針的典型簡化的噴鍍器1 〇之橫切面圖 經 濟 部 智 慧 財 產 局 圖1 A為晶片3〇棱邊之橫切面圖,顯示在籽晶層34之棱 邊32有過量的沈積物36。 圖2為本發明電鍍系統平台200之透視圖。 圖3為本發明電鍍系統平台200之概要圖。 圖4為本發明旋轉-沖洗-乾燥(SRD)微型組件之概要透視 内有沖洗以及溶解液入口。 圖5為圖4旋轉-沖洗-乾燥(SRD)微型組件側面之橫切面 並顯示基材係直立在液體入口之間的處理位置。 圖6為依據本發明電鍍處理槽400之橫切面圖。 圖7為陰極接環部份之橫切透視圖。 圖8為陰極接環之橫切透視圖,係為有接觸片的另一種 具體實施例。 圖9為陰極接環之橫切透視圖,顯示另一種有接觸片以 及獨立襯墊的具體實施例。 圖1 0為顯示有獨立襯墊之陰極接環的橫切面透視圖。 圖11為代表穿過各接觸針的電鍍系統的簡化電路概要圖 圖 圖 (請先閱讀背面之注意事項再填寫本頁) ·丨—丨丨—丨丨訂----一丨---I ' 消 費 合 作 社 印 製 圖12為本發明晶片配件450之橫切面圖 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)His Majesty will explain the present invention in more detail with specific examples and accompanying drawings. However, the drawings are only intended to illustrate typical specific embodiments of the present invention, and are not intended to limit the scope of the present invention or other equally effective specific embodiments. Figure 1 is a cross-sectional view of a typical simplified sprayer 10 equipped with contact pins. Intellectual Property Bureau of the Ministry of Economic Affairs Figure 1 A is a cross-sectional view of a wafer 30 edge, showing that the edge 32 of the seed layer 34 has Excessive deposits 36. FIG. 2 is a perspective view of a plating system platform 200 according to the present invention. FIG. 3 is a schematic diagram of a plating system platform 200 according to the present invention. Fig. 4 is a schematic perspective view of a spin-rinsing-drying (SRD) micro-module according to the present invention, with a flushing and dissolving liquid inlet. Fig. 5 is a cross-section of the side of the spin-rinse-dry (SRD) micro-module of Fig. 4 and shows the processing position where the substrate is standing between the liquid inlets. FIG. 6 is a cross-sectional view of a plating treatment tank 400 according to the present invention. Fig. 7 is a cross-sectional perspective view of a cathode ring portion. Fig. 8 is a cross-sectional perspective view of a cathode ring, showing another specific embodiment with a contact sheet. Fig. 9 is a cross-sectional perspective view of a cathode ring, showing another embodiment with contact pads and separate pads. Fig. 10 is a cross-sectional perspective view showing a cathode ring with a separate gasket. Figure 11 is a simplified circuit schematic diagram representing the plating system passing through each contact pin (please read the precautions on the back before filling this page) · 丨 丨 丨 丨 丨 Order ---- 一 丨 --- I 'Printed by Consumer Cooperatives Figure 12 is a cross-sectional view of the wafer accessory 450 of the present invention. Page 9 This paper is sized for China National Standard (CNS) A4 (210 X 297 mm)

圖12A為圖12囊袋區域之放大橫切面圖。 圖1 3為晶片支架板之部份橫切面圖。 圖14為歧管之部份橫切面圖。 圖1 5為囊袋之部份橫切面圖。 圖1 6為電解液補充系統220之概要圖。 圖1 7為快速熱退火室之橫切圖。 圖1 8為另一種陰極接環具體實施例之透视圖。 圖19為另一種晶片支架配件具體實施例之部份橫切面圖 〇 圖20為第一個封包陽極具體實施例之橫切面圖。 圖2 1為第二個封包陽極具體實施例之橫切面圖。 圖22為第三個封包陽極具體實施例之橫切面圖。 圖23為第四個封包陽極具體實施例之橫切面圖。 圖24為非電式沈積處理(EE)P)槽之橫切圖。 圖2 5為處理頭端配件的另一種具體實施例,其具有旋轉 式頭端配件2410。 圖26a及26b為除氣微型組件具體實施例之橫切圖。 圖27為組合成的棱珠去除/旋轉-沖洗-乾燥(EBr/SrD)微 t 型Μ件之橫切面圖,顯示基材係直立在液體入口之間的處理 位置。 圖28為EBR/SRD微型組件之俯瞰概要圖,顯示棱珠去 除噴嘴位置之具體實施例。 圖29為喷嘴2150與經處理之晶片2122位置之關係。 ‘ 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) · —a flu taaw i i n n 訂---I- 經濟部智慧財產局員工消費合作社印製 513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 圖號對照說明 A2 箭頭 10 喷鍍器 12 電解液容器 14 基材支架 1 陽極 20 接觸環 22 基材 24 溝槽 26 接觸針 30 晶片 32 棱邊 34 籽晶層 36 沈積物 38 電鍍層 159 抽取系統 200 電鍍系統平台 210 裝料站 211 快速熱退火(RTA)室 212 旋轉-沖洗-乾燥(SRD)平台 214 主機 215 籽晶層修補平台 216 主機架轉移平台 217 底座 218 處理平台 < 220 電解液補充系統 221 電源平台 222 控制系統 ^23 控@儀錶板 224 晶片卡盒接收區 228 裝料站自動轉換機 229 裝料站自動轉換機 230 晶片定位機 232 晶片卡盒 234 晶片 236 SRD微型組件 236 EBR/SRD微型組件 238 SRD微型組件 第11頁 (請先閱讀背面之注意事項再填寫本頁) · 裝——^—— 訂——卜 禮丨 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 513751 A7 B7 五、發明說明() 238 晶片轉運卡盒 239 晶片轉運卡盒 240 處理槽 (請先閱讀背面之注意事項再填寫本頁) 242 自動轉移主機 254 真空抽氣夾 330a 底部 330b 側壁 330c 上罩板 330d SRD微型組件盆槽 332 基座底座' 334 基座傳動裝置 336 基座 336a 基廢臂桿 336b 基座臂桿 337 夾鉗 337a 夾鉗下方末端 337b 樞軸 337c 夾鉗上方末端 338 基材 339 出口 340 第一液體入口 342 安裝部份 343 鉸接構件 344 連接部份 346 第一導管 346a 輔助液體入口 < 346b 導管 ' 346c 控制閥 347a 閥 '347 第7液體 經濟部智慧財產局員工消費合作社印製 348 第一喷嘴 349a 控制閥 350 第二液體入口 351 第二噴嘴 352 第二導管 353 鉸接構件 362 控制器 400 電鍍處理槽 410 頭端配件 第12頁 本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 513751 A7 B7_ 五、發明說明() 420 處理套組 430 盆槽 440 電解液收集器 442 機體 443 開口 446 内壁 447 底部 448 外壁 449 電解液出口 450 晶片支架配件 452 頭端配件機架 454 固定架 456 懸膂樑桿 457 懸臂樑桿傳動裝置 458 晶片配件傳動裝置 460 固定板 462 頭端配件柄 464 晶片支架 466 陰極接環 470 囊袋配件 472 容器機體 474 陽極配件 476 濾膜 478 環形壩 480 内環形平坦部份 482 中間上斜部份< 484 外下斜部份 ' 486 下環形凸緣 487 密封墊圈 488 螺栓 490 扣緊螺帽 492 間隔 494 多孔性陽極外殼 495 密封墊圈 496 可溶性金屬 497 帶螺紋的部份 498 陽極電觸點 499 緊固螺帽 502 圓筒狀部份 < 第13頁 本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公釐) -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 513751 A7 _ B7 五、發明說明() 經濟部智慧財產局員工消費合作社印製 504 底部部份 506 上環形凸緣 508 洞 510 主電解液入口 602 主電解液槽 603 計量微型組件 604 濾膜槽 605 過濾微型組件 606 源槽 607 閥 ' 608 液體泵 609 閥 610 控#器 611 控制器 612 電解液供應管線 613 取樣管 614 電解液回返管線 615 自動滴定分析器 616 化學分析器微型組件 617 電流循環消除器(CVS) 619 控制器 620 電解液廢水排水管 621 出口管線 622 電解液廢物處理系統 624 熱交換器 630 除氣微型組件< 630, 除氣微型組件v 632 疏水性膜 632, 疏水性膜管 '634 液體通路 636 真空系統 638 外殼 640 入口 642 出Π 700 外電阻器 702 電源 704 電子感應器/警報器 754 基材電鏡表面 762 凸緣 第14頁 —---------裝——·—訂 *----^ (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公釐) 513751 A7 B7 五、發明說明() 經濟部智慧財產局員工消費合作社印製 764 肩部 765 導電構件 768 基材座面 770 環形絕緣機體 772 内電接觸片 776 導電連接器 780 外電接觸片 782 絕緣襯墊 802 容器機體 820 基材電鍵表面 821 基材 832 晶片支架板 836 嚢袋 837 密封墊圈 838 流體源 840 環形凹座 841 真空噴口 842 入口 843 環形安裝甬道 844 軟管 845 泵 846 環形歧管 847 轉換閥 848 内肩部 849 真空喷射器 850 外肩部 < 852 安裝橫桿 ' 853 壓力管線 854 出口 855 真空管線 856 環形嘴密封墊圈 857 蓋子 859 抽取系統 861 關閉閥 902 外殼 904 加熱板 906 基材支托栓 907 加熱器 908 底座 第15頁 (請先閱讀背面之注意事項再填寫本頁) -裝---- 訂 ΓΚ— 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 513751 A7 B7 五、發明說明() 經濟部智慧財產局員工消費合作社印製 910 側壁 912 頂部 913 冷卻板 914 反射絕緣圓盤 916 電源 918 冷卻構件 920 熱偶 922 導管 922 開口閥 924 開口 ' 926 管狀導管 928 升降機板 930 傳勤裝置 932 升降機柄 934 密封凸緣 936 氣體入口 938 氣體源 940 閥 942 氣體出口 944 凸板/檢核閥 1800 陰極接環 1810 上方安裝部份 1820 下方基材接收部份 1822 環形基材座面 1824 接觸片或緩衝器 1900 晶片支架配件< 1910 中介的晶片支燊板 1912 背面 1914 正面 ίθ16 彈性密封圈 1918 環形溝槽 1920 鑽孔或洞 2000 封包式陽極 2002 陽極封包膜 2004 陽極板 2006 電觸點或連接線 2008 頂部封包膜 2010 底部封包膜 2012 膜支托環 第16頁 (請先閱讀背面之注意事項再填寫本頁) ,裝! 訂·----^ 本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公釐) 513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 2014 旁通液體入口 2016 旁通出口 2020 流量控制閥 2022 流量控制閥 2024 開口 2102 容器 2104 晶片支架配件 2106 液體/化學藥品輸送配件 2108 圓筒狀側壁 2110 容器底部' 2112 中心孔 2114 上彎的内壁 2116 液證出口 2118 升降機配件 2120 旋轉配件 2122 晶片 2124 真空吸盤 2126 晶片棱邊 2128 環形密封墊圈 2130 晶片升降機 2134 臂桿 2136 環形底座 2137 壁 2138 十字夾 2140 上方表面 2142 夾鉗部份 < 2144 下方部份 、 2150 噴嘴 2152 分送臂桿 ^\154 傳動裝置 2156 彈性管道 2160 去離子水源 2162 蝕刻劑源 2164 電腦控制 2170 下方噴嘴 2172 去離子水噴嘴 2174 傳動裝置 2176 臂桿 2200 EBR/SRD微型組件 . 第Π頁 (請先閱讀背面之注意事項再填寫本頁) |裝--------訂---- 線 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 513751 A7 B7 五、發明說明() 經濟部智慧財產局員工消費合作社印製 2402 自動機臂桿 2404 終端操縱裝置 2410 旋轉式頭端配件 2450 晶片支架配件 2452 頭端配件機架 2453 柄 2454 固定架 2455 架頂蓋 2456 懸臂樣桿 2457 懸臂樑桿傳動裝置 2458 頭部升降機傳動裝置 2459 樞軸接合點 2460 固定導板 2464 旋轉傳動裝置 2466 升降導 2468 柄 2470 柄 3010 EDP槽 3012 底部 3014 側壁 3016 上罩板 3018 基座 3020 基座傳動裝置 3022 基材 3024 基座升降機 3026 真空吸盤 ' 3028 夾鉗 ' 3030 環形罩板 3032 環形罩板 3034 出口 3036 第一導管 3038 非電式沈積液體閥 3040 控制器 3042a-f 閥 3044 非電式沈積液體容器 3046 第一液體入口 3048 鉸接構件 3050 第一噴嘴 3052 第二導管 第18頁 (請先閱讀背面之注意事項再填寫本頁) 訂,----^ s'. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 513751 經濟部智慧財產局員工消費合作社印制衣 A7 B7 五、發明說明() 3054 第二入口 3056 第二噴嘴 3058 第二閥 3059 鉸接構件 較佳_實施_例之詳 圖2為本發明電鍍系統平台2〇〇之透視圖。圖3為本發 明電鍍系統平台200之概要圖。參考圖2及3 ;電鍍系統平 台200通常包含裝料站210、熱退火室211、主機架214、以 及電解液補充系統220。較佳者,電鍍系統平台2〇〇係封入 一個以嵌板(例如:塑膠玻璃嵌板)製成的乾淨環境内。主機 架214 —般包含主機架轉移平台216、旋轉-沖洗乾燥(srd) 平台2 1 2、籽晶層修補平台2 1 5、以及數個處理平台2 1 8。主 機架214包括底座217,其上有斷流器可支援各個完成電化 學沈積程序必需的平台。底座2丨7以鋁製、不銹鋼製或其他 月匕支接配置於其上的各種平台的堅硬材料較佳。化學保護塗 層,例如HaUkTm、<乙撐-氯-三-氣-乙締(ethylene-chl〇r〇-FIG. 12A is an enlarged cross-sectional view of the bladder region of FIG. 12. Figure 13 is a partial cross-sectional view of a wafer holder plate. Figure 14 is a partial cross-sectional view of a manifold. Figure 15 is a partial cross-sectional view of the pouch. FIG. 16 is a schematic diagram of the electrolyte replenishing system 220. Figure 17 is a cross-sectional view of a rapid thermal annealing chamber. FIG. 18 is a perspective view of another embodiment of a cathode ring. Fig. 19 is a partial cross-sectional view of another embodiment of a wafer holder accessory. Fig. 20 is a cross-sectional view of a first embodiment of a package anode. FIG. 21 is a cross-sectional view of a second embodiment of a packet anode. FIG. 22 is a cross-sectional view of a third embodiment of a packet anode. FIG. 23 is a cross-sectional view of a fourth embodiment of a packet anode. FIG. 24 is a cross-sectional view of a non-electrolytic deposition (EE) P) tank. Figure 25 shows another embodiment of a processing head end fitting, which has a rotary head end fitting 2410. 26a and 26b are cross-sectional views of a specific embodiment of a degassing micro-module. Fig. 27 is a cross-sectional view of the combined bead removal / rotation-rinsing-drying (EBr / SrD) micro-t-shaped M piece, showing the processing position where the substrate is standing between the liquid inlets. Fig. 28 is a bird's-eye view of an EBR / SRD micro-module, showing a specific embodiment of the position where the beads are removed from the nozzle. FIG. 29 is a relationship between the position of the nozzle 2150 and the processed wafer 2122. '' Page 10 This paper size applies Chinese National Standard (CNS) A4 specification (210 X 297 public love) (Please read the precautions on the back before filling this page) · —a flu taaw iinn Order --- I- Ministry of Economy Printed by the Intellectual Property Bureau employee consumer cooperative 513751 A7 B7 Printed by the Intellectual Property Bureau employee consumer cooperative of the Ministry of Economic Affairs 5. Description of the invention () Drawing number comparison description A2 Arrow 10 Sprayer 12 Electrolyte container 14 Substrate holder 1 Anode 20 Contact ring 22 Substrate 24 Groove 26 Contact pin 30 Wafer 32 Edge 34 Seed layer 36 Deposit 38 Plating layer 159 Extraction system 200 Plating system platform 210 Loading station 211 Rapid thermal annealing (RTA) chamber 212 Spin-rinse-dry ( SRD) platform 214 host 215 seed layer repair platform 216 main rack transfer platform 217 base 218 processing platform < 220 electrolyte replenishment system 221 power platform 222 control system ^ 23 control @ instrument board 224 chip cassette receiving area 228 loading station Automatic changer 229 Loading station automatic changer 230 Wafer positioning machine 232 Wafer cassette 234 Wafer 236 SRD micro module 236 EBR / SRD micro Module 238 SRD Mini Module Page 11 (Please read the precautions on the back before filling out this page) · Installation —— ^ —— Order——Boli 丨 This paper size applies to China National Standard (CNS) A4 (210 X 297) (Mm) 513751 A7 B7 V. Description of the invention () 238 Wafer transfer cassette 239 Wafer transfer cassette 240 Processing tank (Please read the precautions on the back before filling this page) 242 Automatic transfer host 254 Vacuum extraction clamp 330a Bottom 330b Side wall 330c Upper cover 330d SRD micro-assembly basin trough 332 Base base '334 Base transmission 336 Base 336a Base waste arm 336b Base arm 337 Clamp 337a Clamp lower end 337b Pivot 337c Clamp upper end 338 Base material 339 Outlet 340 First liquid inlet 342 Mounting portion 343 Hinged member 344 Connecting portion 346 First conduit 346a Auxiliary liquid inlet < 346b conduit '346c Control valve 347a Valve' 347 Employee of the Intellectual Property Bureau, 7th Ministry of Liquid Economy Printed by a consumer cooperative 348 First nozzle 349a Control valve 350 Second liquid inlet 351 Second nozzle 352 Second conduit 353 Hinged member 362 Controller 400 Plating Processing tank 410 Head end fittings Page 12 This paper size applies to Chinese National Standard (CNS) A4. Specifications (210 X 297 mm) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 B7_ V. Description of the invention () 420 Processing Set 430 Basin 440 Electrolyte collector 442 Body 443 Opening 446 Inner wall 447 Bottom 448 Outer wall 449 Electrolyte outlet 450 Wafer bracket fitting 452 Head end fitting rack 454 Mounting frame 456 Suspension beam rod 457 Cantilever beam transmission 458 wafer Accessory transmission 460 Fixing plate 462 Head end fitting handle 464 Wafer holder 466 Cathode ring 470 Pouch fitting 472 Container body 474 Anode fitting 476 Filter membrane 478 Circular dam 480 Inner annular flat portion 482 Middle inclined portion < 484 outer Lower slope part 486 Lower annular flange 487 Ferrule 488 Bolt 490 Fastening nut 492 Spacer 494 Porous anode housing 495 Ferrule 496 Soluble metal 497 Threaded part 498 Anode electrical contact 499 Fastening nut 502 Cylindrical part < page 13 This paper size applies to Chinese National Standard (CNS) A4. Specifications (210 X 297 mm) ------ ----- Equipment -------- Order --------- (Please read the precautions on the back before filling this page) 513751 A7 _ B7 V. Description of the invention () Ministry of Economy Wisdom Printed by the property bureau employee consumer cooperative 504 Bottom part 506 Upper ring flange 508 hole 510 Main electrolyte inlet 602 Main electrolyte tank 603 Metering micro module 604 Filter membrane tank 605 Filter micro module 606 Source tank 607 Valve '608 Liquid pump 609 Valve 610 Control # 611 Controller 612 Electrolyte supply line 613 Sampling tube 614 Electrolyte return line 615 Automatic titration analyzer 616 Chemical analyzer micro-assembly 617 Current circulation eliminator (CVS) 619 Controller 620 Electrolyte wastewater drain pipe 621 Outlet line 622 Electrolyte waste disposal system 624 Heat exchanger 630 Degassing micro module < 630, degassing micro module v 632 hydrophobic membrane 632, hydrophobic membrane tube '634 liquid passage 636 vacuum system 638 housing 640 inlet 642 outlet Π 700 External Resistor 702 Power Source 704 Electronic Sensor / Alarm 754 Substrate Electron Microscope Surface 762 Flange Page 14 ——————————— Order * ---- ^ (Please read first Read the notes on the back and fill in this page again) This paper size applies Chinese National Standard (CNS) A4. Specifications (210 X 297 mm) 513751 A7 B7 V. Description of Invention () Printed by the Consumers ’Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 764 Shoulder 765 Conductive member 768 Substrate seating surface 770 Ring-shaped insulating body 772 Inner electrical contact piece 776 Conductive connector 780 Outer electrical contact piece 782 Insulating pad 802 Container body 820 Substrate key surface 821 Substrate 832 Wafer holder plate 836 Carry bag 837 Sealing washer 838 Fluid source 840 Ring recess 841 Vacuum nozzle 842 Inlet 843 Ring mounting duct 844 Hose 845 Pump 846 Ring manifold 847 Switching valve 848 Inner shoulder 849 Vacuum ejector 850 Outer shoulder < 852 Mounting crossbar '853 Pressure line 854 Outlet 855 Vacuum line 856 Ring-nozzle gasket 857 Cover 859 Extraction system 861 Shut-off valve 902 Housing 904 Heating plate 906 Substrate support bolt 907 Heater 908 Base page 15 (Please read the precautions on the back before filling in this (Page)-Packing-Order ΓKK-This paper size applies to Chinese national standards ( CNS) A4 specification (210 X 297 mm) 513751 A7 B7 V. Description of the invention () Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economy 910 Side wall 912 Top 913 Cooling plate 914 Reflective insulation disc 916 Power supply 918 Cooling member 920 Thermocouple 922 Conduit 922 Opening valve 924 Opening '926 Tubular conduit 928 Elevator plate 930 Passport 932 Elevator handle 934 Sealing flange 936 Gas inlet 938 Gas source 940 Valve 942 Gas outlet 944 Convex / check valve 1800 Cathode ring 1810 Installation above Part 1820 Substrate receiving part 1822 Ring base seat surface 1824 Contact piece or bumper 1900 Wafer holder accessory < 1910 Intermediate wafer support plate 1912 Back 1914 Front face θ16 Elastic seal 19 Drilled or Hole 2000 package anode 2002 anode package film 2004 anode plate 2006 electrical contacts or connecting wires 2008 top package film 2010 bottom package film 2012 film support ring page 16 (please read the precautions on the back before filling this page), install !! Order · ---- ^ This paper size applies to Chinese National Standard (CNS) A4. Specification (210 X 297 mm) 513751 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention () 2014 Bypass liquid Inlet 2016 Bypass outlet 2020 Flow control valve 2022 Flow control valve 2024 Opening 2102 Container 2104 Wafer holder fitting 2106 Liquid / chemical transfer accessories 2108 Cylindrical side wall 2110 Bottom of the container '2112 Center hole 2114 Upper curved inner wall 2116 Liquid certificate outlet 2118 Lifter accessories 2120 Rotary accessories 2122 Wafer 2124 Vacuum chuck 2126 Wafer edges 2128 Ring seal 2130 Wafer lifter 2134 Arm 2136 Ring base 2137 Wall 2138 Cross clip 2140 Upper surface 2142 Clamp section < 2144 Lower section, 2150 Nozzle 2152 Distributing boom ^ \ 154 Transmission device 2156 Elastic pipe 2160 Deionized water source 2162 Etchant source 2164 Computer control 2170 Lower nozzle 2172 Deionized water nozzle 2174 Transmission device 2176 Boom 2200 EBR / SRD micro assembly. Page Π (please first Read the notes on the back and fill out this page) Paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 513751 A7 B7 V. Description of invention () Printed by the Intellectual Property Bureau Employee Consumer Cooperative of the Ministry of Economic Affairs 2402 Automatic Arm 2404 Terminal Control Device 2410 Rotary End fitting 2450 Wafer bracket fitting 2452 Head end fitting rack 2453 Handle 2454 Holder 2455 Shelf top cover 2456 Cantilever rod 2457 Cantilever rod drive 2458 Head lift drive 2459 Pivot joint 2460 Fixed guide 2464 Rotary drive 2466 Lifting guide 2468 Handle 2470 Handle 3010 EDP slot 3012 Bottom 3014 Side wall 3016 Top cover 3018 Base 3020 Base transmission 3022 Substrate 3024 Base lift 3026 Vacuum suction cup '3028 Clamp' 3030 Ring cover 3032 Ring cover 3034 Outlet 3036 First conduit 3038 Non-electrical deposition liquid valve 3040 Controller 3042a-f valve 3044 Non-electrical deposition liquid container 3046 First liquid inlet 3048 Hinged member 3050 First nozzle 3052 Second conduit page 18 (please read the back first (Notes to fill in this page) Order, ---- ^ s'. This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 513751 Printed clothing A7 B7 of the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economy Valve 3059. Details of the preferred embodiment of the articulated member. Figure 2 is a perspective view of the plating system platform 2000 of the present invention. FIG. 3 is a schematic diagram of a plating system platform 200 of the present invention. 2 and 3; the plating system platform 200 generally includes a loading station 210, a thermal annealing chamber 211, a main frame 214, and an electrolyte replenishment system 220. Preferably, the plating system platform 200 is enclosed in a clean environment made of panels (eg, plastic glass panels). Main frame 214 generally includes a main frame transfer platform 216, a spin-rinsing (srd) platform 2 1 2, a seed layer repair platform 2 1 5 and several processing platforms 2 1 8. The main frame 214 includes a base 217 on which a circuit breaker is provided to support each platform necessary to complete the electrochemical deposition process. The bases 2 and 7 are preferably made of aluminum, stainless steel, or other rigid materials for supporting various platforms arranged thereon. Chemical protective coatings, such as HaUkTm, < ethylene-chlorine-tri-gas-ethane (ethylene-chl〇r〇-

Ui-fluowethaylene,ECTFE)、或其他保護包覆劑,以塗在易 遭$學藥品腐蝕之底座2 1 7表面上較佳。較佳者,保護層能 提供金屬底座2 1 7良好的保形性、與金屬底座2 1 7黏結緊密 、提供良好的延展性、並能在正常系統運轉狀態下耐裂損。 各處理平台218包括一種或多種處理槽240。電解液補充系 統2 2 0與主機架2 1 4相鄰並分別與處理槽2 4 0相通以運送電 鍍處理過程所須使用之電解液。電鍵系統平台2 〇 〇亦包括電 源平台2 2 1以提供電力到系統及控制系統2 2 2,其通常包括 第19頁 本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) Μ——ί 訂,iL----- A7Ui-fluowethaylene (ECTFE), or other protective coating agent, is better to be coated on the surface of the base 2 1 7 which is easily corroded by chemical drugs. Preferably, the protective layer can provide good shape retention of the metal base 2 1 7, tightly adhere to the metal base 2 1 7, provide good ductility, and be resistant to cracking under normal system operating conditions. Each processing platform 218 includes one or more processing tanks 240. The electrolyte replenishing system 2 2 0 is adjacent to the main frame 2 1 4 and communicates with the processing tank 24 0 respectively to carry the electrolyte required for the electroplating process. The key system platform 2 00 also includes a power platform 2 2 1 to provide power to the system and control system 2 2 2, which usually includes page 19. This paper size applies to Chinese National Standard (CNS) A4. Specifications (210 X 297 mm ) (Please read the notes on the back before filling this page) Μ——ί Order, iL ----- A7

經濟部智慧財產局員工消費合作社印製 513751 五、發明說明() 程控微處理器。 裝料站210宜包括一個或多個晶片卡盒接收區224、一種 或多種裝料站自動轉換機228以及至少—個晶片定位機23〇 。晶片卡盒接收區、裝料站自動轉換機228以及裝料站21〇 内之晶片定位機之數目可依據所欲得之系統的生產能力制 定。在圖2及3之具體實施例中顯示,裝料站川包括兩個 晶片卡盒接收區224、兩個裝料站自動轉換機228以及一個 晶片定位機=30。内含晶片234的晶片卡盒232係裝在晶片 卡盒接收區224上以便將晶片234送到電鍍系統平台。裝料 站自動轉換機228在晶片卡盒232和晶片定位機23〇之間傳 送晶片234。裝料站自動轉換機包含一般技藝上已知的 典型自動轉換機。晶片定位機230將各晶片234依所欲得之 取向定位,以確保晶片受到適當的處理。裝料站自動轉換機 228亦在裝料站210和SRD平台212之間以及裝料站21〇和 熱退火室211之間轉換晶片234。裝料站21〇亦包拾另一個 晶片卡盒23 1,其在严要時可暫時儲存晶片以提昇系統轉換 晶片的效率。 、Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 V. Description of Invention () Program-controlled microprocessor. The loading station 210 preferably includes one or more wafer cassette receiving areas 224, one or more loading station automatic transfer machines 228, and at least one wafer positioner 23o. The number of wafer cassette receiving areas, loading station automatic transfer machines 228, and wafer positioning machines in loading station 21 may be determined based on the production capacity of the desired system. As shown in the specific embodiments of FIGS. 2 and 3, the loading station includes two wafer cassette receiving areas 224, two loading station automatic transfer machines 228, and one wafer positioning machine = 30. The wafer cassette 232 containing the wafer 234 is mounted on the wafer cassette receiving area 224 to send the wafer 234 to the plating system platform. The loading station automatic transfer machine 228 transfers the wafer 234 between the wafer cassette 232 and the wafer positioning machine 23o. The loading station automatic changeover machine comprises a typical automatic changeover machine known in the art. The wafer positioning machine 230 positions each wafer 234 in a desired orientation to ensure that the wafers are properly processed. The loading station automatic changeover machine 228 also switches wafers 234 between the loading station 210 and the SRD platform 212 and between the loading station 210 and the thermal annealing chamber 211. The loading station 21o also packs another wafer cassette 231, which can temporarily store wafers when critical to improve the efficiency of the system's wafer conversion. ,

圖4為本發明的旋轉-沖洗-乾燥(SRD)微型組件之概要透 視ή,内有仲洗以及溶解液入口。圖5為圖4旋轉-沖洗-乾 燥(SRD)微型組件側面之橫切面圖,並顯示基材係直立在液 體入口之間的處理位置。較佳者,SRD平台2 1 2包括一個或 多個SRD微型組件236及一個或多個晶片轉運卡盒238。較 佳者,SRD平台212包括兩個與裝料站自動轉換機228數目 相當之SRD微型組件236,晶片轉運卡盒238則位於各SRD 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝---- 訂il —Figure 4 is a schematic overview of the spin-rinsing-drying (SRD) micromodule of the present invention, with secondary washing and dissolving solution inlets. Fig. 5 is a cross-sectional view of the side of the spin-rinsing-drying (SRD) micro-module of Fig. 4 and shows the processing position where the substrate is standing between the liquid inlets. Preferably, the SRD platform 2 1 2 includes one or more SRD micro-assemblies 236 and one or more wafer transfer cassettes 238. Preferably, the SRD platform 212 includes two SRD micro-modules 236 which are equivalent to the number of loading station automatic changers 228, and the wafer transfer cassette 238 is located in each SRD. Page 20 (210 x 297 mm) (Please read the notes on the back before filling this page)

經濟部智慧財產局員工消費合作社印製 微型組件之上方。晶片轉運卡盒23 8有助於晶片在裝料 站21〇及主機架214之間轉移。晶片轉運卡盒23 8可在裝料 站自動轉換機228及主機架轉移平台216自動機之間進出。 參考圖4及5,SRD微型組件23 8包含底部33〇a和側壁 330b,而與上罩板330c共同界定SRD微型組件盆槽330d, 其中罩板係與側壁相接而可將液體留滯在SRD微型組件内 。此外,亦可使用一種可移開的頂蓋。基座336位在SRD 微型組件内,其包括基座底座332以及基座傳動裝置334。 在處理過程中,基座3 3 6將基材3 3 8(如圖5)支托在基座上表 面基座傳動裝置334轉動基座以便旋轉基材且以下述之方 式抬高及降低基座。基材可由數個夾鉗3 3 7支承在基座上。 夾鉗以離心力轉動,並僅夾住基材棱邊禁區之基材。在較佳 具體實施例中,夾鉗在處理過程中僅在基材被抬離基座時才 夾住基材。亦可使用真空口(未顯示)以及其他支承元件。基 座有數個基座臂桿3 3 6a及3 3 6b,可使受到第二噴嘴的噴液 衝擊的基材下表面之:表面積達到最大。出口 可用以去除 SRD微型組件中的液、體。文中用以形容位置,,下面,,、,,上面” 、’’底部頂部"、"向上,,、”向下”、”上方”、以及,,下方” 和i他的名詞均與具體實施例之圖示部份有關,且視其相對 於處理設備的取向而定。 第一導管346内有液體347流通,其係與閥347a相接。 導管可為軟管、輸送管、軟管、或其他内含液體的導管。閥 34 7a控制著第一液體347液流且可選自各種閥,包括··針閥 、球閥、蝶形閥、或其他閥型態,並可包括由控制器3 6 2控 . 第21頁 本紙張尺度適用中國國家標準(CNS)A{規格(21〇 x 297公釐) -------------------訂—^----- (請先閱讀背面之注意事項再填寫本頁)Printed on top of micro-components by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The wafer transfer cassette 238 facilitates the transfer of wafers between the loading station 21 and the main frame 214. The wafer transfer cassette 238 is accessible between the loading station automatic changer 228 and the main frame transfer platform 216 automatic machine. 4 and 5, the SRD micro-module 23 8 includes a bottom portion 330a and a side wall 330b, and the upper cover plate 330c together defines the SRD micro-module basin 330d, wherein the cover plate is connected to the side wall to retain the liquid SRD micro-assembly. Alternatively, a removable top cover can be used. The base 336 is located in the SRD micro-module, and includes a base base 332 and a base transmission 334. During processing, the base 3 3 6 supports the substrate 3 3 8 (as shown in FIG. 5) on the upper surface of the base. The base transmission 334 rotates the base to rotate the base and raises and lowers the base in the following manner. seat. The substrate can be supported on the base by several clamps 3 3 7. The clamp rotates with centrifugal force and clamps only the substrate in the restricted area of the substrate edge. In a preferred embodiment, the gripper grips the substrate only when the substrate is lifted off the base during processing. Vacuum ports (not shown) and other support elements can also be used. The base has several base arms 3 3 6a and 3 3 6b, which can maximize the surface area of the lower surface of the substrate that is impacted by the liquid sprayed by the second nozzle. The outlet can be used to remove liquid and body from SRD micro-assembly. The text is used to describe the position, below, bottom, top, bottom, top, bottom, top, bottom, top, bottom, top, bottom, and bottom. The illustrations of the specific embodiments are related and depend on their orientation relative to the processing equipment. A liquid 347 is circulated in the first conduit 346 and is connected to the valve 347a. The catheter may be a hose, a delivery tube, a hose, or another fluid-containing catheter. The valve 34 7a controls the flow of the first liquid 347 and may be selected from various valves, including a needle valve, a ball valve, a butterfly valve, or other valve types, and may include a 3 6 2 control. Page 21 This paper size applies to China National Standard (CNS) A {Specification (21〇x 297 mm) ------------------- Order — ^ ----- (Please (Read the notes on the back before filling out this page)

513/M 五、發明說明( 制的閥傳動裝置,例如螺線管。導管346連接到基材上方的 第—液體入口 3 40處,其中包括可固定在sRD微型組件上的 安裝邵份342及固定在導管346上的連接部份344。第一液 隨入口處有單個第一噴嘴348,在加壓下可傳送第一液體347 到基材上方表面。但是,亦可使用多重噴嘴,且多重液體入 口可置於SRD微型組件的内緣附近。較佳者,置於基材上方 之噴嘴應位在基材直徑之外以避免噴嘴滴液滴到基材上。第 一液體入口可安裝在數個位置,包括穿過基材上面的頂蓋。 此外,可使用鉸接構件343將噴嘴接合在各種位置上,例如 :球窩接合及套筒接合。 第二導管352則類似於上述第一導管和相關的元件,其 係連接至控制閥349a及第二噴嘴351之第二液體入口 35〇 。第二液體入口 350係位於基材下方且引導第二液體穿過第 二噴嘴3 5 1角度向上而朝向基材下方。類似於第一液體入口 ,第二液體入口可包括數個噴嘴、數個液體入口以及安裝位 置以及數個取向,包《括使用鉸接構件3 5 3。各個液體入口可 伸入SRD微型組件内' 的各種位置。例如,若欲使液流以特定 角度向後朝向基材棱邊的S RD微型組件週邊上,則可將嘴嘴 以▲内作幅射狀排列使噴嘴之排放流會向後對著SRD微型 組件週邊喷放。 控制器362可分別控制此兩種液體及其個別流速、壓力 、和時間及任何相關的閥、和旋轉循環。控制器可位在搖抑 處,例如在控制儀錶板或控制室上且以搖控傳動裝置控制管 道裝置。另一個具體實施例(以虛線顯示)提供輔助液體入口 第22頁 ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂卜——* 經濟部智慧財產局員工消費合作社印製 513751513 / M V. Description of the invention (made of valve actuators, such as solenoids. The conduit 346 is connected to the first-liquid inlet 3 to 40 above the substrate, including mounting parts 342 and sRD which can be fixed on the sRD micro-module. The connection part 344 fixed on the conduit 346. The first liquid has a single first nozzle 348 at the inlet, which can convey the first liquid 347 to the upper surface of the substrate under pressure. However, multiple nozzles can also be used, and multiple The liquid inlet can be placed near the inner edge of the SRD micro-module. Preferably, the nozzle placed above the substrate should be outside the diameter of the substrate to prevent the nozzle from dripping onto the substrate. The first liquid inlet can be installed on the Several locations, including the top cover passing through the substrate. In addition, the hinge member 343 can be used to join the nozzle in various locations, such as ball and socket and sleeve joints. The second conduit 352 is similar to the first conduit described above And related components, which are connected to the control valve 349a and the second liquid inlet 35 of the second nozzle 351. The second liquid inlet 350 is located below the substrate and guides the second liquid through the second nozzle 3 51 angle upward While facing Under the material. Similar to the first liquid inlet, the second liquid inlet can include several nozzles, several liquid inlets and installation positions, and several orientations, including the use of hinge members 3 5 3. Each of the liquid inlets can extend into the SRD micro Various positions inside the module. For example, if you want to make the liquid flow backward at a certain angle toward the periphery of the S RD micro module at the edge of the substrate, you can arrange the nozzles in a radial pattern inside the ▲ so that the discharge flow of the nozzle will Spray backwards towards the periphery of the SRD micro-module. The controller 362 can control the two liquids and their individual flow rates, pressures, and time, as well as any associated valves, and rotation cycles. The controller can be located in a shaken place, such as at Control the piping on the dashboard or control room and control the piping with a remote control. Another specific embodiment (shown in dashed lines) provides an auxiliary liquid inlet. Page 22 ^ Paper size applies Chinese National Standard (CNS) A4 (210 X 297) (Mm) (Please read the precautions on the back before filling out this page) Order —— * Printed by the Employee Consumption Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 513751

五、發明說明() ^46a,其係由導管346b連接至第一導管340且具有控制閥 j46c ’可在溶解液通過後供沖洗液流經基材背面而無須移動 基材或將穿過第二液體入口的液流換成沖洗液。 具體貫施例之一係將基材安裝成Srd微型組件盆槽處理 面的沈積面向上。下文中將說明的是,此種排列一般將使第 一液體入口流出沖洗液,通常是去離子水或酒精。結果,基 材背面將安裝成面向下,而第二液體入口流出的液體將為溶 解液’例如酸(包括:鹽酸、硫酸、磷酸、氫氟酸)或其他溶 解液或液體類’視待溶之材料而定。此外,若此程序之目的 是在沖洗經處理之基材,則第一液體以及第二液體均為沖洗 液’例如:去離子水或酒精。 運轉時,基座是在較高的位置,如圖4,且自動機(未顯 示)將基材以正面向上的方式置於基座上。基座降低基材至 處理位置,基材於此處直立於第一以及第二液體入口之間。 通常’就200毫米基材而言,基座傳動裝置將基座以介於約 5至約5000 rpm( — $介於約20至約2000rpm)旋轉。此旋轉 i 由於離心力所致使得决鉗下方末端33 7a環繞著樞軸337b、 朝向S R D微型組件側壁週邊向外旋轉。爽麵旋轉迫使夾钳上 方末端337〇向内並向下朝著中心,並將基材338固定在基 座336上,較佳者夾住基材的棱邊。夾钳可在其位置上旋轉 而不致於觸及基材’且在處理時僅於基材離開基座大幅上昇 起才將基材固定在基座。由於基座係繞著基材旋轉,沖洗液 經由第一液體入口 340傳送在基材前側。將第二液體(例如 酸)經由第二液體入口傳送到背面以去除任何不欲得的沈積 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁)V. Description of the invention () ^ 46a, which is connected by the conduit 346b to the first conduit 340 and has a control valve j46c, which allows the flushing liquid to flow through the back of the substrate after the dissolution liquid passes without moving the substrate or passing through the first The liquid flow at the two liquid inlets was changed to a flushing liquid. One of the specific embodiments is that the substrate is installed with the deposition side of the processing surface of the Srd micro-module trough upward. As will be explained below, this arrangement will typically cause the first liquid inlet to flow out of the rinse fluid, usually deionized water or alcohol. As a result, the back of the substrate will be installed facing downward, and the liquid flowing out of the second liquid inlet will be a dissolving liquid such as an acid (including hydrochloric acid, sulfuric acid, phosphoric acid, hydrofluoric acid) or other dissolving liquid or liquid type. Depending on the material. In addition, if the purpose of this procedure is to rinse the treated substrate, both the first liquid and the second liquid are rinse liquid 'such as deionized water or alcohol. When in operation, the base is at a higher position, as shown in Figure 4, and the robot (not shown) places the substrate on the base in a face-up manner. The pedestal lowers the substrate to a processing position where the substrate stands between the first and second liquid inlets. Typically, for a 200 mm substrate, the base drive rotates the base between about 5 and about 5000 rpm (-$ between about 20 and about 2000 rpm). This rotation i caused by the centrifugal force causes the lower end 33 7a of the pincer to rotate outwards around the pivot axis 337b toward the side wall of the S R D micro-module. The refreshing rotation forces the upper end of the clamp 337 inward and downward toward the center, and fixes the substrate 338 on the base 336, preferably clamping the edges of the substrate. The clamp can be rotated in its position without touching the substrate ' and the substrate is fixed to the substrate only when the substrate rises significantly away from the substrate during processing. Since the base is rotated around the substrate, the rinsing liquid is transferred to the front side of the substrate through the first liquid inlet 340. Transfer a second liquid (such as acid) to the backside through the second liquid inlet to remove any unwanted deposits. Page 23 This paper is sized for China National Standard (CNS) A4 (210 X 297 public love) (Please read first (Notes on the back then fill out this page)

· n eat ϋ ϋ ί «ϋ β I mmmm§ n ϋ H 碌- 經濟部智慧財產局員工消費合作社印製 513751 A7 B7 五、發明說明() 。溶解液與沈積物發生化學反應並溶解,再將其由基材背面 以及其他任何有不欲得之沈積的區域沖走。在較佳具體實施 例中’沖洗液的流速係高於溶解液以免基材正面受到溶解液 之影響。第一及第二液體入口之最佳位置須視基材的大小、 個別流速、噴霧模式及待去除之沈積物的數量和型態及其他 因素而定。在某些情況下,在溶解液將不欲得的沈積物溶解 之後可由第二液體 '入口噴出沖洗液以沖洗基材背面。在其他 情況下’可用與沖洗液連接的輔助液體入口噴洗基材背面以 洗去任何殘留在背面之溶解液殘餘物。在沖洗基材正面及/ 或背面後液流即予停止’基座則繼續旋轉而旋轉基材,因此 有效的乾燥其表面。 液體一般係以噴霧模式傳送,視其噴霧模式的特定噴嘴 不同而可包括扇形、噴射形、圓錐形、以及其他模式。分別 由第一及第二液體入口流出的液體其中之一種噴霧模式(以 第一液體為沖洗液)為扇形,以每平方英吋壓力約1 0至約i 5 磅(psi)及每分鐘流速約i至約3加侖(gpm)噴在2〇〇 mm晶片· N eat ϋ ϋ ί «ϋ β I mmmm§ n ϋ H-Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 B7 V. Description of the invention (). The dissolution solution chemically reacts with the sediment and dissolves it, and then it is washed away from the back of the substrate and any other areas that have unwanted deposits. In a preferred embodiment, the flow rate of the 'rinsing solution is higher than that of the dissolving solution to prevent the front side of the substrate from being affected by the dissolving solution. The optimal location of the first and second liquid inlets depends on the size of the substrate, the individual flow rate, the spray pattern, the amount and type of sediment to be removed, and other factors. In some cases, the rinsing liquid may be sprayed from the second liquid 'inlet after the dissolution liquid dissolves the unwanted deposits to rinse the back of the substrate. In other cases', the back of the substrate may be spray-washed with an auxiliary liquid inlet connected to the rinsing liquid to remove any residual solution residue remaining on the back. After the front and / or back surface of the substrate is rinsed, the flow is stopped. The base continues to rotate to rotate the substrate, so the surface is effectively dried. The liquid is generally delivered in a spray mode, which can include fan, spray, cone, and other modes depending on the particular nozzle of the spray mode. One of the spray modes of the liquid flowing from the first and second liquid inlets (with the first liquid as the flushing liquid) is fan-shaped, with a pressure of about 10 to about 5 pounds per square inch (psi) and a flow rate per minute About i to about 3 gallons (gpm) sprayed on a 200mm wafer

S 上。 、 本發明亦可用以去除基材棱邊上不欲得的沈積物以形成 棱禁區。在調整噴嘴取向及位置、液體流速、基材旋轉速 度、以及液體化學組成後,也可去除基材棱邊及/或棱邊禁區 上不欲得的沈積物。因此,實質上在預防正表面上之沈積物 落解時未必包括基材棱邊或棱邊禁區。同時,在預防正表面 之沈積物溶解時應至少包括預防溶解,以便正面之沈積物受 到的損害不致超出其商業價值。 ^ 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 訂 ill·-----^91. 經濟部智慧財產局員工消費合作社印製 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 達成棱邊禁區溶解處理的方法之一是在將溶解液散佈於 基材背面時使用較低的圓盤旋轉速度,例如約100至約1000 rpm。離心力會將溶解液移除到基材棱邊上並由於表面張力 而在棱邊四周形成液體層’因此溶解液由基材背面重疊到正 面棱邊區域。基材的旋轉速度和溶解液的流速均會改變與正 面重疊的程度。例如,降低旋轉速度或增加流速會降低液體 與反面(例如:正®)重疊的程度。此外’調整刷到正面之 沖洗液的流速和噴角可校正基材棱邊及/或正面上的溶解液 層。在某些情況下,可先使用溶解液而無須用到沖洗液以達 成去除棱邊及/或棱邊禁區的效果,再採用本發明上述之沖洗 /溶解程序。 圖27為合併棱珠去除/旋轉-沖洗-乾燥(EBR/SRD)微型組 件之切面圖,其中顯示基材係直立於液體入口之間的處理位 置。本發明具體實施例均適用於棱珠去除(EBR)和旋轉-沖洗 -乾燥(SRD)程序。EBR/SRD微型組件以置於SRD平台212(參 見圖3)中較佳。EBR/SRD微型組件2200包括容器2102、晶 : > 片支架配件2104和液體/化學藥品輸送配件2106。容器2102 較佳者包括圓筒狀側壁2 1 08,容器底部2 11 0具有中心孔 2113,及一個上彎的内壁2114自中心孔2112棱邊的周邊向 上延伸。液體出口 2 11 6則連接到容器底部 2 11 0以便從 EBR/SRD微型組件2200中排放廢液和化學藥品。 晶片支架配件2 1 04係位於中心孔2 1 1 2上面並内含升降 機配件2 1 1 8和通過中心孔2 11 2的旋轉配件2 1 20。升降機配 件2 1 1 8以包含波紋管式升降機或導螺桿步進馬達升降機配 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) I ΙΓ 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 件較佳,其係技藝上已知且為市售商品。升降機配件2118 有助於將晶片2122在各種直立位置間移動’並在晶片支架 配件2104上定位。旋轉配件2120宜包含安裝在升降機配件 下面的回轉馬達。旋轉配件2 1 20則在棱珠去除程序中旋轉 晶片2122 。 晶片支架配件2 1 04以包含從晶片背面固定晶片2 1 22且 不致於阻擋晶片棱邊2 1 2 6的真空吸盤2 1 2 4較/[圭。在真空吸 盤周邊部份的表面以使用環形密封墊圈2 1 2 8,例如可壓縮的 密封圈較佳,以避免在棱珠去除程序中液體和化學藥品進入 真空吸盤2124。晶片支架配件2104以内含晶片升降機2130 較佳,其有助於將晶片從自動轉換機的自動機葉片上轉移到 晶片支架配件2 1 04。晶片升降機2 1 30如圖1 4,包含十字夾 配件,其亦可在旋轉-沖洗-乾燥程序中用來固定晶片。十字 夾配件包括數個臂桿2134從環形底座2136伸出及位在臂桿 2134末端之樞軸的十字夾2138。環形底座2136包括壁2137 向下延續而與上彎的卢壁2114重疊,其可在處理過程中將 廢液留存於容器2102内。十字夾2138包括的上方表面214〇 可接收晶片,夹钳部份2 1 42可夹住晶片,及下方部份2丨44 在▲片支架配件旋轉時由於離心力可造成夾鉗部份2142夾 住晶片棱邊。此外,晶片升降機213〇包含在各種晶片處理 設備中常用的晶片升降機,例如配置於真空吸盤中或四周的 升降機平台或升降機環中的升降機針組或升降機箍。液體/ 化學藥品輸送配件2106包含一個或多個置於一個或多個分 送臂桿2152上的噴嘴2150。分送臂桿2152伸入容器側壁 ^ 第26頁 (請先閱讀背面之注意事項再填寫本頁) 裝· ----.--訂---- 辱· 513751 五、發明說明() 21〇8,其係與傳動裝置2154相接以伸縮方式變換嘴嘴2丨5〇 在基材2122上的位置。由於此種可延伸的分送臂桿所 致,噴嘴之位置可在晶片正上方,嘴嘴則對準晶片内側至晶 片棱邊的部份,如此便有助於控制輸送蝕刻劑/液體到晶片= 邊。此外,分送臂桿2 1 52係固定在容器側壁2丨〇8上,而噴 嘴215〇則固定在容器2102中而不致於干擾直立晶片活動的 分送臂桿上。 較佳者,/分送臂桿2152中宜包括一種或多種通過分送臂 桿的導管以連通噴嘴2150與蝕刻劑源。技藝上已知有數種 姓刻劑可去除基材上的沈積金屬,例如硝酸其他市售的酸。 此外,喷嘴2 1 50係經由通過分送臂桿2丨52内導管的彈性管 道2156連通。噴嘴2150可選擇性地連接至一種或多種化學 藥品/流體源,例如去離子水源2 1 6 0和蝕刻劑源2 1 6 2,由電 腦控制2 1 64依據程序在一種或多種液體/化學藥品源之間切 換。此外,第一組噴嘴係連接到去離子水源,而第二組噴嘴 則連接至蝕刻劑源、且喷嘴選擇性地啟動以便對晶片提供液 體。 、 較佳者’在晶片下面另外放置一組下方噴嘴2 1 7 0,較佳 者直立式的對上噴嘴2 1 5 0之位置。下方噴嘴2 1 7 0則選擇性 地連接到去離子水源2 1 6 0及触刻劑源2 1 6 2,嘴嘴2 1 7 0傳送 的液體則由控制器2 1 6 4控制。較佳者,噴嘴2 1 7 0將液體傳 送到晶片背面的周邊部份。下方喷嘴2 1 70的位置以不致於 干擾晶片升降機2130活動較佳。下方喷嘴2170亦可透過臂 桿2 1 76附在傳動裝置2 1 74上以伸縮的方式將噴嘴2 1 70放 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 il·---^1— 訂· 經濟部智慧財產局員工消費合作社印製 513751 A7 B7 五、發明說明() 在所欲得之位置上。此外,晶片升降機2 1 3 0在處理過程中 不會旋轉以免干擾到下方噴嘴2170。EDR/SRD微型組件 2 2 0 0較佳者亦包括一個專用的去離子水嘴嘴2 1 7 2以傳送去 離子水到晶片上方表面的中心部份。 較佳者’噴嘴2 1 50宜以實質上近乎正切方向的置放角度 向晶片周邊部份提供液體。圖28為EBR/SRD微型組件的俯 瞰概要圖,展示用以去除棱珠之喷嘴位置的具體實施例。如 圖中所顯示,三個噴嘴2 1 5 0在容器側壁2 1 0 8内表面上實質 以等距置放。各個喷嘴2 1 5 0均配置成可將液體送到晶片的 棱邊部份,而其位置之間的空間則足以讓晶片在處理位置及 轉移位置之間垂直活動。較佳者,液體的輸送或噴灑模式係 由噴嘴形狀和液體壓力控制以便液體僅送達所選擇的棱邊 禁區。例如,蚀刻劑僅限於晶片外圍3mm的環形部份以便 形成3mm棱邊禁區。噴嘴的位置以能抑制蝕刻劑與晶片在 接觸時蝕刻劑飛濺的入射角將蝕刻劑送達晶片表面。圖2 9 為在處理晶片2 1 22 _喷嘴2 1 50之配置側面圖。較佳者,蝕 刻劑對晶片的入射角' α係介於約0度及約4 5度之間,更較 佳者介於約1 0度及約3 0度。 离片2 1 >2在棱珠去除程序中旋轉使晶片周邊部份與蝕刻 劑的接觸機會實質上相等。較佳者,晶片2 1 22以與蝕刻劑 噴灑模式相同的方向旋轉以增進控制棱珠去除。例如,如圖 2 8’晶片以逆時鐘方向(箭頭A)旋轉,其與噴灑模式的逆時 鐘方向相同。晶片以介於約l〇〇rpm至約1000 rprn旋轉較佳 ,更佳者介於約500 rpm及約700 rpm。有效的蝕刻速度(即 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) C請先閱讀背面之注意事項再填寫本頁) 裝 訂——l· — — 經濟部智慧財產局員工消費合作社印製 513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 銅去除數量除以去除所消耗的時間)與蝕刻劑之蝕刻速度、 姓刻劑與晶片棱邊接觸之速度、蝕刻劑之溫度、及晶片旋轉 速度有關。可藉由變化此類參數以達成所欲得之特定結果。 運轉時,晶片2122係位在EBR/SRD微型組件2200晶片 支架配件2104上面,由晶片升降機2130將自動轉換機葉片 上的晶片吊起。自動機葉片撤回,晶片升降機213 0則將晶 片降下放到真空吸盤2 1 24上。此時便啟動真空系統將晶片 2122固定在上面,晶片支架配件2104便在噴嘴2150傳送姓 刻劑到晶片周邊邵份2 1 2 2時協同配置其上的晶片一起旋轉 。較佳者,在棱珠去除程序中下方噴嘴2丨7〇亦傳送蝕刻劑 到晶片背面。較佳者,去離子水噴嘴2 1 72在棱珠去除程序 中傳送去離子水到晶片中心部份以預防因蝕刻劑噴濺到晶 片表面中心邵份而造成蚀刻。此蚀刻程序進行了一段預定可 足以去除晶片棱邊(即棱珠)上過量沈積物的時間。在旋轉_ 沖洗-乾燥程序中以使用去離子水清洗晶片較佳。旋轉-沖洗. 乾燥程序一般包括1送去離子水到晶片上以沖洗晶片上殘 存的I虫刻劑並以高速、旋轉晶片來乾燥晶片。在旋轉-沖洗-乾 燥程序中,在晶片旋轉時所有噴嘴2 1 5 0、2 1 70以及2 1 72以 i 傳送去離子水沖洗晶片較佳。當晶片經沖洗後,晶片以旋轉 乾燥並送離EBR/SRD微型組件2200作進一步處理。 EBR/SRD微型組件220或SRD微型組件23 8係配置在鄰 近裝料站210之處供作連接裝料站21〇和主機214之用途。 再參考圖2和3,主機架214如圖示,包括兩個配置成彼此 相對的處理平台218,各處理平台218具有兩個處理槽24〇 ; 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格_(21〇 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) 裝 訂--- 513751 五、發明說明() 。主機轉移平台216包括献罢六士 L括配置在中心的自動轉移主 可在主機架上各種平合夕PE|絲從甘. ’ 丁 〇足間轉移基材。較佳者, 機242包含數個自動機臂桿24〇2,可各自從配置在主^多王 或與其相連的處理平台218、SRD平台 术上 , ^ ^ W日θ層修補平 台、以及其他處理平台上在般日& . ^ ^ 卞 口上存取卵片。如圖3,自動 242包含兩個自動機臂桿24〇2,其數目則與各處理平台王218 上的處理槽240相當。各自動機臂 4〇2 ^ ^ 1固終端換 縱裝置2404 <以便在轉移晶片時支承晶片。 較佳者,各自動機臂桿24〇2均可以獨立於其他臂桿自行 運轉以便有助於在系統内獨立轉移晶片。此外,自動機臂桿 2402係以連接的方式運轉以便當其他自動機臂桿撤回時其 中一個自動機伸出。 .較佳者,主機架轉移平台216包括在自動轉移主機242 上的升降舵自動機終端操縱裝置24〇4,其能將晶片從面朝上 之位置反轉成面朝下的位置以便供須面朝下處理晶片的處 理槽240使用。升[^舵自動機終端操縱裝置2404提供沿著 升降舵自動機終端操、縱裝置2404之水平軸旋轉活動。較佳 者,在升降舵自動機終端操縱裝置2404反轉晶片並轉換晶 片時,真空啦氣夾254(配置在升降舵自動機終端操縱裝置 24 04的末端)會夾住晶片。升降舵自動機終端操縱裝置2404 將晶片234放進處理槽240中以進行面朝下的處理。依據本 發明之電鍍處理槽將於下文中詳細說明。 圖3顯示具有升降舵自動機的自動轉移主機。自動轉移 主機242,如圖24,係用以在主機架平台上之不同平台間轉 第30頁 ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝---;------訂·----1-----^9—. 經濟部智慧財產局員工消費合作社印製 513751 A7 ------- B7 五、發明說明() 移晶片,包括處理平台以及SRD平台。自動轉移主機242 包括數個自動機臂桿2402(顯示兩個),升降舵自動機2404 則附於其上以作為各自動機臂桿2402的終端操縱裝置。升 降航自動機為一般技藝上所常見且可附加以作為晶片自動 運轉機的終端操縱裝置,例如:型號RR7〇1可購自R〇rze Automation, Inc‘(Milpitas,Calif〇rnia)。自動轉移主機 242 上有升降舵自動機作為終端操縱裝置,能在附屬於主機架的 不同之平台之間運送基材並將待轉送的基材反轉至所欲得 之表面取向,即將基材處理面反轉成面朝下供電鍍處理過程 使用。例如’在處理槽240中升降舵自動機將基材處理面反 轉成面朝下的方式供電鍍處理過程使用,並在其他程序中, 例如:旋轉-沖洗-乾燥程序,將基材處理表面反轉成面向上 〇 較佳者,自動轉移主機242以自動機臂桿2402沿著X-Y-Z軸進行個別的自動機運轉,並以升降舵自動機終端操縱 裝置2404進行個巧的反轉基材旋轉。當與升降舵自動機 2404合併成自動轉移主機終端操縱裝置時,晶片轉換程序較 簡單,因為可省略自動轉送主機轉送晶片到升降舵自動機的 步驟。 、 較佳者,一種或多種非電式沈積槽或微型組件則配置在 籽晶層修補平台2 1 5上。非電式沈積槽,本文稱為非電式沈 積處理(EDP)槽’係進行非電式沈積程序。edp槽可位於電 鍍系統平台2 0 0後面的部份,在基材入口處的末端。具體實 施例顯示兩個EDP槽可為並排以便具有較高的產率。 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) (請先閱讀背面之注意事項再填寫本頁) 訂 ill·-----線0-· 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 513751 A7 ________ B7 五、發明說明() 圖24為EDP槽3010之橫切簡圖。EDP槽3010包括底部 3 0 1 2、側壁3 0 1 4以及附在側壁3 0 1 4上呈角度配置的上罩板 3 0 1 6並在罩板中央開口。此外,亦可使用可移開的頂蓋(未 顯示)。基座3018 —般係配置在槽3010中央位置且包括基 座傳動裝置3020。基座傳動裝置3020旋轉基座3018使安裝 其上之基材3022以介於約1〇至約2000RPM旋轉。可加熱 基座使基材溫度介於約15°C至約100。(:,較佳考約6(TC ^基 座升降機3024昇向及降下基座3018。基材3022可由安裝在 基座3018頂部的真空吸盤3026固定。此外,基座3018將 基材3022降低至與數個夾鉗3028垂直的位置。夾鉗3028 以離心力旋轉並夾住基材3022,較佳者夾在基材棱邊。基座 3018亦包括向下配置的環形罩板3030,其直徑大於與槽 30 1 0底部結合、向上配置的環形罩板3032。此兩個環形罩 板3030、3032交疊而使槽3010中液體不致與基座3018和 相關的組件接觸。3010槽底部至少配置著一個液體出口 3〇34 以便液體能流出槽外。 j 第一導管3 0 3 6内 '有非電式沈積液體流通,其係與槽3 〇 i 〇 接合。導管3036可為軟管、輸送管、軟管、或其他内含液 體幼導管。啡電式沈積液體閥303 8控制非電式沈積液體的 流動,而揭示於本文之閥可為針閥、球閥、蝶形閥、或其他 種型式閥,並可包括閥傳動裝置,例如螺線管。非電式沈積 液體容器3044係連接至可以控制器304〇控制的閥3〇38。數 個閥3042a-f則與各種化學藥品源(未顯示)連接,其中閥 3042a-f可分別由控制器3040控制。較佳者,非電式沈積液 ; 第32頁 本紐尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) -----------裝------1--訂----^------ (請先閱讀背面之注意事項再填寫本頁) 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 體係視各個在基材3022上沈積時所需使用的數量混合且在 沈積之岫不致於反應,以免預先在導管3 〇 3 6以及相關元件 中發生非電式沈積。閥303 8、3042a-f因此以位於靠槽3010 近端處較佳。當基材配置在較下方之位置時,第一導管3〇36 便連接到配置在基材3022上面的第一液體入口 3〇46 ,較佳 者則與鉸接構件3048連接,例如球窩接合及套筒接合,以 供入口 3046運轉並供調整入口 3〇46在槽3〇1〇中的角度。 第一噴嘴30^0係與入口 3046之末端連接且朝向基座3018 。液體一般係以噴霧模式傳送,視所欲得之喷霧模式的特定 噴嘴而定,可包括扇形、噴射狀、圓錐形及其他模式。較佳 者,噴嘴3050係位於基材3022週邊外以免阻礙吊起及降下 基材。此外,噴嘴3 0 5 0可為接合到槽3 〇丨〇週邊,由傳動裝 I (未顯示)帶動噴嘴3050以側向、垂直或其組合方式活動, 以便在吊起及降下基材時讓出供基材3022使用的垂直方向 空間。 第二導管3052與<第一導管以及相關元件類似,其係配置 成通過側壁3 0 1 4。第k二導管3 〇 5 2提供沖洗液體(例如去離子 水或酒精)的通路,其係於非電式沈積後用以沖洗基材3 022 。第二入口-3 054係與第二導管3〇52連接,而第二噴嘴3〇56 則與第二入口 3054連接。鉸接構件3〇59係與第二入口 3〇54 接合且可活動並調整入口對槽3010之角度。第二閥3058係 與第二導管3052連接,較佳者控制沖洗液的計時及流動。 第二導管亦與低濃度酸或其他流體源及控制液體的閥相通 。此外,酸供應器可與另一條導管(未顯示)相接。典型的液 第33頁 ^紙張尺度適用ΐ國國家標準(CNS)A4規格(210 X 297公釐) -----------裝------^ —訂---------- (請先閱讀背面之注意事項再填寫本頁) 513751 Α7 Β7 五、發明說明( 體包括:鹽酞、硫酸、磷酸、氫氟酸,或其他可 、 社非电式沈 (請先閱讀背面之注意事項再填寫本頁) 積後用以塗覆基材表面以免該層在進行電鍍處理過程之前 發生氧化反應及遭其他污染物污染之液體或液體類。基材便 因此可轉送進行後續的處理,例如钱,其係處於,,^濕,= 態下以儘量減少氧化反應以及其他污染物。於,,潤濕,,狀雖 轉移的能力可更增強若基材在非電式沈積程序後有一段時 期維持面向上的位置。 以控制器,3040控制各閥,並因此控制各液體的定時及流 動較佳。以控制器3040控制基材旋轉、及基座與配置於其U 上的基材的昇高和降低較佳。控制器3 〇4〇可由遠端搖抄, 例如:由控制儀錶板(未顯示)或控制室及以搖控傳動裝置控 制的管道裝置。 •運轉時’自動機(未顯示)將基材3022面向上送至EDp槽 3010。基材3022上已有籽晶層沉積,例如以ρν〇或imp處 經濟部智慧財產局員工消費合作社印製 理。基座3018上昇而真空吸盤3026則夾住基材3022之背 面。自動機撤回,基序3 0 1 8則降低至處理高度。控制器3 〇4〇 開動閥3042a-f將化學藥品送入非電式液體容器3〇44中,化 學藥品混合後,由控制器指揮非電式沈積液體閥3 〇3 8打開 讓士定數量之非電式沈積液體由第一入口 3046進入並通過 第一噴嘴3 0 5 0。較佳者,基座3 0 1 8以約1 〇至約5 0 0 RP Μ相 當低之速度旋轉使進入的液體在基材3022上形成均勻的塗 層。其旋轉方向可交替逆轉以便將液體均勻的塗抹在基材上 。再關閉非電式沈積液體閥3 0 3 8。非電式沈積液體在先前形 成的籽晶層沉積上及前一沈積層之接合空隙上自動催化形 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 成塗層,其在縱橫比更高之形體上能形成更完整均勻的塗層 。較佳者,非電式沈積程序在大多數基材上之沈積物介於約 100A 到 400A 〇 第二闕3058開啟讓沖洗液流過第二導管3052並經由第 一貪f 3056噴洒在基材3〇22上。較佳者,基座3〇丨8以約 100至約500 RPM的較快速度旋轉,其餘非電式沈積液體則 由基材3022流出經由出口 3〇34排放並丟棄。基材可採用酸 或其他塗覆液體塗覆。在某些情況下,基座3〇18可以約5〇〇 至約2000RPM的較高速度旋轉以便將基材3〇22旋轉乾燥。 基座3018停止旋轉並將基材3〇22昇高到edP槽3〇1〇 之上。真空吸盤3026釋出基材3022,由自動機將基材收回 到電鍍槽内進行其他處理。 圖6為依據本發明電鍍處理槽4〇〇之橫切面圖。電鍍處 理槽400(如圖6)與電鍍處理槽240(如圖2和3)相同。處理 槽4 00 —般包含頭端配件41〇、處理套組42〇以及電解液收 集器440。較佳者係將電解液收集器44〇固定在主機架214 機體442之開口 443(在處理套組420位置之邊界)的上方。 電解液收集器440包括内壁446、外壁448以及連接兩者之 底‘ 447。電解液出口 449係配置成穿過電解液收集器44〇 底邵447並由軟管、軟管、輸送管或其他液體輸送連接琴與 電解液補充系統220(如圖2)連通。 頭端配件4 1 0係安裝在頭端配件機架452上。頭端配件 機架452包括固定架454以及懸臂樑桿456。固定架454係 安裝在主機架214之機體442上,懸臂樑桿456從固定架454 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^---Γ------^---^------ (請先閱讀背面之注意事項再填寫本頁) 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 上方邵份向側面延伸。較佳者,固定架4 5 4可沿著固定架的 垂直軸進行旋轉動作使頭端配件4 1 〇旋轉。頭端配件4 1 0係 附加在配置於懸臂樑桿456末端的安裝板460上。懸臂樑桿 456下方末端係連接到安裝在固定架454上之懸臂樑桿傳動 裝置457,例如:氣壓缸。懸臂樑桿傳動裝置457使懸臂樑 桿456環繞著懸臂樑桿456和固定架454之接合點進行樞軸 旋轉動作。當懸臂丨梁桿傳動裝置457撤回時,羅臂樑桿456 將頭端配件410從處理套組420處移開而由電鍍處理槽400 中騰出移開及/或置放處理套組420所需的空間。當懸臂樑桿 傳動裝置457伸出時,懸臂樑桿456將頭端配件41〇移向處 理套組4 2 0而將晶片置於頭端配件4 1 〇上的處理位置。 頭端配件4 1 0 —般包含晶片支架配件4 5 〇以及晶片配件 傳動裝置458。晶片配件傳動裝置458係安裝在固定板46〇 上’其中並包括頭端配件柄462向下延伸穿過安裝板460。 頭端配件柄462的下方末端係連接到晶片支架配件45〇以便 將晶片支架配件450』置於處理位置及晶片荷載位置。 晶片支架配件450 —般包含晶片支架464以及陰極接環 466。圖7為本發明陰極接環466具體實施例之橫切面圖。 i 一般而言’接環466包含環形機體,其上配置了數個導電構 件。裱形機體是由絕緣材料製成以便將數個導電構件電分離 。機體和導電構件共同形成不相容的内基材座面,在處理過 程中可支托基材並向其提供電流。 詳考參考圖7 ’接環4 6 6 —般包含數個至少係部份配置在 環形絕緣機體770内的導電構件765。絕緣機體77〇有個凸 ' 第36頁 本紙張尺錢时_家標準規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) -裝----------訂 ~---,-----線| 513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 緣762及呈向下彎滑的坡肩部份764,如此形成了位於凸緣 762下面的基材座面768,使凸緣762和基材座面768位在 已偏移、實質上卻平行的平面上。因此,凸緣762可界定第 一個平面,而基材座面768則界定與第一平面平行的第二個 平面’其中肩邵764係配置在兩個平面之間。但是,圖7之 接環設計僅旨於示範。在其他具體實施例中,肩部764可為 較陡崎的角(包括實質上之直角)以便實質上垂直於凸緣762 以及基材座面768。此外,接環466可實質上為平面以排除 肩部764。但是,基於下述之理由,較佳具體實施例仍包含 圖6之肩部764或其改良。 導電構件765係由數個在凸緣762上呈環形配置的外電 接觸片780、數個配置在基材座面768部份的内電接觸片772 •、以及數個嵌入式導電連接器776(將片772、780彼此連接) 所界足。導電構件765之間則由絕緣機體77〇隔離,絕緣機 體可以是塑膠製,例如聚氟亞乙烯(PVDF)、全氟烷氧樹脂 (PFA)、Tefl〇nTM、以《及TefzelTM或任何其他種絕緣材料,例 如二氧化二鋁(Al2〇3)或其他陶瓷。外接觸片780係與電源 (未顯示)連接以便在處理過程中經由連接器776傳送電流和 電基到内接觸片。再由内接觸片772與基材周邊部份接觸而 供應電流和電壓給基材。因此,在運轉時導電構件765係作 為一種與基材通電的微型電路。 低電阻而高傳導度與電鍍效果良好有直接關聯。為了確 保低電阻,導電構件765以銅(Cu)、鉑(pt)、姮(Ta)、鈦(Ti) 、金(Au)、銀(Ag)、不銹鋼或其他導電材料製造者較佳。亦 - 第37頁 (請先閱讀背面之注意事項再填寫本頁) -裝---'---*--訂·---.-- I 1S on. The present invention can also be used to remove unwanted deposits on the edges of the substrate to form a forbidden zone. After adjusting the orientation and position of the nozzle, the liquid flow rate, the substrate rotation speed, and the chemical composition of the liquid, unwanted deposits on the edges and / or forbidden areas of the edges can also be removed. Therefore, it is not necessary to include the edge of the substrate or the edge exclusion zone when preventing the sediment on the front surface from dissolving. At the same time, the prevention of dissolution of the sediments on the front surface should at least include the prevention of dissolution, so that the damage to the sediments on the front surface will not exceed its commercial value. ^ Page 24 This paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) (Please read the precautions on the back before filling this page) Binding ill · ----- ^ 91. Ministry of Economic Affairs Wisdom Printed by the Consumer Cooperative of the Property Bureau 513751 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () One of the methods to achieve the dissolution treatment of the edge restricted area is to use a lower solution when dissolving the solution on the back of the substrate The rotation speed of the disc, for example, about 100 to about 1000 rpm. The centrifugal force will remove the dissolving liquid onto the edge of the substrate and form a liquid layer 'around the edge due to surface tension. Therefore, the dissolving liquid overlaps from the back surface of the substrate to the front edge region. Both the rotation speed of the substrate and the flow rate of the dissolving solution change the degree of overlap with the front surface. For example, reducing the rotation speed or increasing the flow rate will reduce the degree of overlap of the liquid on the opposite side (for example, Positive®). In addition, 'adjusting the flow rate and spray angle of the flushing liquid to the front side can correct the edge of the substrate and / or the layer of the dissolving liquid on the front side. In some cases, the dissolving solution can be used without the use of a rinsing solution to remove the edges and / or the forbidden area of the edges, and then the above-mentioned rinsing / dissolving procedure can be used. Figure 27 is a cross-sectional view of a combined bead removal / rotation-rinsing-drying (EBR / SRD) micro-assembly showing the substrate in a processing position standing upright between the liquid inlets. The embodiments of the present invention are all applicable to bead removal (EBR) and spin-rinse-dry (SRD) procedures. The EBR / SRD micro-module is preferably placed in the SRD platform 212 (see Figure 3). The EBR / SRD micro-module 2200 includes a container 2102, a crystal: > sheet holder accessory 2104, and a liquid / chemical delivery accessory 2106. The container 2102 preferably includes a cylindrical side wall 2 1 08, the container bottom 2 110 has a central hole 2113, and an upwardly curved inner wall 2114 extends upward from the periphery of the edge of the central hole 2112. The liquid outlet 2 11 6 is connected to the bottom 2 110 of the container to discharge waste liquid and chemicals from the EBR / SRD micro-assembly 2200. The wafer holder fitting 2 1 04 is located above the center hole 2 1 1 2 and contains a lifter fitting 2 1 1 8 and a rotating fitting 2 1 20 passing through the center hole 2 11 2. Lifter accessories 2 1 1 8 with bellows lifter or lead screw stepper motor lifter, page 25 This paper size applies to China National Standard (CNS) A4 (210 x 297 mm) (Please read the precautions on the back first (Fill in this page again) I ΙΓ 513751 A7 B7 printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. The description of the invention () is better, it is known in the art and is a commercial product. The lifter accessory 2118 helps to move the wafer 2122 between various upright positions' and position it on the wafer holder accessory 2104. The swivel accessory 2120 should include a swivel motor mounted under the elevator accessory. The rotating accessory 2 1 20 rotates the wafer 2122 during the bead removal process. The wafer holder fitting 2 1 04 includes a vacuum chuck 2 1 2 4 which includes a wafer 2 1 22 which is fixed from the back of the wafer and does not block the wafer edges 2 1 2 6. A ring-shaped gasket 2 1 2 8 is used on the surface of the peripheral portion of the vacuum chuck. For example, a compressible seal is preferred to prevent liquid and chemicals from entering the vacuum chuck 2124 during the bead removal process. It is better to include a wafer lifter 2130 in the wafer holder fitting 2104, which helps to transfer the wafer from the robot blade of the automatic changer to the wafer holder fitting 2 104. The wafer lifter 2 1 30, as shown in Figure 14, includes a cross clamp accessory, which can also be used to hold the wafer during the spin-rinse-dry process. The cross clip fitting includes a plurality of cross clips 2138 that extend from the circular base 2136 and a pivot at the end of the arm 2134. The annular base 2136 includes a wall 2137 extending downward and overlapping the upwardly curved wall 2114, which can retain the waste liquid in the container 2102 during processing. The cross clip 2138 includes an upper surface 214. The wafer can be received, and the clamp portion 2 1 42 can clamp the wafer, and the lower portion 2 44. The clamp portion 2142 can be clamped by the centrifugal force when the ▲ piece holder is rotated. Wafer edges. In addition, the wafer lifter 213 includes wafer lifters commonly used in various wafer processing equipment, such as a lifter needle set or a lifter hoop arranged in or around a vacuum chuck or a lifter platform or a lifter ring. The liquid / chemical delivery accessory 2106 includes one or more nozzles 2150 placed on one or more delivery booms 2152. The distribution arm 2152 extends into the side wall of the container ^ page 26 (please read the precautions on the back before filling in this page) Installation · ----.-Order-Disgrace · 513751 V. Description of the invention () 21 〇8, which is connected with the transmission device 2154 in a telescopic manner to change the position of the nozzle 2 丨 50 on the substrate 2122. Due to this extendable dispensing arm, the nozzle position can be directly above the wafer, and the nozzle mouth is aligned with the inside of the wafer to the edge of the wafer, which helps to control the transport of etchant / liquid to the wafer = Edge. In addition, the distribution arm 2 1 52 is fixed on the container side wall 2 08, and the nozzle 2150 is fixed in the container 2102 so as not to interfere with the movement of the upright wafer. Preferably, the / dispensing arm 2152 preferably includes one or more conduits through the dispensing arm to communicate the nozzle 2150 with the etchant source. Several types of nicking agents are known in the art to remove deposited metals such as nitric acid and other commercially available acids. In addition, the nozzles 2 1 50 are communicated via an elastic pipe 2156 passing through the inner duct of the distribution arm 2 52. The nozzle 2150 can be selectively connected to one or more chemical / fluid sources, such as a deionized water source 2 1 6 0 and an etchant source 2 1 6 2 controlled by a computer 2 1 64 according to a program in one or more liquid / chemicals Switch between sources. In addition, the first set of nozzles is connected to a source of deionized water, while the second set of nozzles is connected to an etchant source, and the nozzles are selectively activated to provide liquid to the wafer. The better one is to place another set of lower nozzles 2 1 70 below the wafer, and the better is to vertically position the upper nozzles 2 15 0. The lower nozzle 2 1 7 is selectively connected to the deionized water source 2 16 0 and the contact agent source 2 1 6 2. The liquid delivered by the nozzle 2 1 7 0 is controlled by the controller 2 1 6. Preferably, the nozzle 2 170 transfers the liquid to a peripheral portion on the back of the wafer. It is better to position the lower nozzle 2 1 70 so as not to interfere with the movement of the wafer lifter 2130. The lower nozzle 2170 can also be attached to the transmission 2 1 74 through the arm 2 1 76. The nozzle 2 1 70 can be placed in a telescopic manner on page 27. This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ) (Please read the notes on the back before filling out this page.) Il · --- ^ 1— Order · Printed by the Consumers' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 B7 V. Description of the invention on. In addition, the wafer lifter 2 130 does not rotate during processing to avoid disturbing the lower nozzle 2170. The EDR / SRD micro-module 2 2 0 0 also preferably includes a dedicated deionized water nozzle 2 1 7 2 to deliver deionized water to the center portion of the upper surface of the wafer. The more preferred 'nozzle 2 1 50' is intended to supply liquid to the peripheral portion of the wafer at an angle of placement substantially in the tangential direction. Fig. 28 is a schematic top view of an EBR / SRD micro-module, showing a specific embodiment of a nozzle position for removing beads. As shown in the figure, three nozzles 2 150 are placed substantially equidistantly on the inner surface of the container side wall 108. Each nozzle 2 150 is configured to send liquid to the edge portion of the wafer, and the space between the positions is sufficient to allow the wafer to move vertically between the processing position and the transfer position. Preferably, the liquid conveying or spraying mode is controlled by the nozzle shape and the liquid pressure so that the liquid reaches only the selected edge exclusion zone. For example, the etchant is limited to a 3 mm ring portion of the wafer periphery to form a 3 mm edge exclusion zone. The nozzle is positioned to deliver the etchant to the wafer surface at an angle of incidence that suppresses the etchant from splashing when the etchant is in contact with the wafer. Figure 2 9 is a side view of the configuration of the processing wafer 2 1 22 _ nozzle 2 1 50. Preferably, the incidence angle α of the etchant to the wafer is between about 0 and about 45 degrees, and more preferably between about 10 and about 30 degrees. The wafer 2 1 > 2 is rotated during the bead removing process so that the chance of contact between the peripheral portion of the wafer and the etchant is substantially equal. Preferably, the wafer 2 1 22 is rotated in the same direction as the etchant spray pattern to enhance the control of the bead removal. For example, as shown in Fig. 2 the wafer is rotated in a counterclockwise direction (arrow A), which is the same as the counterclockwise direction of the spray mode. The wafer is preferably rotated at about 100 rpm to about 1000 rprn, more preferably at about 500 rpm and about 700 rpm. Effective etch rate (that is, the paper size on page 28 applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) C Please read the precautions on the back before filling this page)) Binding——l · — — Ministry of Economic Affairs Printed by the Intellectual Property Bureau employee consumer cooperative 513751 A7 B7 Printed by the Intellectual Property Bureau employee consumer cooperative of the Ministry of Economic Affairs V. Invention description (the amount of copper removed divided by the time taken to remove) and the etching speed of the etchant The speed of the edge contact, the temperature of the etchant, and the wafer rotation speed are related. Such parameters can be varied to achieve the specific results desired. During operation, the wafer 2122 is located on the EBR / SRD micro-module 2200 wafer holder accessory 2104, and the wafer lifter 2130 lifts the wafer on the blade of the automatic converter. The robot blade is withdrawn, and the wafer lifter 2130 lowers the wafer onto the vacuum chuck 2 1 24. At this time, the vacuum system is started to fix the wafer 2122 on it, and the wafer holder fitting 2104 rotates the wafers arranged on the wafer 2150 when the nozzle 2150 transmits the last name to the periphery of the wafer. Preferably, during the bead removal process, the lower nozzles 2 and 70 also convey the etchant to the back of the wafer. Preferably, the deionized water nozzle 2 1 72 transmits the deionized water to the center portion of the wafer during the bead removal process to prevent etching caused by the etchant splashing on the center surface of the wafer. This etching process is performed for a period of time that is predetermined to be sufficient to remove excess deposits on the edges (ie, beads) of the wafer. It is preferred to use deionized water to clean the wafer during the spin-rinse-dry process. Spin-rinse. The drying procedure generally includes 1 sending deionized water to the wafer to rinse the remaining I insecticide on the wafer and drying the wafer at high speed and rotation of the wafer. In the spin-rinsing-drying process, it is preferred that all nozzles 2 150, 2 1 70, and 2 1 72 transfer the deionized water to rinse the wafer while the wafer is rotating. After the wafer is rinsed, the wafer is spin-dried and sent off the EBR / SRD micro-module 2200 for further processing. The EBR / SRD micro-module 220 or the SRD micro-module 23 8 is arranged near the loading station 210 for connecting the loading station 21 and the host 214. Referring again to FIGS. 2 and 3, the main frame 214, as shown in the figure, includes two processing platforms 218 configured to face each other, each processing platform 218 having two processing slots 24o; page 29 This paper is in accordance with the Chinese National Standard (CNS) ) A4 specifications _ (21〇X 297 public love) (Please read the precautions on the back before filling this page) Binding --- 513751 V. Description of the invention (). The host transfer platform 216 includes an automatic transfer host that is configured in the center, and can be used to transfer various substrates on the main frame. Preferably, the machine 242 includes a plurality of automatic robot arms 2402, which can be respectively configured on the main processing platform 218 or the processing platform 218 connected thereto, the SRD platform, the ^ W day θ layer repair platform, and other The ovum is accessed on the processing platform at the 日 日 &. ^ ^ 口. As shown in FIG. 3, the automatic 242 includes two automatic robot arms 2402, the number of which is equivalent to the processing tank 240 on each processing platform king 218. Respective motive arms 4 2 ^ ^ 1 fixed terminal changing device 2404 < to support the wafer when transferring the wafer. Preferably, each of the motive booms 2402 can operate independently of the other booms to facilitate independent chip transfer within the system. In addition, the robotic arm 2402 operates in a connected manner so that when the other robotic arm is retracted, one of the robots is extended. Preferably, the main frame transfer platform 216 includes an elevator automaton terminal operating device 244 on the automatic transfer main body 242, which can invert the wafer from a face-up position to a face-down position for supply and demand A processing tank 240 that processes wafers downward is used. The lift control unit 2404 of the rudder automaton provides rotational movement along the horizontal axis of the terminal operation and longitudinal device 2404 of the elevator automaton. Preferably, when the elevator auto terminal control device 2404 reverses the wafer and changes the wafer, the vacuum air clamp 254 (located at the end of the elevator auto terminal control device 24 04) will clamp the wafer. The elevator automata terminal manipulation device 2404 puts the wafer 234 into the processing tank 240 for face-down processing. The electroplating treatment tank according to the present invention will be described in detail below. Figure 3 shows an automatic transfer host with an elevator automaton. Automatic transfer host 242, as shown in Figure 24, is used to transfer between different platforms on the mainframe platform. Page 30 ^ The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) (Please read the back Please fill in this page again for the matters needing attention) Packing ---; ------ Order · ---- 1 ----- ^ 9—. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 ---- --- B7 V. Description of the invention () Transfer wafer, including processing platform and SRD platform. The automatic transfer host 242 includes a number of automatic robot arms 2402 (two shown), and an elevator automaton 2404 is attached to it as a terminal operating device of the respective motive arm 2402. The lift-off automaton is a terminal control device that is common in ordinary technology and can be added as a wafer automata. For example, the model RR701 is available from Roze Automation, Inc '(Milpitas, California). The automatic transfer host 242 has an elevator automaton as a terminal operating device, which can transport the substrate between different platforms attached to the main frame and reverse the substrate to be transferred to the desired surface orientation, that is, the substrate is processed. The face is turned into a face-down power supply plating process. For example, 'the elevator automaton in the processing tank 240 inverts the substrate processing surface to face down for power supply plating process use, and in other procedures, such as: rotation-rinsing-drying procedure, the substrate processing surface is reversed. If it is better to face upward, the automatic transfer host 242 uses the robot arm 2402 to perform individual automata along the XYZ axis, and uses the elevator automata terminal operating device 2404 to perform a smart reverse substrate rotation. When combined with the elevator automaton 2404 into an automatic transfer host terminal control device, the chip conversion procedure is simpler because the steps of the automatic transfer host to transfer wafers to the elevator automaton can be omitted. Preferably, one or more non-electrical deposition tanks or micro-components are arranged on the seed layer repair platform 2 1 5. A non-electrical deposition tank, referred to herein as a non-electrical deposition treatment (EDP) tank ', performs a non-electrical deposition process. The EDP tank can be located behind the plating system platform 2000, at the end of the substrate entrance. Specific examples show that two EDP tanks can be side-by-side to have higher yields. Page 31 This paper size applies Chinese National Standard (CNS) A4 specification (210 X 297 g t) (Please read the notes on the back before filling this page) Order ill · ----- line 0- · Ministry of Economics Wisdom Printed by the Consumer Cooperative of the Property Bureau Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economy 513751 A7 ________ B7 V. Description of the invention () Figure 24 is a schematic cross-section of the EDP tank 3010. The EDP slot 3010 includes a bottom 3 0 2, a side wall 3 0 1 4, and an upper cover plate 3 0 1 6 arranged at an angle on the side wall 3 0 1 4 and is opened at the center of the cover plate. Alternatively, a removable top cover (not shown) can be used. The base 3018 is generally arranged at the center of the slot 3010 and includes a base transmission 3020. The base transmission 3020 rotates the base 3018 so that the substrate 3022 mounted thereon rotates between about 10 to about 2000 RPM. The base can be heated to bring the substrate temperature between about 15 ° C and about 100 ° C. (: Better test about 6 (TC ^ the base lifter 3024 lifts and lowers the base 3018. The substrate 3022 can be fixed by a vacuum chuck 3026 mounted on the top of the base 3018. In addition, the base 3018 lowers the substrate 3022 to Position perpendicular to several clamps 3028. The clamps 3028 rotate and clamp the substrate 3022 by centrifugal force, preferably clamped on the edges of the substrate. The base 3018 also includes a downwardly-shaped annular cover plate 3030 having a diameter greater than An annular cover plate 3032 configured in combination with the bottom of the slot 30 10. The two circular cover plates 3030 and 3032 overlap so that the liquid in the slot 3010 does not contact the base 3018 and related components. At least the bottom of the 3010 slot is provided with A liquid outlet 3034 so that the liquid can flow out of the tank. J There is a non-electrical deposition liquid flowing in the first duct 3306, which is connected to the tank 30i. The duct 3036 can be a hose or a conveying pipe , Hoses, or other liquid-containing juvenile tubes. The brown electric deposition liquid valve 303 8 controls the flow of non-electrical deposition liquid, and the valves disclosed herein can be needle valves, ball valves, butterfly valves, or other types Valves and can include valve actuators such as solenoids The non-electric deposition liquid container 3044 is connected to a valve 3038 which can be controlled by the controller 3040. Several valves 3042a-f are connected to various chemical sources (not shown), and the valves 3042a-f can be controlled by the controller respectively. Controlled by 3040. Better, non-electrolytic deposition solution; page 32 This standard applies to China National Standard (CNS) A4 (210 X 297 meals) ----------- pack --- --- 1--Order ---- ^ ------ (Please read the notes on the back before filling out this page) 513751 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of Invention () The system is mixed according to the amount of each required to be deposited on the substrate 3022 and will not react in the sedimentation, so as to avoid non-electrical deposition in the catheter 300 and related components in advance. Valves 303 8, 3042a-f Therefore, it is better to be located near the proximal end of the groove 3010. When the substrate is arranged at a lower position, the first conduit 3036 is connected to the first liquid inlet 3046 arranged above the substrate 3022, preferably It is connected with the hinge member 3048, such as ball and socket joint and sleeve joint, for the inlet 3046 to run and for adjusting the inlet 3046 in The angle in 30. The first nozzle 30 ^ 0 is connected to the end of the inlet 3046 and faces the base 3018. The liquid is generally delivered in a spray mode, depending on the specific nozzle of the desired spray mode, but Including fan-shaped, spray-shaped, conical and other modes. Preferably, the nozzle 3050 is located outside the periphery of the substrate 3022 so as not to hinder the lifting and lowering of the substrate. In addition, the nozzle 3050 can be connected to the groove 3 〇 丨 〇 In the periphery, the transmission device I (not shown) drives the nozzle 3050 to move laterally, vertically, or a combination thereof, so as to free up the vertical space for the substrate 3022 when lifting and lowering the substrate. The second duct 3052 is similar to the < first duct and related elements, and is configured to pass through the side wall 3 0 1 4. The k-th second conduit 3 05 2 provides a passage for a rinsing liquid (such as deionized water or alcohol), which is used to rinse the substrate 3 022 after non-electrodeposition. The second inlet-3 054 is connected to the second duct 3052, and the second nozzle 3056 is connected to the second inlet 3054. The hinge member 3059 is engaged with the second inlet 3054 and can move and adjust the angle of the inlet to the slot 3010. The second valve 3058 is connected to the second conduit 3052, and preferably controls the timing and flow of the flushing liquid. The second conduit also communicates with a low-concentration acid or other fluid source and a valve that controls the fluid. In addition, the acid supply can be connected to another conduit (not shown). Typical liquid page 33 ^ Paper size is applicable to the national standard (CNS) A4 (210 X 297 mm) ----------- install ------ ^ --order --- ------- (Please read the notes on the back before filling this page) 513751 Α7 Β7 V. Description of the invention (including: salt phthalate, sulfuric acid, phosphoric acid, hydrofluoric acid, or other non-electrical type Shen (please read the precautions on the back before filling this page) The liquid or liquid that is used to coat the surface of the substrate before the plating process to prevent oxidation reaction and contamination by other pollutants before the plating process. Therefore, it can be transferred for subsequent processing, such as money, which is in the state of ^ wet, = to minimize oxidation reactions and other pollutants. Therefore, the ability to transfer while wetting can be more enhanced if the substrate After the non-electrical deposition process, it maintains a face-up position for a period of time. The controller, 3040 controls each valve, and therefore the timing and flow of each liquid is better. The controller 3040 controls substrate rotation, and the base and The substrate placed on its U is raised and lowered better. The controller 300 can be remotely controlled. Shake, for example: a control instrument panel (not shown) or a control room and a piping device controlled by a remote transmission. • The 'automatic machine (not shown) sends the substrate 3022 up to the EDp tank 3010 during operation. A seed layer has been deposited on the material 3022, for example, printed by ρν〇 or imp printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The base 3018 rises and the vacuum chuck 3026 clamps the back of the substrate 3022. The automatic machine withdraws, The motif 3 0 18 is lowered to the processing height. The controller 3 040 opens the valves 3042a-f to send the chemicals into the non-electric liquid container 3044. After the chemicals are mixed, the controller directs the non-electric type The deposition liquid valve 3 03 opens the Jeanne ’s amount of non-electrical deposition liquid from the first inlet 3046 and passes through the first nozzle 3 0 50. Preferably, the base 3 0 1 8 is about 10 to about 5 0 0 RP Μ rotates at a relatively low speed so that the incoming liquid forms a uniform coating on the substrate 3022. The rotation direction can be reversed alternately to apply the liquid evenly on the substrate. Then close the non-electric deposition liquid valve 3 0 3 8. Non-electrically deposited liquid Automatically catalyzed on the seed layer deposition and on the bonding gap of the previous deposition layer Page 34 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 513751 A7 B7 Employees ’Intellectual Property Bureau, Ministry of Economic Affairs Cooperative print 5. Description of the invention (Coated layer, which can form a more complete and uniform coating on the aspect with a higher aspect ratio. Preferably, the non-electrolytic deposition process deposits on most substrates are between About 100A to 400A. The second 3058 is turned on to allow the flushing fluid to flow through the second conduit 3052 and sprayed on the substrate 3022 through the first 3030. Preferably, the pedestal 3o8 rotates at a relatively fast speed of about 100 to about 500 RPM, and the rest of the non-electrical deposition liquid flows out from the substrate 3022 and is discharged through the outlet 3034 and discarded. The substrate may be coated with an acid or other coating liquid. In some cases, the base 3018 can be rotated at a higher speed of about 500 to about 2000 RPM to spin dry the substrate 3022. The base 3018 stops rotating and raises the substrate 3022 above the edP tank 3101. The vacuum chuck 3026 releases the substrate 3022, and the substrate is retracted into the plating bath by an automatic machine for other processing. FIG. 6 is a cross-sectional view of a plating treatment tank 400 according to the present invention. The plating treatment tank 400 (see Fig. 6) is the same as the plating treatment tank 240 (see Figs. 2 and 3). The processing tank 4 00 generally includes a head end fitting 41o, a processing kit 42o, and an electrolyte collector 440. Preferably, the electrolyte collector 44 is fixed above the opening 443 of the main body 214 and the body 442 (at the boundary of the position of the processing kit 420). The electrolyte collector 440 includes an inner wall 446, an outer wall 448, and a base '447 which connects the two. The electrolyte outlet 449 is configured to pass through the electrolyte collector 44 and bottom 447 and is communicated with the electrolyte replenishing system 220 (see FIG. 2) by a hose, a hose, a delivery pipe or other liquid transport connection. The head end fitting 4 10 is mounted on the head end fitting rack 452. The head end accessory frame 452 includes a fixed frame 454 and a cantilever beam 456. The fixed frame 454 is installed on the body 442 of the main frame 214, and the cantilever beam rod 456 is from the fixed frame 454. Page 35 This paper size is applicable to the Chinese National Standard (CNS) A4 (210 X 297 mm) ^ --- Γ- ----- ^ --- ^ ------ (Please read the notes on the back before filling out this page) 513751 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () Top Shao The portion extends to the side. Preferably, the fixed frame 4 5 4 can rotate along the vertical axis of the fixed frame to rotate the head end fitting 4 1 0. The head end fitting 4 10 is attached to a mounting plate 460 disposed at the end of the cantilever beam 456. The lower end of the cantilever beam rod 456 is connected to a cantilever beam transmission 457 mounted on a fixed frame 454, such as a pneumatic cylinder. The cantilever beam transmission 457 causes the cantilever beam 456 to pivot around the joint of the cantilever beam 456 and the fixed frame 454. When the cantilever 丨 beam transmission 457 is withdrawn, the gib beam 456 removes the head end fitting 410 from the treatment set 420 and frees it from the electroplating treatment tank 400 and / or places the treatment set 420 Required space. When the cantilever beam transmission 457 is extended, the cantilever beam 456 moves the head end fitting 410 toward the processing set 4 2 0 and places the wafer in the processing position on the head end fitting 4 1 0. The head end fitting 4 1 0 generally includes a wafer holder fitting 4 5 0 and a wafer fitting transmission 458. The wafer accessory transmission 458 is mounted on the fixed plate 46o 'and includes a head end accessory handle 462 extending downwardly through the mounting plate 460. The lower end of the head end fitting handle 462 is connected to the wafer holder fitting 45 to place the wafer holder fitting 450 'in the processing position and the wafer loading position. The wafer holder accessory 450 generally includes a wafer holder 464 and a cathode ring 466. FIG. 7 is a cross-sectional view of a specific embodiment of the cathode ring 466 of the present invention. i In general, the ring 466 includes a ring-shaped body on which several conductive members are disposed. The mounting body is made of insulating material to electrically separate several conductive members. The body and the conductive member together form an incompatible inner substrate seating surface, which can support the substrate and provide current to it during processing. Refer to FIG. 7 for details. Generally, the connecting ring 4 6 6 includes a plurality of conductive members 765 at least partially disposed in the ring-shaped insulating body 770. Insulation body 77〇 has a convex 'Page 36 When this paper ruler _ home standard specifications (210 X 297 public love) (Please read the precautions on the back before filling out this page)-installed -------- --Order ~ ---, ----- line | 513751 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. The substrate seating surface 768 is located below the flange 762, so that the flange 762 and the substrate seating surface 768 are on an offset, substantially parallel plane. Therefore, the flange 762 can define the first plane, and The base seat surface 768 defines a second plane parallel to the first plane, wherein the shoulder 764 series is disposed between the two planes. However, the joint design of FIG. 7 is only for demonstration. In other specific embodiments The shoulder 764 may be a steeper angle (including a substantially right angle) so as to be substantially perpendicular to the flange 762 and the substrate seating surface 768. In addition, the collar 466 may be substantially flat to exclude the shoulder 764. However, For the following reasons, the preferred embodiment still includes the shoulder portion 764 or its modification in Fig. 6. The conductive member 765 is made up of several convex parts. The outer electrical contact piece 780 arranged in a ring shape on the edge 762, several internal electrical contact pieces 772 arranged on the base seat surface 768 portion, and several embedded conductive connectors 776 (connecting the pieces 772 and 780 to each other). The conductive members 765 are separated by an insulating body 77 °, which can be made of plastic, such as polyvinylidene fluoride (PVDF), perfluoroalkoxy resin (PFA), TeflonTM, or TefzelTM or Any other kind of insulating material, such as aluminum oxide (Al203) or other ceramics. The outer contact piece 780 is connected to a power source (not shown) to transfer current and electrical base to the inner contact piece via connector 776 during processing The inner contact piece 772 is in contact with the peripheral part of the substrate to supply current and voltage to the substrate. Therefore, the conductive member 765 is a miniature circuit that is energized with the substrate during operation. Low resistance and high conductivity and electroplating The effect is directly related. In order to ensure low resistance, the conductive member 765 is made of copper (Cu), platinum (pt), rhenium (Ta), titanium (Ti), gold (Au), silver (Ag), stainless steel or other conductive materials. The maker is better. Also-page 37 ( Matters to read the back of the note and then fill in this page) - fitted ---'--- * - Order · ---.-- I 1

經濟部智慧財產局員工消費合作社印製 五、發明說明() 可將導屯構件765塗上導電材料而造成低電阻以及低接觸電 因此導包構件7 6 5可為(例如)銅製(銅之電阻大約2 X 1〇揋)及塗上鉑層(鉑之電阻大約10·6 X 10·8揋)。導電性底 座材料上,例如不銹鋼、鉬、以及Ti亦可使用例 如氮化叙(TaN)、氮化鈦(TiN)、铑(Rh)、Au、Cu、或Ag等 塗層此外,由於接觸片772、780是與導電連接器776個 別接合的單位,因此接觸片772、780可内含一種材料(例如 Cu)另種則疋導電構件765,例如不銹鋼。接觸片772、 180其中之一或兩者和導電連接器776均可塗覆上導電材料 。此外,由於電鍍重覆性因氧化反應(其係作為絕緣體)影響 而惡化’内接觸片772以内含抗氧化之材料較佳,例如:pt 、Ag、或 Au 〇 除了 ί、作篆接觸材料之用途外,各電路之總電阻會隨著 内接觸片772之幾何構造、或形狀以及接觸環466而變化。 由於内接觸片7 7 2和基材座面7 6 8的表面粗糙性,因而此類 因素界定了在兩者气間界面的壓縮電阻,Rcr。通常,當施 力強度提高時其外觀、面積隨而增加。因此,外觀面積係與r 值呈反比,所以,增加外觀面積會降低Rcr。因此,為了將 總電阻降至最低值,以使用最大施力較佳。由於基材在施力 過大以及其造成的壓力下會受損,因此在運轉時的最大施力 則受到生產強度所限制。但是’因為壓力與施力和區域兩者 均相關,因此可承受之最大施力亦視内接觸片772之幾何構 造而定。所以,接觸片772雖可具有如圖7所示之平坦的上 方表面’亦可使用其他種有益之形狀。例如,較佳的兩種形 第38頁 ▲紙適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁)Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the invention () The guide member 765 can be coated with conductive material to cause low resistance and low contact electricity. Therefore, the guide member 7 6 5 can be, for example, copper (copper) The resistance is about 2 X 1 0 揋) and coated with platinum (the resistance of platinum is about 10.6 X 10 · 8 揋). On conductive base materials such as stainless steel, molybdenum, and Ti, coatings such as nitride (TaN), titanium nitride (TiN), rhodium (Rh), Au, Cu, or Ag can also be used. 772, 780 are units that are individually engaged with the conductive connector 776, so the contact pieces 772, 780 may contain one material (for example, Cu) and another, for example, a conductive member 765, such as stainless steel. Either or both of the contact pieces 772 and 180 and the conductive connector 776 may be coated with a conductive material. In addition, the plating repeatability deteriorates due to the influence of the oxidation reaction (which acts as an insulator). It is preferable that the inner contact sheet 772 contains an anti-oxidation material, such as: pt, Ag, or Au. In addition to the application, the total resistance of each circuit will vary with the geometry or shape of the inner contact piece 772 and the contact ring 466. Due to the surface roughness of the inner contact sheet 7 7 2 and the substrate seating surface 7 6 8, such factors define the compressive resistance, Rcr, at the air interface between the two. Generally, as the strength of the force increases, its appearance and area increase. Therefore, the appearance area is inversely proportional to the value of r, so increasing the appearance area decreases the Rcr. Therefore, in order to minimize the total resistance, it is better to use the maximum force. Because the substrate is damaged under excessive force and the pressure caused by it, the maximum force during operation is limited by the production strength. However, because the pressure is related to both the force and the area, the maximum force that can be sustained also depends on the geometry of the inner contact piece 772. Therefore, although the contact piece 772 may have a flat upper surface as shown in FIG. 7, other useful shapes may be used. For example, the better two forms Page 38 ▲ The paper is applicable to China National Standard (CNS) A4 (210 X 297 public love) (Please read the precautions on the back before filling this page)

513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 狀如圖8和9。圖8顯示一種刀狀棱邊接觸片,而圖9顯示 一種半球形的接觸片。習知技藝之人士均知亦可使用其他種 有益之形狀。在 Ney Contact Manual,by Kenneth E. PitnCy, The J· M· Ney Company,1 973中對於接觸片之幾何構造、施 力以及電阻之關係有更詳盡的說明,全文在此併入作為參考 〇 連接器776的叙目須視所欲得之接觸片772的特定數目 (如圖7)而定。就20 0mm基材而論,以使用至少24個連接 器776等距置於360 1上較佳。但是,當連接器數量達到關 鍵性的數目時,便會對基材與接環466之配合性造成不良影 響。因此,雖然可使用超過24個連接器776 ,卻可能降低其 接觸力的一致性,視接觸片772的形狀以及基材硬度而定。 同樣地’雖然可使用少於24個連接器776,電流所受的限制 及局#化私度增加,造成電鍍效果不良。由於本發明的規模 條件可視特定用途(例如:3 00mm基材)而輕易地變化,因此 可輕易足出最適數傳以供各種不同規模以及具體實施例使 用。 、 如圖1 0,基材座面768包含獨立配置在絕緣機體77〇上 的襯墊782 “並以徑向内伸到内接觸片772處而界定出接環 466的内直徑。獨立襯墊782以向上延伸至略高於内接觸片 7 72處較佳(例如:數毫米),且以包含彈性體者較佳,例如 Viton 、TeflonTM、布納橡膠(buna rubber),等。絕緣機體 770亦可包含與獨立襯墊782之製造材料相同之彈性體。在 後者之具體貫施例中,獨立觀塾7 8 2和絕緣機體7 7 〇可為整 第39頁 本紙張尺度過用中國國家鮮(CNS)A4規格(21〇 x 297公餐) '' (請先閱讀背面之注意事項再填寫本頁)513751 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. The description of the invention () Figures 8 and 9. Figure 8 shows a knife-shaped edge contact, and Figure 9 shows a hemispherical contact. Those skilled in the art know that other useful shapes can also be used. In the Ney Contact Manual, by Kenneth E. PitnCy, The J.M. Ney Company, 1 973, there is a more detailed description of the relationship between the geometry, force and resistance of the contact piece. The full text is incorporated herein by reference. The description of the device 776 depends on the specific number of contact pads 772 that are desired (see FIG. 7). As far as a 200 mm substrate is concerned, it is preferable to use at least 24 connectors 776 to be equidistantly placed on 360 1. However, when the number of connectors reaches the critical number, it will adversely affect the mating properties of the substrate and the ring 466. Therefore, although more than 24 connectors 776 can be used, the contact force consistency may be reduced, depending on the shape of the contact piece 772 and the hardness of the substrate. Similarly, although less than 24 connectors 776 can be used, the current limitation and local privacy increase, resulting in poor plating results. Since the scale conditions of the present invention can be easily changed depending on the specific application (for example, a 300 mm substrate), the optimum transmission can be easily provided for various scales and specific embodiments. As shown in FIG. 10, the substrate seat surface 768 includes a gasket 782 "arranged independently on the insulating body 77o and extends radially inward to the inner contact piece 772 to define the inner diameter of the ring 466. Independent gasket 782 is preferably extended upward to slightly higher than the inner contact piece 7 72 (for example: several millimeters), and it is better to include elastomers, such as Viton, TeflonTM, buna rubber, etc. Insulator body 770 It can also contain the same elastomer as the material used for the independent pad 782. In the latter's specific embodiment, the independent view 7 8 2 and the insulating body 7 7 〇 can be used on the entire page. Fresh (CNS) A4 Specification (21〇x 297 Meals) '' (Please read the precautions on the back before filling this page)

• I —L n I I I 訂.----• I —L n I I I order .----

線I 513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 合體,即形成單件的型式。但是,獨立襯墊782仍以與絕緣 機體770分離較佳,以便可輕易地將其拆除進行替換或清洗 〇 圖1 0展不一個獨立襯墊782之較佳具體實施例,其中獨 乂觀塾是冗全座落在絕緣機體7 7〇上,圖8和9則展示另一 種具體實施例。在後者之具體實施例中,絕緣機體77〇則製 成略微偏離以便讓連接器776之上方表面外露並供獨立襯墊 782配置於其,上。因此,獨立襯墊782會與部份連接器776 接觸。此種設計中内接觸片772所用之材料較少,可降低材 料成本耗費’例如當内接觸片772内含金時。習知技藝人士 可由其他具體實施例中了解並未脫離本發明之範疇。 在處理過程中,獨立襯墊782與基材電鍍表面周邊部份 保持接觸,且經壓縮後在其它陰極接環466和基材之間形成 密封墊。密封墊可預防電解液觸及棱邊和基材背面。如上述 所3,从達成南電鍍重覆性必須保持接觸表面清潔。先前的 接環設計並不能提供〈穩定的電鍍效果。因為接觸表面之形狀 會隨時間而變化。本發明之接環能將可能堆積在内接觸片 772,上之沈積物排除、或實質上降至最少,並改變其特性而 在基材電鍍纟面形《|有高重覆十生、穩定性以及均勾的電錢 層。 μ圖11是簡化的概要圖’其係為接環466之可能的電路組 態。為了在導電構件765之間提供均句的電流分佈,將外電 阻器700與各導電構件765接觸串聯。外電阻器7〇〇之電阻 值(以Rext表示)以遠高於電路上其他任冑組件之電阻較佳。 第40頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 (請先閱讀背面之注意事項再填寫本頁) • I tl· t n eL n n 訂——l·----Line I 513751 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (combined, that is, a single piece. However, it is better to separate the independent pad 782 from the insulated body 770 so that it can be easily removed It is removed for replacement or cleaning. Fig. 10 shows a preferred embodiment of a separate pad 782. The unique view is that it is completely seated on the insulating body 7 70. Figs. 8 and 9 show another type. Specific embodiment. In the latter specific embodiment, the insulating body 770 is made slightly deviated so that the upper surface of the connector 776 is exposed and the independent pad 782 is disposed thereon. Therefore, the independent pad 782 and the Part of the connector 776 contacts. In this design, less material is used for the inner contact piece 772, which can reduce the cost of materials. For example, when the inner contact piece 772 contains gold. Those skilled in the art can understand and understand from other specific embodiments. It does not depart from the scope of the present invention. During the process, the independent gasket 782 is kept in contact with the peripheral portion of the plating surface of the substrate, and after compression, a gasket is formed between the other cathode ring 466 and the substrate. The gasket can prevent the electrolyte from touching the edges and the back of the substrate. As mentioned above, it is necessary to keep the contact surface clean from the south to achieve the plating repeatability. The previous joint design did not provide a <stable plating effect. The shape will change with time. The ring of the present invention can eliminate, or substantially minimize, the deposits that may accumulate on the inner contact piece 772, and change its characteristics to plate the surface on the substrate. Highly repeatable lifetime, stability, and uniform power layer. Μ Figure 11 is a simplified schematic diagram 'It is a possible circuit configuration of the ring 466. In order to provide a uniform current between the conductive members 765 Distribution, the external resistor 700 is in contact with each conductive member 765 in series. The resistance value (expressed as Rext) of the external resistor 700 is much higher than the resistance of any other component on the circuit. Page 40 China National Standard (CNS) A4 specification (210 X 297 mm (please read the precautions on the back before filling this page) • I tl · tn eL nn Order——l · ----

MJ/M A7MJ / M A7

(請先閱讀背面之注意事項再填寫本頁) 田.· ν、示通過各導電構件7 6 5之電路係用各個與電源 702串聯〈組件的電阻加以表示。^表示電解液之電阻,— 般視陽極以及陰極接環之間的距離以及電解液之化學組成 而疋。因此,ra代表鄰近基材電鍍表面754的電解液之電阻 ° Rs代表基材電鍍表面754之電阻,Rc代表陰極導電構件 765之電阻加上在内接觸片772及基材電鍍層了^之界面所 產生的壓縮電阻。一般而言,外電阻器之電阻值(REXT)至少 為Σ R(其中Σ R為RE、ra、Rs及Rc之總合)。較佳者,外電 阻器之電阻值(Rm)遠高於Σκ,因而可省略而使各串聯電 路之電阻約為rext。 經濟部智慧財產局員工消費合作社印製 一般而言,電源係與所有陰極接環466之外接觸片78〇 連接’形成通過内接觸片772之並聯電路。但是,由於内接 觸片7 72不同會造成内接觸片至基材界面之電阻隨著不同, 因此在電阻最低之位點上電流較多,其產生的電鍍也越多。 然而’在各導電構件7 6 5上串聯外電阻器後,流經各導電構 件7 6 5的電流值或數:量之電阻值便可主要由外電阻器值控制 。因此,各内接觸片772之間的電學差異不會影響到基材上 之電流分配,而均勻的電流密度會使在電鍍片表面之電鍍厚 度均勻。外電阻器亦能對處理順序中不同的基材提供均勻的 電流分佈。 本發明設計之接環466雖然可免除在内接觸片772上形 成沈積物,不過在進行多種基材電鍍循環時,基材-接觸片 界面之電阻會昇高,最後高達無法接收的程度。可將電子感 應器/警報器704接上外電阻器700以監控外電阻器上的電壓 第41頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)(Please read the precautions on the back before filling this page.) Tian. Ν, The circuit that passes through each conductive member 7 6 5 is represented by the resistance of each component in series with the power supply 702. ^ Indicates the resistance of the electrolyte, which generally depends on the distance between the anode and cathode rings and the chemical composition of the electrolyte. Therefore, ra represents the resistance of the electrolyte near the substrate plating surface 754 ° Rs represents the resistance of the substrate plating surface 754, and Rc represents the resistance of the cathode conductive member 765 plus the interface of the inner contact piece 772 and the substrate plating layer ^ The resulting compression resistance. Generally speaking, the resistance value (REXT) of the external resistor is at least Σ R (where Σ R is the sum of RE, ra, Rs and Rc). Preferably, the resistance value (Rm) of the external resistor is much higher than Σκ, so it can be omitted and the resistance of each series circuit is about rex. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Generally speaking, the power supply is connected to all of the cathode contact rings 466 outside the contact piece 78, forming a parallel circuit through the internal contact piece 772. However, since the internal contact pieces 7 72 are different, the resistance between the internal contact piece and the substrate interface varies, so the more current is at the lowest resistance point, the more electroplating it generates. However, after an external resistor is connected in series to each conductive member 7 65, the current value or the number of currents flowing through each conductive member 7 65 can be controlled mainly by the value of the external resistor. Therefore, the electrical difference between the inner contact pieces 772 will not affect the current distribution on the substrate, and the uniform current density will make the plating thickness on the surface of the plated plate uniform. External resistors also provide uniform current distribution to different substrates in the processing sequence. Although the coupling ring 466 designed by the present invention can avoid the formation of deposits on the inner contact piece 772, the resistance of the substrate-contact piece interface will increase when performing various substrate plating cycles, and finally reach an unacceptable level. The electronic sensor / alarm 704 can be connected to the external resistor 700 to monitor the voltage on the external resistor. Page 41 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

513751 五、發明說明() /電流予以解決。當外電阻器700之電壓/電流超出預設之運 轉範圍時即表示基材-接觸片的電阻高,感應器/警報器704 便啟動修正測I,例如停止電鍍程序直至操作人員修正此問 題為止。此外,可將各導電構件765連接到不同的電源而分 別控制及監控以便在基材上形成均勻的電流分佈。亦可採用 一種智慧系統(VSS)來調控電流。vss 一般包括處理機及任 何在此技藝中常用以供應及/或控制電流之裝置,例如可變電 阻、分離式電源,等。當内接觸片772的物化性質及電學性 質逐漸改變時,vss會將其所接收到的數據予以處理並分析 。vss將數據與先前之設定值比較然後適當的改變電流和 電壓以便進行均勻的沈積反應。 圖18為另一種陰極接環具體實施例的透視圖。圖18中 的陰極接環1 800包含導電性金屬或金屬合金,例如不銹鋼 、銅、銀、金、鉑、鈦、姮、以及其他導電性材料,或為導 電性材料之組合體,例如塗鉑的不銹鋼。陰極接環1包 括上方安裝部份181^用於將陰極接環固定在晶片支架配件 上,以及下方基材接收部份182〇用於接收基材。基材接收 部份1 820包括環形基材座面1 822,其上配置有數個接觸片 或衝器1&amp;24(以等距分佈較佳)。當基材置於基材座面1822 上時,接觸片1 824實質上與基材周邊區域接觸而對基材沈 積表面之笔鑛籽晶層提供電接觸。較佳者,在接觸片1824 上塗覆一層可抗氧化的貴重金屬層,例如鉑或金。 陰極接%的未遮蔽表面(除了接觸片與基材接觸的表面之 外)啟佳者罝經處理以提供親水性的表面或以親水性材料塗 第42頁 本紙張尺錢@ __is)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) n 訂----'·-----線i 經濟部智慧財產局員工消費合作社印製 513751 A7 _ B7 五、發明說明() 覆。親水性材料及親水性表面處理均為已知的技藝。 Millipore Corporation(在 Bedford,Massachusett)便提供—種 親水性的表面處理。親水性的表面可大幅減少電解液在陰極 接環表面起泡,並在去除電鍍浴或電解液中的陰極接環後有 助於電解液順利地從陰極接環滴出。在陰極接環上形成親水 性表面促進電解液流出後,便可大幅減少由於電解液殘存在 陰極接環上而引起的電鍍缺陷。本發明者亦在某他陰極接環 具體實例中研究利用親水性處理或塗層來減少殘存電解液 在陰極接環上起泡並於後續處理中造成基材電鏡缺陷的可 能性。其它種接環設計亦可適用於依據本發明的電鍍處理槽 ,例如在共同讓予並共同申請中之U.S. Patent Application 09/201,486 entitled &quot;Cathode Contact Ring For Electrochemical Depositon”,申請日期 November 30,1998 中描述之接觸環設計,全文在此并入參考文獻。 參考圖12以及12A,晶片支架464以位在陰極接環466 上方較佳,且其内含卞囊袋配件470能在晶片背面施壓以確 保晶片電鍍表面和陰'極接環466之間的電接觸良好。膨脹式 囊袋配件470係配置在晶片支架板832上。囊袋836係配置 在i片支架舨832下方表面,因此便和陰極接環接點466中 間隔著基材82 1相對且相鄰。流體源83 8供應流體(即氣體 或液體)到囊袋836中而使囊袋836膨脹成不同角度。 圖12、12A及13將詳細討論囊袋配件470。晶片支架板 832實質上呈圓盤狀,下方表面具有環形凹座840及配置於 中央的真空喷口 841。在晶片支架板832有一個或多個入口 第43頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------裝--- (請先閱讀背面之注意事項再填寫本頁) * II-·!------線#· 經濟部智慧財產局員工消費合作社印製 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 842並形成較寬大的環形安裝甬道843和環形凹座840。易 拆除軟管844由流體源83 8接通到入口 842以便輸送液體。 真空噴口 841以附在真空/壓力抽取系統859(適於在基材821 背面選擇性地供應壓力或造成真空)較佳。抽取系統8 5 9,如 圖12所示,包含泵845、轉換閥847、以及真空噴射器849( — 般稱為噴管)。適用於本發明之真空噴射器市售有 SMC Pneumatics, Inc·,Indianapolis, Indiana ° 泵 845 可用市售的 壓縮式氣體源,並與軟管851之一端連接,軟管851之末端 則連接到真空噴口 841。軟管851分成壓力管線853和真空 管線855(其内配置有真空噴射器849)。流體流係由轉換閥 847控制而依選擇地在泵845和壓力管線853及真空管線85 5 之間轉換。較佳者’轉換閥上有關閉的設施以限制液體在軟 管851内任一方向流通。關閉閥861配置在軟管851内以預 防液體從壓力管線85 5逆流進入真空噴射器849。所欲得之 流體流方向係以箭頭表示。 習知技藝人士可jr解其他設計並未偏離本發明之宗旨及 範疇。例如,當流體源83 8供應氣體時,可連接至軟管851 以免除使用分離式壓縮氣體源,即泵845。此 , 凡7卜,分離式氣 體源及真空泵可在背面施壓力及吸真空。雖然以均能形成背 面施壓以及背面真空較佳,不過簡化的具體實施例可含有僅 能造成背面真空的泵。不過,在下文中將說明背面施壓在處 理過程中有助於均勻沈積。因此,以如上述包括真空噴射器 和轉換閥者較佳。 參考圖12八和丨4,環形凹座840中實質上配置有環形歧 第44頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) -------------------訂—------ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 513751 A7 -----—---_^z___ 五、發明說明() 管846。歧管846包含配置在内肩部848和外肩部85〇之間 的安裝橫桿852。安裝橫桿852係至少部份插入環形安裝甬 道843中。在歧管846上有數個液體出口 854能連通入口 和囊袋836,密封墊圈837(例如:密封圈)係配置在環形歧管 甬道843中與入口 842和出口 854並排,並由晶片支架板 固足以確保氣密式密封。可使用一般栓牢物(未顯示)如螺絲 釘並在歧管846和晶片支架板832上鑽螺紋孔(未顯示)而將 歧管846固定在晶片支架板832。 參考圖15,顯示的是囊袋836的一段,其實質上是—種 細長而呈半管狀的材料,在各邊具有環形嘴密封墊圈856或 節。在圖12A中,嘴密封墊圈856係配置在内肩部848以及 外肩邵850上。歧管846(寬度略小於環形凹座840,如:數 毫米)將部份囊袋836向環形凹座840壁壓緊。因此,歧管 846、囊袋836及環形凹座840共同形成防流體式的密封塾 。為了防止液體流失,較佳之囊袋8 3 6宜内含某種非滲透性 流體材料,例如矽膠,或任何對電解液為化學惰性、且具有相 當彈性的同等彈性體、。視需要可在囊袋8 3 6上方加上配合的 蓋子8 5 7,如圖1 5,並用膠黏劑或熱黏著劑固定。較佳的蓋 i 子沙57宜包含彈性體,例如vitonTM、布納橡膠(buna rubber) 或之類,以(例如)KevlarTM強化。在其中一個具體實例中, 蓋子857和嚢袋836之材料相同。在囊袋83 6易破裂時蓋子 857有其特定的功用。此外,在製造時囊袋836之厚度可增 加以減少刺穿。較佳者,囊袋836未遮蔽之表面(若未加蓋) 及蓋子857未遮蔽之表面可經塗覆或處理而形成親水性表面 第45頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^9 ^ if--------- C請先閱讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() (如上述之陰極接環表面)以促進滴流及於頭端配件升高至處 理槽上方後去除殘存的電解液。 入口 842及出σ 854之確實數目可依據特定用途改變而 仍不出本發明之範圍。例如,在圖12中顯示有兩個入口, 各有其對應的出口,另一種具體實施例則使用單—的液體入 口以供應液體至囊袋836。 運轉時,將基材821帶入容器機體8〇2固定在晶片支架 板8 J 2之下^。此係藉由啟動抽取系統1 5 9將基材8 2 1和晶 片支朵板832之間從喷口 841抽氣以產生真空狀態。再自 流體源83 8處經由入口 842供應液體(例如空氣或水)使囊袋 836膨脹。將液體經由歧管出口 854送入囊袋836 ,再將基 材821向陰極接環466之接點平均壓擠。然後進行電鍍處理 過程。再將電解液輸入處理套組42〇朝向基材821以便與基 材電鑛表面820的暴露面接觸。電源經由陰極接環466提供 負偏壓到基材電鐘表面82〇。當電解液流經基材電鍍表面820 時’電解液中之離子;被吸引至表面820並在表面820上沈積 而形成所欲得之薄層、。 由於其彈性所致,囊袋8 3 6可配合基材背面之粗链面和 陰極接環4&amp;6接點抽氣以緩和與導電陰極接環466之間的間 隙、°協調性的囊袋836可預防電解液污染到基材背面82 1, 其係在基材背面82 1周邊部份建立一種防流體之座。一旦膨 服時’可對著陰極接環466向下傳送均勻的壓力以便使基材 821和陰極接環466之界面各點的施力f質上相等。施力可 隨著流體源83 8之壓力變化。此外,囊袋配件470之有效性 第46頁 本紙張尺度適用中國國家標準(CNS)A4規格⑽χ 297公爱) (請先閱讀背面之注意事項再填寫本頁)513751 V. Description of the invention () / current to be solved. When the voltage / current of the external resistor 700 exceeds the preset operating range, it means that the resistance of the substrate-contact sheet is high, and the sensor / alarm 704 starts the correction test I, for example, stops the plating process until the operator corrects this problem. . In addition, each conductive member 765 can be connected to a different power source and controlled and monitored separately to form a uniform current distribution on the substrate. A smart system (VSS) can also be used to regulate the current. vss generally includes processors and any devices commonly used in the art to supply and / or control current, such as variable resistors, discrete power supplies, and so on. When the physical and electrical properties of the inner contact piece 772 change gradually, vss will process and analyze the data it receives. vss compares the data with the previous set value and then changes the current and voltage appropriately to perform a uniform deposition reaction. Figure 18 is a perspective view of another embodiment of a cathode ring. The cathode ring 1 800 in FIG. 18 includes a conductive metal or metal alloy, such as stainless steel, copper, silver, gold, platinum, titanium, rhenium, and other conductive materials, or a combination of conductive materials, such as platinum. Stainless steel. The cathode ring 1 includes an upper mounting portion 181181 for fixing the cathode ring to the wafer holder fitting, and a lower substrate receiving portion 1820 for receiving a substrate. The substrate receiving portion 1 820 includes a ring-shaped substrate seating surface 1 822 on which a plurality of contact pieces or punches 1 &amp; 24 are arranged (preferably distributed at an equal distance). When the substrate is placed on the substrate seating surface 1822, the contact sheet 1 824 substantially contacts the peripheral area of the substrate to provide electrical contact to the penite seed layer on the substrate deposition surface. Preferably, the contact sheet 1824 is coated with an oxidation-resistant precious metal layer, such as platinum or gold. Cathode contact% of unshielded surface (except for the surface where the contact sheet is in contact with the substrate) Qi Jia Zhe is treated to provide a hydrophilic surface or coated with a hydrophilic material. Page 42 This paper rule @@ __ is) A4 specifications (210 X 297 mm) (Please read the notes on the back before filling out this page) n Order ---- '· ----- Line i Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 _ B7 5 2. Description of the invention () Both hydrophilic materials and hydrophilic surface treatments are known techniques. Millipore Corporation (in Bedford, Massachusetts) provides a hydrophilic surface treatment. The hydrophilic surface can greatly reduce the foaming of the electrolyte on the surface of the cathode ring, and help to remove the electrolyte from the cathode ring smoothly after removing the cathode ring in the plating bath or the electrolyte. After forming a hydrophilic surface on the cathode ring to promote the outflow of the electrolyte, the plating defects caused by the residual electrolyte on the cathode ring can be greatly reduced. The present inventors have also studied the possibility of using a hydrophilic treatment or coating to reduce residual electrolyte foaming on the cathode ring in specific examples of the cathode ring, and cause the electron microscope defect of the substrate in subsequent processing. Other types of connection ring designs can also be applied to the electroplating treatment tank according to the present invention, for example, US Patent Application 09 / 201,486 entitled &quot; Cathode Contact Ring For Electrochemical Depositon &quot; in the Common Assignment and Common Application, application date November 30, 1998 The contact ring design described in the text is incorporated herein by reference. With reference to Figures 12 and 12A, the wafer holder 464 is preferably positioned above the cathode ring 466, and contains a pouch bag accessory 470 that can apply pressure to the back of the wafer. To ensure good electrical contact between the plated surface of the wafer and the female pole ring 466. The inflated pouch accessory 470 is arranged on the wafer holder plate 832. The pouch 836 is arranged on the lower surface of the i-piece holder 舨 832, so It is opposite to and adjacent to the cathode connection point 466 with the substrate 82 1 therebetween. The fluid source 838 supplies fluid (ie, gas or liquid) to the bladder 836 to expand the bladder 836 to different angles. Figures 12, 12A And 13 will discuss the bag accessory 470 in detail. The wafer holder plate 832 is substantially disc-shaped, and the lower surface has a circular recess 840 and a vacuum nozzle 841 arranged in the center. 832 has one or more entrances. Page 43 This paper is sized for China National Standard (CNS) A4 (210 X 297 mm). ------------ Install --- (Please read the back first Please note this page before filling in this page) * II- ·! ------ line # · Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of Invention ( 842 and form a wider ring-shaped mounting channel 843 and ring-shaped recess 840. The easy-to-remove hose 844 is connected to the inlet 842 by the fluid source 83.8 for the purpose of conveying liquid. It is better to selectively supply pressure or create a vacuum on the back of the substrate 821). The extraction system 8 5 9 includes a pump 845, a switching valve 847, and a vacuum ejector 849 (commonly referred to as a nozzle) as shown in FIG. ). Vacuum ejectors suitable for the present invention are commercially available from SMC Pneumatics, Inc., Indianapolis, Indiana ° The pump 845 can use a commercially available compressed gas source and is connected to one end of the hose 851, and the end of the hose 851 is connected To the vacuum nozzle 841. The hose 851 is divided into a pressure line 853 and a vacuum Line 855 (with a vacuum ejector 849 configured therein). The fluid flow is controlled by a switching valve 847 and is selectively switched between the pump 845 and the pressure line 853 and the vacuum line 85 5. The better is that the switching valve is closed The device restricts the flow of liquid in any direction within the hose 851. A shut-off valve 861 is arranged in the hose 851 to prevent liquid from flowing backward from the pressure line 85 5 into the vacuum ejector 849. The desired fluid flow direction is indicated by arrows. Those skilled in the art can understand other designs without departing from the spirit and scope of the present invention. For example, when the fluid source 83.8 supplies gas, it can be connected to the hose 851 to eliminate the use of a separate compressed gas source, namely the pump 845. Therefore, where the 7th, the separate gas source and vacuum pump can apply pressure and vacuum on the back. Although it is preferred that both the back pressure and the back vacuum are formed, the simplified embodiment may include a pump that can only cause back vacuum. However, in the following, it will be explained that the back surface pressure facilitates uniform deposition during processing. Therefore, it is preferable to include a vacuum injector and a switching valve as described above. Referring to Figure 12 and Figure 4, ring-shaped recesses 840 are substantially configured with ring-shaped divergences. Page 44 The paper size is applicable to China National Standard (CNS) A4 specifications (21 × 297 mm) -------- ----------- Order ------- (Please read the precautions on the back before filling out this page) Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 -----— ---_ ^ z___ V. Description of the invention () Tube 846. The manifold 846 includes a mounting bar 852 disposed between the inner shoulder 848 and the outer shoulder 850. The mounting crossbar 852 is inserted at least partially into the annular mounting channel 843. There are several liquid outlets 854 on the manifold 846 that can communicate with the inlet and the pouch 836. A sealing gasket 837 (eg, a seal ring) is arranged in the annular manifold channel 843 side by side with the inlet 842 and the outlet 854, and is fixed by the wafer holder plate. Enough to ensure a hermetic seal. The manifold 846 may be fixed to the wafer holder plate 832 using a general bolt (not shown) such as a screw and drilling a threaded hole (not shown) in the manifold 846 and the wafer holder plate 832. Referring to FIG. 15, there is shown a section of the pouch 836, which is essentially an elongated and semi-tubular material with ring-shaped mouth gaskets 856 or nodes on each side. In Fig. 12A, a mouth gasket 856 is disposed on the inner shoulder 848 and the outer shoulder 850. The manifold 846 (slightly smaller than the annular recess 840, such as several millimeters) compresses part of the bladder 836 against the wall of the annular recess 840. Therefore, the manifold 846, the bladder 836, and the annular recess 840 together form a fluid-proof seal. In order to prevent fluid loss, the preferred bladder 8 3 6 should contain a certain non-permeable fluid material, such as silicone, or any equivalent elastomer that is chemically inert to the electrolyte and has relatively elasticity. If necessary, a matching cover 8 5 7 can be added above the pouch 8 3 6, as shown in FIG. 15, and fixed with an adhesive or a heat adhesive. The preferred cover i 57 is preferably comprised of an elastomer, such as vitonTM, buna rubber or the like, for example, reinforced with KevlarTM. In one specific example, the material of the lid 857 and the pouch 836 is the same. The cap 857 has its specific function when the pouch 8136 is easily broken. In addition, the thickness of the pouch 836 during manufacture can be increased to reduce puncture. Preferably, the unshielded surface (if not covered) of the pouch 836 and the unshielded surface of the lid 857 can be coated or treated to form a hydrophilic surface. Page 45 This paper applies the Chinese National Standard (CNS) A4 specification. (210 X 297 mm) ^ 9 ^ if --------- CPlease read the notes on the back before filling out this page} Printed by A7, Consumer Cooperatives, Intellectual Property Bureau, Ministry of Economy (Such as the surface of the cathode ring) to promote dripping and remove the remaining electrolyte after the head end fitting is raised above the processing tank. The exact number of entrances 842 and exits σ 854 can be changed depending on the particular application without departing from the scope of the invention. For example, there are two inlets shown in FIG. 12, each with its corresponding outlet, and another specific embodiment uses a single-liquid inlet to supply liquid to the pouch 836. During operation, the substrate 821 is brought into the container body 802 and fixed under the wafer holder plate 8 J 2 ^. This is to start the extraction system 1 59 to evacuate the nozzle 841 between the substrate 8 2 1 and the wafer support plate 832 to generate a vacuum state. The pouch 836 is then inflated by supplying liquid (e.g., air or water) from the fluid source 83 via the inlet 842. The liquid is sent into the bladder 836 through the manifold outlet 854, and the substrate 821 is evenly squeezed toward the contacts of the cathode ring 466. Then, a plating process is performed. Then, the electrolyte input treatment kit 42 is directed toward the substrate 821 so as to be in contact with the exposed surface of the substrate 820 surface. The power supply provides a negative bias to the base clock surface 82 through the cathode ring 466. When the electrolyte flows through the substrate plating surface 820, the ions in the electrolyte are attracted to the surface 820 and deposited on the surface 820 to form a desired thin layer. Due to its elasticity, the pouch 8 3 6 can cooperate with the rough chain surface on the back of the substrate and the cathode ring 4 &amp; 6 contacts to extract air to relax the gap with the conductive cathode ring 466, and the coordinating pouch 836 can prevent the electrolyte from contaminating the back surface 82 1 of the substrate. It is to establish a fluid-proof seat on the periphery of the back surface 82 1 of the substrate. Once expanded, the uniform pressure can be transmitted downwardly toward the cathode ring 466 so that the forces f at the interfaces of the substrate 821 and the cathode ring 466 are substantially equal. The applied force may vary with the pressure of the fluid source 838. In addition, the effectiveness of bag accessories 470 Page 46 This paper size applies Chinese National Standard (CNS) A4 specifications ⑽χ 297 public love) (Please read the precautions on the back before filling this page)

經濟部智慧財產局員工消費合作社印製 513751 五、發明說明( 係依其陰極接環466之表面配置而異。例如,圖i 2呈現的 組悲具有數個不連續的接觸點,陰極接環466亦可為連續表 面。 由於囊‘ 836傳送到基材821的施力是可變的,因此可 調整由接環466所供應的電流。如上述,在陰極接環466可 &gt;成氧化層並用於限制電流。但是,由於調高囊袋8 3 6之壓 力會造成氧化反應而抵消了對電流的限制。當磬力增加時, 具展延性的氧化層會順應,而在陰極接環466及基材82 1之 間形成極佳的接觸。囊袋8 3 6的效用尚可藉由改變陰極接環 466的形狀而進一步改進。例如,刀狀棱邊幾何構造比普通 的圓形棱邊或扁平棱邊更易於滲透氧化層。 此外’由膨服的囊袋836形成防流體式密封墊能使泵845 以選擇式或連續的方式在處理過程之前、期間及之後維持背 面真$或壓力。不過,由於頃知在處理中囊袋836無須連續 抽取即能維持背面真空狀態,因此一般僅在將基材於電鍍處 理槽400中往返傳送:時運轉泵845以維持真空狀態。因此, &gt; 在如上述膨脹囊袋8 3 6時,抽取系統8 5 9也同時停用以解除 背面的真2狀態,例如:選用對面轉換閥847上的OFF位置 。可急促的哉以逐步方式使真空狀態緩滑下降而停用抽取 系統8 5 9 °緩滑可控制膨脹囊袋8 3 6和同時背面真空狀態降 下(轉換。此轉換可以手動或以電腦控制。 如上述’在膨脹囊袋836時連續在背面抽取真空並非必 要’其實質上會引起基材820鼓起或彎曲而導致不欲得之沈 積結果。不過,有必要在基材820背面施壓以便待處理之基 第47頁 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公爱) ------------·裝------1 丨訂·----.-----線 f請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 513751 A7 ___________ 五、發明說明() 材產生’’成弓形”效應。本發明者發現成弓形會導致極佳的沈 積效果。因此,抽取系統859能選擇性地對基材背面提供真 空或施壓狀態。就200mm晶片而言,以在背面施用多達5psi 之壓力造成基材成弓形較佳。因為基材通常具有某種程度之 可曲性,在背面施壓會造成基材成弓形或相較於上沖之電解 液流呈現凸狀。弓形的程度可依據抽取系統8 5 9提供之壓力 變化。 習知技藝人士可由本發明提出之其他具體實施例了解。 例如,雖然圖1 2 A展示的較佳囊袋8 3 6其表面足以涵括基材 背面(直徑實質上等於陰極接觸環466)的一小部份週邊區域 ,不過囊袋配件470並沒有一定的形狀。因此,囊袋配件可 使用更多非滲透性流體材料以支應增加的基材82 1表面積。 圖1 9是一部份另一種晶片支架配件具體實施例的橫切面 圖。此種不同的晶片支架配件1900内含囊袋配件470,如上 述,在其中介的晶片支架板1 9 1 0之背面附有膨脹式囊袋836 。較佳者係用一種膠黏劑或其他黏著劑材料將一部份膨脹 式囊袋836密封在中介的晶片支架板1910之背面1912。中 介的晶片支架板1 9 1 0之正面1 9 1 4係用來接收待處理的晶片 或基\材821 v並在中介的晶片支架板1910正面1914上的環 形溝槽1 9 1 8中配置彈性密封圈1 9 1 6以觸及晶片背面的周邊 部份。彈性密封圈i 9 1 6可密封中介的晶片架板的晶片背面 及正面。較佳之中介的晶片支架板包括數個在板上穿通之鑽 孔或洞1 9 2 0,使能與真空噴口 8 4 1相通以有助於在晶片背面 施用真空吸力將晶片固定在晶片支架上。依據晶片支架配件 第48頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁)Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 V. Description of the invention (It depends on the surface configuration of the cathode ring 466. For example, the group shown in Figure i 2 has several discrete contact points, and the cathode ring 466 can also be a continuous surface. Since the force applied by the capsule '836 to the substrate 821 is variable, the current supplied by the adapter ring 466 can be adjusted. As described above, the cathode adapter 466 can be &gt; formed into an oxide layer It is used to limit the current. However, the increase in the pressure of the bladder 8 3 6 will cause the oxidation reaction to offset the current limitation. When the pressure is increased, the ductile oxide layer will conform, and the cathode ring 466 will Excellent contact with the substrate 82 1. The effectiveness of the pouch 8 3 6 can be further improved by changing the shape of the cathode ring 466. For example, the geometry of the knife-shaped edge is better than the ordinary circular edge Or flat edges are more likely to penetrate the oxide layer. In addition, 'the formation of a fluid-proof gasket from an expanded bladder 836 enables the pump 845 to maintain a true backside pressure or pressure before, during, and after the process in a selective or continuous manner .but, Yu Jianzhi knows that the bag 836 can maintain the vacuum state on the back without continuous extraction during processing, so generally the pump 845 is operated to maintain the vacuum state only when the substrate is transferred back and forth in the electroplating treatment tank 400. Therefore, &gt; At the time of the above-mentioned inflation bag 8 3 6, the extraction system 8 5 9 is also deactivated at the same time to release the true 2 state on the back side, for example, the OFF position on the opposite switching valve 847 is selected. The vacuum state can be quickly and gradually slipped gradually. Lowering and deactivating the extraction system 8 5 9 ° Slowly sliding can control the inflation bag 8 3 6 and at the same time the back vacuum state is lowered (conversion. This conversion can be controlled manually or by computer. As mentioned above 'Continuous on the back when inflating the pouch 836 It is not necessary to draw a vacuum. 'It may cause the substrate 820 to bulge or bend, which may lead to undesired deposition results. However, it is necessary to apply pressure on the back of the substrate 820 for the substrate to be processed. National Standard (CNS) A4 Specification (210 X 297 Public Love) ------------ · Installation ------ 1 丨 Order · ----.----- Line f Please read the notes on the back before filling out this page.) Printed by Fei Cooperative 513751 A7 ___________ 5. Description of the invention () The material produces a "bow-shaped" effect. The inventor found that bowing leads to an excellent deposition effect. Therefore, the extraction system 859 can selectively provide the back of the substrate Vacuum or pressure. For 200mm wafers, it is better to bow the substrate with a pressure of up to 5psi on the back. Because the substrate usually has a certain degree of flexibility, pressure on the back will cause the substrate The arcuate shape or convex shape compared to the overflow of electrolyte flow. The degree of the arcuate shape can be changed according to the pressure provided by the extraction system 8 5 9. Those skilled in the art can understand other specific embodiments proposed by the present invention. For example, although the preferred pouch 8 3 6 shown in FIG. 12A has a surface sufficient to cover a small portion of the surrounding area of the back of the substrate (the diameter is substantially equal to the cathode contact ring 466), the pouch accessory 470 does not have to be shape. Therefore, the bladder fitting can use more non-permeable fluid material to support the increased surface area of the substrate 82 1. Fig. 19 is a cross-sectional view of a portion of another embodiment of a wafer holder assembly. This different wafer holder accessory 1900 contains a pouch accessory 470. As mentioned above, an inflatable pouch 836 is attached to the back of the intermediate wafer holder plate 190. Preferably, a part of the expandable pouch 836 is sealed on the back surface 1912 of the intermediary wafer holder plate 1910 with an adhesive or other adhesive material. Intermediate wafer holder plate 1 9 1 0 The front side 1 9 1 4 is used to receive the wafer or substrate to be processed 821 v and is arranged in the annular groove 1 9 1 8 on the intermediary wafer holder plate 1910 front side 1914 The elastic sealing ring 1 9 1 6 touches the peripheral part of the back of the chip. The elastic sealing ring i 9 1 6 can seal the wafer back and front sides of the intermediate wafer carrier plate. The preferred intervening wafer holder plate includes several drill holes or holes 1920 passing through the plate, enabling communication with the vacuum nozzle 8 4 1 to help apply a vacuum suction to the wafer to fix the wafer to the wafer holder. . According to the wafer holder accessories Page 48 This paper size applies to China National Standard (CNS) A4 (210 x 297 mm) (Please read the precautions on the back before filling this page)

經濟部智慧財產局員工消費合作社印製 513751 A7 -___ B7 五、發明說明() 的另一種具體實施例,膨脹式囊袋並未與待處理的晶片直接 接觸’因此在轉移晶片時大幅減少切割或損及膨脹式嚢袋的 可能。彈性密封圈1 9 1 6以經過塗覆或處理較佳,以形成與 晶片接觸的親水性表面(如上述之陰極接環表面),且彈性密 封圈1 9 1 6可視需要可替換以確保晶片有適當的接觸及密封 性。其它囊袋系統係用於依據本發明之電鍍處理槽,例如在 共同讓予及共同申 '請之 U.S· Patent Application 09/201,796 entitled ’’Inflatable Compliant Bladder Assembly,,中所述之囊 袋系統(申請曰期為November 30,1998),全文在此并入參考 文獻。 圖2 5為另一種處理頭端配件之具體實例,其具有旋轉式 頭端配件24 1 0。較佳之旋轉式傳動裝置係配置在懸臂樑臂桿 上’並附在頭端配件上以便於處理晶片時旋轉頭端配件。旋 轉式頭端配件2410係安裝在頭端配件機架2452。替代的頭 端配件機架2452和旋轉式頭端配件2410則安裝在主機架上 ’類似於上述圖6之頭端配件機架452和頭端配件4 1 0。頭 端配件機架2452包括固定架2454、架頂蓋245 5及懸臂樑桿 24 56。固定架2454係安裝在主機架214機體上,而架頂蓋 i 2455則覆蓋、著固定架2454之頂部。較佳之固定架454係繞 行固足架的垂直軸作旋轉動作(如箭頭A 2之方向所示)以便 旋轉頭端配件機架2452。懸臂樑桿2456從固定架2454上方 部份向側邊延伸,並在樞軸接合點2459與架頂蓋2455樞軸 連接。懸臂樑桿2456末端之固定導板2460上附有旋轉式頭 端配件2410。固定導板2460引導頭端配件2410進行垂直運 第49頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) I ί ϋ ί ί l·— n 一:0- ·- i an II -·ϋ ϋ I ϋ I (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 513751 A7 -----B7 五、發明說明() 行。固定導板2460頂部上則有頭部升降機傳動裝置245 8使 頭端配件24 1 0作垂直位移。 懸臂樑桿2456下方末端係與安裝在固定架2454之懸臂 樑桿傳動裝置2457(例如:氣壓缸或導螺桿傳動裝置)之柄 2453連接。懸臂樑桿傳動裝置2457使懸臂樑桿2456環繞著 懸臂樑桿2456和架頂蓋2454之間的接合點2459進行=軸 旋轉動作(如箭頭A2之方向所示)。當懸臂樑桿傳動裝置“η 後,懸臂樑桿2456即將頭端配件241〇從處理套組42〇處移 開以便騰出在電鍍處理槽240中移開及/或替換處理套組42〇 所需要的空間。當懸臂樑桿傳動裝置2457伸出時,懸臂樑 桿2456便將頭端配件24 1〇移向處理套組42〇以便將晶片放 在頭端配件24 1 0的處理位置。 旋轉式頭端配件2410包括旋轉傳動裝置2464,其係連接 在固定導板2460上滑動。頭部升降機傳動裝置2458之柄 2468係穿過附在旋轉傳動裝置2464機體上之升降導2466。 較佳之柄2468係為厂種導螺桿式的柄,其可於各種垂直位 置之間移動升降導(如箭頭A3之方向)。旋轉傳動裝置2464 則透過柄2470與晶片支架配件245〇連接並旋轉晶片支架配 ί 件2450(如箭頭Α4之方向)。晶片支架配件2450包括囊袋配 件,例如上述圖1 2-1 5及1 9之具體實例,以及陰極接環, 例如上述圖7 -1 〇及1 8之具體實施例。 在電鍍處理過程中晶片旋轉一般而言會增進沈積效果。 較佳者’在電鍵處理過程中其頭端配件係以介於約2 rpm至 約20 rpm旋轉。在降下頭端配件將晶片置放於處理槽中與 第50頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) --------------------線 (請先閱讀背面之注意事項再填寫本頁) 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 電解液接觸、以及在升起頭端配件從處理槽之電解液中移走 晶片時,頭端配件亦能旋轉。當頭端配件從處理槽升起後, 頭端配件宜以高速度(即〉20 rPm)旋轉較佳以促進去除頭端 配件上殘存的電解液。 在其中一個具體實施中,本發明已改進沈積層的均勻性 達小於約2%(即沈積層厚度之最大誤差約為層平均厚度之 2%),而一般標準的電鍍處理過程之均勻性最佳僅及約5.5% 。但是,但在某些情況下頭端配件未必須要靠旋轉來達成均 勻的電鍍沈積,尤其是在可用調整處理程序之參數(例如: 電解液化學藥品、電解液流以及其他變數)達成電鍍沈積均 勻性時。 再參考圖6電鍍處理槽400之橫切面圖,晶片支架配件 450係位在處理套组420上方。處理套組420 —般包含盆槽 4 3 0、客益機體4 7 2、陽極配件4 7 4以及丨慮膜4 7 6。較佳者, 陽極配件474宜配置在容器機體472下方並附在容器機體 4 7 2下方部份,而滤产4 7 6則配置在陽極配件* 7 4和容哭機 體472之間。容器機體472以圓筒狀機體較佳,其内含電絕 緣材料,例如:陶资、塑膠、普西玻璃(plexiglass)(丙晞酸) 、菜'善(lexane)、PVC、CPVC、以及PVDF。此外,容器機 體4 72可為金屬製,例如:不銹鋼、鎳以及鈦,其上再塗上 絕緣層’例如:Tef丨〇’、pVDF、塑膠、橡膠以及其他在電 解液中不溶且可對電極(即電鍍系統之陽極和陰極)電絕緣之 組合材料。較佳者容器機體472以經過適切的估量製作並配 合晶片電鍍表面和由系統處理的晶片形狀,_般為圓形或長 第51頁 ------------裝---^ (請先閱讀背面之注意事項再填寫本頁) IX.---h-----線f- 經濟部智慧財產局員工消費合作社印製 513751 Α7 _ Β7 五、發明說明() 方形。其中一個容器機體472之較佳具體實施例係包括筒狀 陶瓷軟管,其内徑約栢當於或略大於晶片直徑。本發明者頃 發現,當容器機體之大小約略相當於晶片電鍍表面時,並不 須要用到一般電鍍系統必須之典型旋轉動作來達到均勻的 電鍍結果。 容器機體472上方邵份以放射狀向外延伸而形成環形壩 478。壩478延伸越過電解液收集器440之内壁446供電解 液流入電解液收集器440。壩478上方表面以與陰極接環466 下方表面相配較佳。較佳者,壩478上方表面宜包括内環形 平坦部份480、中間上斜部份482和外下斜部份484。當晶 片係位在處理位置内時,晶片電鍍表面係在容器機體472圓 筒狀開口之上面,並在陰極接環466下方表面和壩478上方 表面之間形成一個供電解液流通之溝道。陰極接觸環466下 方表面係配置在内平坦部份4 8 0及壩4 7 8的中間上斜部份的 上方。外下斜部份4 8 4向下傾斜以促進電解液流入電解液收 集器440。 i Λ 容器機體472的下方部份以放射狀向外延伸而形成下環 形凸緣486,以便將容器機體472固定在盆槽430上。環形 凸‘ 486的‘外圍(即圓周)小於開口 444及電解液收集器440 的内圓周以便能移動及替換電鍍處理槽400内的處理套組 420。較佳者,宜在環形凸緣486上配置數個固定的螺栓488 向下穿過盆槽430上的螺栓洞。數個可移開的扣緊螺帽490 將處理套組420固定在盆槽430上。密封墊圈487(例如:彈 性密封圈)在容器機體472和盆槽430之間從螺栓488處向 第52頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ----I I ---訂---------*^&gt;1 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 内呈放射狀配置以防止處理套組420滲漏。螺帽/螺栓套組在 維修時有助於快速而輕易地移開和替換處理套組420組件。 較佳者,濾膜476係附在容器機體472上且能完全覆蓋 住其下方開口,而陽極配件474則配置在濾膜476下面。間 隔4 9 2則配置在滤膜4 7 6和陽極配件4 7 4之間。較佳者,將 濾膜4 7 6、間隔4 9 2、以及陽極配件4 7 4用可移開式緊固物 ’例如螺釘及/或螺栓牢固在容器機體472下方表面。此外, 滤膜4 7 6、間隔4 9 2、及陽極配件4 7 4是以可移開之方式固 定在盆槽430上。濾膜476宜包含陶瓷擴散器較佳,以便控 制流向基材電鍍表面之電解液流動模式。 陽極配件474包含可作為電解液之金屬源的消耗性陽極 較佳。此外,陽極配件474包含非消耗性陽極,而待電鍍之 金屬係從電解液補充系統220之電解液供給。如圖6 ,陽極 配件474是一種自給性微型組件,其多孔性陽極外殼494則 宜由與待電鍍之金屬相同的金屬(例如··銅)製造較佳。此外 ’陽極外殼494係由:一種多孔性材料製成,例如:陶党或聚 合膜。可溶性金屬496,例如銅電化學沈積使用高純度銅, 係配置在陽極外殼494内。可溶性金屬496較佳者宜包含金 屬ά粒、金屬絲或穿孔的薄板。多孔性陽極外殼494亦可充 作為濾膜將金屬溶解後產生的微粒隔絕在陽極外殼494内。 相較於非消耗性陽極,消耗性(即可溶解的)陽極能提供不產 生氣體的電解液’並使在電解液中定期添加金屬之需要降至 最低。 陽極電極觸點498係穿過陽極外殼494以便從電源將電 第53頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------裝---.---_--訂----------線赢 (請先閱讀背面之注意事項再填寫本頁) 513751 A7 B7 五、發明說明( 通到可/♦性金屬496。較佳者,陽極電極觸點498由不溶 於電解液的導電性材料製造,例如鈦、鉑以1、塗鉑之不銹 钔陽極私極觸點4 9 8延伸通過盆槽4 3 0,且與電源連接。 幸乂佳者陽極%觸點4 9 8係用帶螺紋的部份4 9 7配合緊固螺 帽499以便將陽極電觸點498固定在盆槽43〇上,而密封墊 圈495,例如彈性體墊圈,係配置在緊固螺帽499和盆槽430 之間以防處理套組,4 2 0滲漏。 盆槽430 —般包含圓筒狀部份5〇2和底部部份5〇4。上環 形凸緣506從圓筒狀部份5〇2頂部向外呈放射狀延伸。上環 形凸緣506包括數個洞508,其數目與容器機體472之下環 形凸,纟豕486上的螺栓488數目相符。為了固定盆槽430之上 環形凸緣506及容器機體472之下環形凸緣486,螺栓488 係穿過洞508,而扣緊螺帽490則緊固在螺栓488上。較佳 者’上環形凸緣5 0 6之外圍(即圓周)約等於下環形凸緣4 8 6 之外圍(即圓周)。當拜理套組420位在主機架214時,盆槽 430之上環形凸緣506的下方表面以落於主機架214之支架 凸緣上較佳。 ^圓筒狀瓠份502的内圓周可容下陽極配件474以及滤膜 4 7 6。濾膜4 7 6以及陽極配件4 7 4之外圍以略小於圓筒狀部 份502之内圍較佳’以便使相當部份的電解液先流經陽極配 件4 7 4再流經滤膜4 7 6。盆槽4 3 0底部部份5 〇 4的電解液入 口 5 1 0係通往電解液補充系統2 2 0的電解液供應管線。陽極 配件474以配置在盆槽430靠近圓筒狀部份5〇2之中央部份 第54頁 、本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公t ) (請先閱讀背面之注意事項再填寫本頁) 裝——:---'——訂*---I-----線| 經濟部智慧財產局員工消費合作社印製 513751 A7 -—^_ B7 五、發明說明() 較佳以便在陽極配件474以及底部部份504電解液入口 510 之間形成一條供電解液流動的溝道。 電解液入口 5 1 0和電解液供應管線宜使用可鬆開的連接 器相接較佳,以便於移動及替換處理套組420。在維修處理 套組420時,電解液係從處理套組420排放,停止在電解液 供應管線中流通的電解液並予以排放。供電解液供應管線使 用的連接器則由電解液入口 5 1 0釋出,停止對陽極配件474 供電。昇高或旋轉頭端配件4 1 0可提供移開處理套組420所 需的空間。再移開主機架2 14内的處理套組420,將新的或 重新調整過的處理套組置入主機架2 1 4。 另外,盆槽43 0可固定在主機架214之支托凸緣上,並 移開容器機體472和陽極以及濾膜以便維修。此時,移開用 以將陽極配件474和容器機體472固定在盆槽430之螺帽, 以便移開陽極配件474和容器機體472。再替換新的或重新 調整過的陽極配件474和容器機體472置入主機架214内並 固定在盆槽430上。 : 圖20為第一個封包式陽極具體實施例之橫切面圖。封包 式陽極2000中的可透性陽極外殼能將陽極板2004金屬溶解 時命產生的::陽極殘渣’’或微粒濾除或阻截,如圖20,消耗性 陽極板2004在親水性陽極封膜内含有銅片,又以高純度、 無氧銅較佳。消耗性陽極板2004係由數個電觸點或連接線 2006穿經盆槽430底邵予以固定及支托。電觸點或連接線 2006穿過陽極封包膜2002進入陽極板.2004底部表面。電解 液流從配置在盆槽43 0底部之電解液入口 5 1 〇流經陽極和盆 第55頁 本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂-i!-----線Φ. 經濟部智慧財產局員工消費合作社印製 513751 A7 -—---- B7 五、發明說明() 槽側壁之間的溝道(以箭頭A表示)。電解液亦以滲透方式進 出陽極封包膜和陽極板之間的溝道而流經陽極封包膜 20 02(箭頭β表示)。陽極封包膜2〇〇2以包含親水性多孔性 膜較佳’例如改良的聚亞乙缔氟膜,其孔度介於約60%和80% ’更佳者約70%,而其孔隙度則介於約〇·〇25μιη和約Ιμπι, 更佳者介於約0.1 Mm和約〇·2μιη。親水性多孔性膜之實施例 之 為市售的 Durapore Hydrophilic Membrane(Millipore Coirpomion,Bedford,Massachusetts)。當電解液流經封包膜 時’因陽極溶解而產生的陽極殘渣和微粒則由封包膜過濾或 阻截。因此,在電鍍處理過程中封包膜提高了電解液的純度 ’而在電鍍處理過程中因陽極殘渣和污染物微粒以致於在 基材上形成的缺陷也大幅減少。 圖21為封包式陽極的第二個具體實施例之橫切面圖。類 似第一個封包式陽極具體實施例’陽極板2004係固定並支 托在電連接線2 0 0 6。分別配置於陽極板2 0 0 4上方及下方之 頂部封包膜2008和参部封包膜2010係附在陽極板2004周 圍的膜支托環2012上。頂部和底部封包膜2008、2010内含 上述第一個封包式陽極具體實施例之封包膜材料。膜支托環 2 0 1 2以内含湘當堅硬的材料(相較於封包膜)較佳,如··塑勝 或其他聚合物。旁通液體入口 2014則是通過盆槽430底部 及經過底部封包膜2010以輸送電解液到封包膜和陽極板之 間的溝道。旁通出口 2 0 1 6係與膜支托環2 〇 1 2連接並延伸穿 過盆槽4 j 〇以便有助於將帶有陽極殘淹或微粒的過量電解液 排出封包式陽極進入廢水排水管(未顯示)。 第56頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ---_----^ —tl---- 線,· 經濟部智慧財產局員工消費合作社印製 A7 B7Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 -___ B7 V. Another specific embodiment of the invention (), the inflated pouch is not in direct contact with the wafer to be processed ', so the cutting is greatly reduced when transferring the wafer Or damage the possibility of inflatable bags. The elastic sealing ring 1 9 1 6 is preferably coated or treated to form a hydrophilic surface in contact with the wafer (such as the above-mentioned cathode ring surface), and the elastic sealing ring 1 9 1 6 can be replaced as needed to ensure the wafer Have proper contact and tightness. Other bag systems are used in electroplating tanks according to the present invention, such as the bag system described in US · Patent Application 09 / 201,796 entitled '' Inflatable Compliant Bladder Assembly, Application date is November 30, 1998), which is hereby incorporated by reference in its entirety. Fig. 25 is another specific example of the processing head end fitting, which has a rotary head end fitting 24 1 0. The preferred rotary transmission device is disposed on the cantilever beam boom and is attached to the head end fitting to facilitate the rotation of the head end fitting when processing the wafer. The swivel head end fitting 2410 is mounted on the head end fitting rack 2452. The alternative head-end accessory rack 2452 and the rotary head-end accessory 2410 are mounted on the main frame ′ similar to the head-end accessory rack 452 and the head-end accessory 4 10 of FIG. 6 described above. The head end accessory frame 2452 includes a fixed frame 2454, a frame top cover 245 5 and a cantilever beam rod 24 56. The fixing frame 2454 is mounted on the main frame 214 body, and the top cover i 2455 covers and covers the top of the fixing frame 2454. Preferably, the fixing frame 454 is rotated around the vertical axis of the stent (as shown by the direction of arrow A 2) to rotate the head-end accessory frame 2452. A cantilever beam 2456 extends from the upper portion of the fixed frame 2454 to the side, and is pivotally connected to the frame top cover 2455 at a pivot joint 2459. A fixed head guide 2460 at the end of the cantilever beam rod 2456 is attached with a rotary head end fitting 2410. The fixed guide plate 2460 guides the head end fitting 2410 for vertical transportation. Page 49 The paper size is applicable to the Chinese National Standard (CNS) A4 (21〇x 297 mm) I ί ϋ ί l · — n 1: 0- ·- i an II-· ϋ ϋ I ϋ I (Please read the notes on the back before filling this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 ----- B7 V. Description of Invention () OK. On the top of the fixed guide plate 2460 is a head lifter 245 8 for vertical displacement of the head end fitting 24 1 0. The lower end of the cantilever beam rod 2456 is connected to the handle 2453 of the cantilever beam rod transmission device 2457 (for example, a pneumatic cylinder or a lead screw transmission device) installed on the fixed frame 2454. The cantilever beam transmission 2457 makes the cantilever beam 2456 surround the joint point 2459 between the cantilever beam 2456 and the rack top cover 2454 to perform an = axis rotation action (shown in the direction of arrow A2). When the cantilever beam transmission "η", the cantilever beam 2456 removes the head end fitting 241〇 from the processing set 42o to make room for removal and / or replacement of the processing set 42 in the plating treatment tank 240. Required space. When the cantilever rod drive 2457 is extended, the cantilever rod 2456 moves the head end fitting 24 1O to the processing set 42 0 to place the wafer in the processing position of the head end fitting 24 1 0. Rotate The head-end fitting 2410 includes a rotation transmission device 2464, which is connected to the fixed guide plate 2460 and slides. The handle 2468 of the head lift transmission device 2458 passes through the lifting guide 2466 attached to the body of the rotation transmission device 2464. A better handle 2468 is a factory-guided screw-type handle, which can move the lifting guide between various vertical positions (such as the direction of arrow A3). The rotary transmission 2464 is connected to the wafer holder accessory 245 through the handle 2470 and rotates the wafer holder. Piece 2450 (as in the direction of arrow A4). The wafer holder fitting 2450 includes a pouch fitting, such as the specific examples of Figures 1 2-1 5 and 19 above, and a cathode ring, such as Figures 7 -1 0 and 18 above. Specific embodiments The wafer rotation during the plating process generally improves the deposition effect. The better one is that the head end fitting is rotated at about 2 rpm to about 20 rpm during the key processing. The head end fitting is lowered to place the wafer. In the processing tank and on page 50, this paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 public love) -------------------- line (please (Please read the notes on the back before filling this page) 513751 Printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () Electrolyte contact and removal of the chip from the electrolyte in the processing tank when the head end fitting is raised At the same time, the head end fitting can also be rotated. When the head end fitting is raised from the processing tank, the head end fitting should preferably be rotated at a high speed (that is,> 20 rPm) to promote the removal of the residual electrolyte on the head end fitting. In specific implementation, the present invention has improved the uniformity of the deposited layer to less than about 2% (that is, the maximum error of the thickness of the deposited layer is about 2% of the average thickness of the layer), and the uniformity of the general standard plating process is the best and About 5.5%. But, but in some cases head-end Parts do not have to be rotated to achieve uniform plating deposition, especially when the plating process uniformity (such as electrolyte chemicals, electrolyte flow, and other variables) can be adjusted to achieve uniform plating deposition. Refer to Figure 6 plating treatment again A cross-sectional view of the tank 400. The wafer holder fitting 450 is located above the processing kit 420. The processing kit 420 generally includes a basin 4 3 0, a customer body 4 7 2, an anode fitting 4 7 4 and a membrane 4 7 6. Preferably, the anode fitting 474 should be arranged below the container body 472 and attached to the lower part of the container body 472, and the filtration product 4 7 6 is arranged between the anode fitting * 7 4 and the crying body 472. . The container body 472 is preferably a cylindrical body, which contains electrical insulating materials, such as ceramics, plastic, plexiglass (propionic acid), vegetables, lexane, PVC, CPVC, and PVDF. . In addition, the container body 4 72 may be made of metal, for example, stainless steel, nickel, and titanium, and then coated with an insulating layer such as “Tef 丨”, pVDF, plastic, rubber, and others that are insoluble in the electrolyte and can be opposed (Ie, anode and cathode of electroplating system) Electrical insulation composite material. The preferred container body 472 is made with appropriate estimates and is matched with the plated surface of the wafer and the shape of the wafer processed by the system. It is generally round or long. Page 51 -^ (Please read the notes on the back before filling out this page) IX .--- h ----- line f- Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 Α7 _ Β7 5. Description of the invention () Square . One of the preferred embodiments of the container body 472 includes a cylindrical ceramic hose having an inner diameter approximately equal to or slightly larger than the wafer diameter. The inventors have found that when the size of the container body is approximately equal to the surface of the wafer plating, it is not necessary to use the typical rotating action required by ordinary plating systems to achieve uniform plating results. The upper part of the container body 472 extends radially outward to form a circular dam 478. The dam 478 extends across the inner wall 446 of the electrolyte collector 440 to power the electrolyte into the electrolyte collector 440. The upper surface of the dam 478 preferably matches the lower surface of the cathode ring 466. Preferably, the upper surface of the dam 478 preferably includes an inner annular flat portion 480, a middle upper inclined portion 482, and an outer lower inclined portion 484. When the wafer is in the processing position, the plated surface of the wafer is above the cylindrical opening of the container body 472, and a channel for the electrolyte flow is formed between the lower surface of the cathode ring 466 and the upper surface of the dam 478. The lower surface of the cathode contact ring 466 is disposed above the middle inclined portion of the inner flat portion 480 and the dam 478. The outer lower inclined portion 4 8 4 is inclined downward to facilitate the flow of the electrolyte into the electrolyte collector 440. i Λ The lower portion of the container body 472 extends radially outward to form a lower ring-shaped flange 486 so as to fix the container body 472 to the tub 430. The outer periphery (ie, the circumference) of the torus 486 is smaller than the opening 444 and the inner circumference of the electrolyte collector 440 so that the processing kit 420 in the plating processing tank 400 can be moved and replaced. Preferably, a plurality of fixed bolts 488 are preferably arranged on the annular flange 486 and pass through the bolt holes in the trough 430 downward. A plurality of removable fastening nuts 490 fix the processing kit 420 on the tub 430. Sealing gasket 487 (for example: elastic sealing ring) from the container body 472 to the basin 430 from the bolt 488 to page 52 This paper size applies the Chinese National Standard (CNS) A4 (210 X 297 mm) (please first Read the notes on the back and fill out this page) ---- II --- Order --------- * ^ &1; 513751 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () The inside is radially arranged to prevent leakage of the treatment set 420. The nut / bolt set facilitates quick and easy removal and replacement of the processing set 420 components during maintenance. Preferably, the filter membrane 476 is attached to the container body 472 and can completely cover the opening below it, and the anode fitting 474 is disposed under the filter membrane 476. The interval 4 9 2 is arranged between the filter membrane 4 7 6 and the anode fitting 4 7 4. Preferably, the filter membrane 4 7 6, the space 4 92 2, and the anode fitting 4 7 4 are fastened to the lower surface of the container body 472 with removable fasteners such as screws and / or bolts. In addition, the filter membrane 4 7 6, the space 4 92 2, and the anode fitting 4 7 4 are fixed to the basin 430 in a removable manner. The filter membrane 476 should preferably include a ceramic diffuser to control the flow pattern of the electrolyte to the electroplated surface of the substrate. The anode fitting 474 preferably includes a consumable anode that can be used as a metal source for the electrolyte. In addition, the anode fitting 474 includes a non-consumable anode, and the metal to be plated is supplied from the electrolytic solution of the electrolytic solution replenishing system 220. As shown in FIG. 6, the anode fitting 474 is a self-contained micro-module, and the porous anode casing 494 is preferably made of the same metal (for example, copper) as the metal to be plated. In addition, the anode case 494 is made of a porous material, such as a ceramic party or a polymer film. A soluble metal 496, such as copper electrochemical deposition using high-purity copper, is disposed within the anode case 494. The soluble metal 496 preferably includes metal particles, metal wires, or perforated sheets. The porous anode case 494 can also be used as a filter membrane to isolate particles generated after the metal is dissolved in the anode case 494. Compared with non-consumable anodes, consumable (ie, dissolvable) anodes can provide an electrolyte that does not generate gas and minimize the need for periodic addition of metals to the electrolyte. The anode electrode contact 498 is passed through the anode casing 494 so as to be charged from the power source. Page 53 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ------------ -Install ---.---_-- order ---------- line win (please read the notes on the back before filling this page) 513751 A7 B7 V. Description of the invention (accessible to / ♦ Sex metal 496. Preferably, the anode electrode contact 498 is made of a conductive material that is insoluble in the electrolyte, such as titanium, platinum, and stainless steel coated with platinum. The anode private contact 4 9 8 extends through the trough. 4 3 0, and it is connected to the power supply. Fortunately, the anode anode contact 4 9 8 is a threaded part 4 9 7 with a fastening nut 499 to fix the anode electrical contact 498 to the basin 43. The sealing washer 495, for example, an elastomer washer, is arranged between the fastening nut 499 and the basin groove 430 to prevent the treatment of the set, which leaks out. The basin groove 430 generally includes a cylindrical portion 50. 2 and bottom portion 504. The upper annular flange 506 extends radially outward from the top of the cylindrical portion 502. The upper annular flange 506 includes several holes 508, the number of which is below the container body 472 Convex ring The number of bolts 488 on 486 matches. To fix the annular flange 506 above the basin 430 and the annular flange 486 below the container body 472, the bolt 488 is passed through the hole 508, and the fastening nut 490 is fastened on the bolt 488. Upper. The better (the periphery) of the upper annular flange 5 0 6 is approximately equal to the periphery (that is, the circumference) of the lower annular flange 4 8 6. When the Bayer set 420 is located on the main frame 214, the trough The lower surface of the annular flange 506 above 430 preferably falls on the bracket flange of the main frame 214. ^ The inner circumference of the cylindrical portion 502 can accommodate the anode fitting 474 and the filter membrane 4 7 6. The filter membrane 4 The outer periphery of 7 6 and the anode fitting 4 7 4 is preferably slightly smaller than the inner periphery of the cylindrical portion 502, so that a considerable part of the electrolyte flows through the anode fitting 4 7 4 and then through the filter membrane 4 7 6. Basin 4 3 0 Bottom part 5 〇4 electrolyte inlet 5 1 0 is the electrolyte supply line to the electrolyte replenishment system 2 2 0. Anode fitting 474 is arranged in the bowl 430 near the cylindrical part 5 〇2 of the central part of page 54, this paper size applies Chinese National Standard (CNS) A4. Specifications (210 X 297 g t) (Please read the back Please fill in this page again for the matters needing attention) Packing: -----'—— Order * --- I ----- line | Printed by the Consumer Consumption Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 --- ^ _ B7 V. Invention Explanation () It is preferable to form a channel for the electrolyte to flow between the anode fitting 474 and the electrolyte inlet 510 of the bottom portion 504. The electrolyte inlet 5 10 and the electrolyte supply line should preferably be connected using a releasable connector to facilitate the removal and replacement of the processing kit 420. When the treatment kit 420 is repaired, the electrolyte is discharged from the treatment kit 420, and the electrolyte flowing in the electrolyte supply line is stopped and discharged. The connector for the electrolyte supply line is released from the electrolyte inlet 5 10, and the power supply to the anode fitting 474 is stopped. Raising or rotating the head end fitting 4 1 0 provides the space needed to remove the processing kit 420. The processing set 420 in the main frame 2 14 is removed again, and a new or readjusted processing set is placed in the main frame 2 1 4. In addition, the basin 430 can be fixed on the supporting flange of the main frame 214, and the container body 472 and the anode and the filter membrane can be removed for maintenance. At this time, the nut for fixing the anode fitting 474 and the container body 472 to the tub 430 is removed to remove the anode fitting 474 and the container body 472. The new or readjusted anode fitting 474 and the container body 472 are placed in the main frame 214 and fixed to the basin 430. : FIG. 20 is a cross-sectional view of a first embodiment of a packaged anode. The permeable anode shell in the packaged anode 2000 can produce the anode plate 2004 when the metal is dissolved: "anode residue" or particulate filtering or blocking, as shown in Figure 20, the consumable anode plate 2004 is sealed on the hydrophilic anode. It contains copper flakes, and high-purity, oxygen-free copper is preferred. The consumable anode plate 2004 is fixed and supported by several electrical contacts or connecting wires 2006 passing through the bottom of the trough 430. Electrical contacts or wires 2006 pass through the anode encapsulation film 2002 and enter the bottom surface of the anode plate 2004. The electrolyte flows from the electrolyte inlet 5 1 〇 located at the bottom of the basin 43 0 through the anode and the basin. Page 55 This paper is in accordance with China National Standard (CNS) A4. Specifications (210 X 297 mm) (Please read first Note on the back, please fill in this page) Order -i! ----- line Φ. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 --------- B7 V. Description of the invention () Between the side walls of the tank Channel (indicated by arrow A). The electrolyte also enters and exits the channel between the anode sealing film and the anode plate in a penetrating manner and flows through the anode sealing film 20 02 (indicated by the arrow β). The anode encapsulation film 2000 preferably contains a hydrophilic porous film. For example, the modified polyethylene fluoride film has a porosity between about 60% and 80%. A better one is about 70%, and its porosity. Then it is between about 0.025 μm and about 1 μm, and more preferably between about 0.1 Mm and about 0.2 μm. An example of the hydrophilic porous membrane is a commercially available Durapore Hydrophilic Membrane (Millipore Coirpomion, Bedford, Massachusetts). When the electrolyte flows through the encapsulation membrane, the anode residue and particles generated by the dissolution of the anode are filtered or blocked by the encapsulation membrane. Therefore, the encapsulation film improves the purity of the electrolytic solution during the plating process, and the defects formed on the substrate due to anode residues and contaminant particles during the plating process are also greatly reduced. FIG. 21 is a cross-sectional view of a second embodiment of a packaged anode. Similar to the first embodiment of the packaged anode, the anode plate 2004 is fixed and supported on the electrical connection wire 2006. The top packaging film 2008 and the ginseng packaging film 2010 respectively disposed above and below the anode plate 2004 are attached to the membrane support ring 2012 around the anode plate 2004. The top and bottom encapsulation films 2008 and 2010 contain the encapsulation film material of the first embodiment of the encapsulation anode described above. Membrane support ring 2 0 1 2 contains Xiangdang hard materials (compared to the encapsulation film), such as plastic or other polymers. The bypass liquid inlet 2014 is used to transport the electrolyte through the bottom of the trough 430 and through the bottom packaging film 2010 to the channel between the packaging film and the anode plate. The bypass outlet 2 0 1 6 is connected to the membrane support ring 2 0 1 2 and extends through the trough 4 j 0 to help drain excess electrolyte with anode flooding or particulates out of the sealed anode into the wastewater drainage Tube (not shown). Page 56 This paper size applies to Chinese National Standard (CNS) A4 (210 X 297 mm) (Please read the precautions on the back before filling this page) ---_---- ^ --tl ---- Line, · Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7

513751 五、發明說明() 較佳者,旁通液體入口 2014和主電解液入口 510内凌兩 解液流均分別由連通入口之液體線路上的流量控制闕2 〇 2 Q 、2022控制,而旁通液體入口 2014的液體壓力則維持在言 於主電解液入口 510壓力的壓力較佳。盆槽430内從主電解 液入口 5 1 0流出之電解液流係以箭頭A表示,而封包式陽極 2 0 0 0内的電解液流則以箭頭B表示。部份輸入封包式陽極 内的電解液則經由旁通出口 2 0 1 6流出封包式陽極。在封包 式陽極内藉由一種專用的並聯式電解液供應器,可持續將由 於消耗性陽極溶解而產生的陽極殘ί查或微粒移出陽極,進而 提高在電鍍處理過程中電解液的純度。 圖22為封包式陽極的第三個具體實施例之橫切面圖。第 三個封包式陽極2000具體實施例包括固定且支托在數個電 連接線2006上之陽極板2004、附在膜支托環2012上之頂部 和底部封包膜2 008、2010及與膜支托環2012連接並延伸通 過盆槽430的旁通出口 2016。該第三個封包式陽極具體實施 例以包含上述第一,和第二個封包式陽極具體實施例之材 料較佳。依據第三個底部封包膜2010具體實施例包括一種 或多種開口 2024實質上配置在主電解液入口 51〇上面。開 口 2024係用於接收從主電解液入口 5 1 〇處流出之電解液流 且大小約相當於主電解液入口 5 10之内圓周。由主電解液入 口 5 1 0處流出之電解液流係以箭頭a表示,而封包式陽極内 之電解液流則以箭頭B表示。部份輸入封包式陽極内的電解 液則經由旁通出口 2016流出封包式陽極,將由於消耗性陽 極溶解而產生的陽極殘渣或微粒移出陽極。 第57頁 本紙張尺度適用中國國家標準(CNS)A4規格(21G X 297公f ----- 2清先閱讀背面之注意事項再填寫本頁) -裝 l·--tx---------· 經濟部智慧財產局員工消費合作社印製 513751 A7 B7 經 濟 部 智 慧 財 產 局 消 費 合 作 社 印 製 五、發明說明( 圖23為封包式陽極的第四個具體實施例之橫切面圖。第 四個封包式陽極2000具體實施例包括固定且支托在數個電 連接線2006上之陽極板2002、附在膜支托環2012上之頂部 和底部封包膜2008、2010、及穿過盆槽430和底部封包膜 2 0 1 0以便將電解液輸送到介於封包膜和陽極板之溝道的旁 通液體入口 2014。該第三個封包式陽極具體實施例以包含上 述第一個和第二個封包式陽極具體實施例之材料較佳。通過 旁通液體入口 20 1 4和主電解液入口 5 1 0的電解液流均分別 由控制閥2020、2022控制。自主電解液入口 51〇流出之電 解液係以箭頭A表示,而流經封包式陽極的電解液流則以箭 頭B表示。在此具體實施例中,當電解液流經膜時,因陽極 板落解而產生的陽極殘渣和微粒係由封包膜過濾並阻截。 圖16為電解液補充系統22〇之概要圖。電解液補充系統 220提供電解液到電鍍處理過程之電鍍處理槽中。電解液補 充系統220 —般而言包含主電解液槽6〇2、計量微型組件 、過濾微型組件605〆化學分析器微型組件6丨6、和由電解 液廢水排水管620連接至化學分析微型組件616的電解液廢 物處理系統622。一種或多種控制器控制主槽6〇2内之電解 液ώ成及電解液補充系統220的運轉。較佳者,控制器2可 獨互運轉但與電鍍系統平台2〇〇之控制系統222整合。 主電解液槽602係作為電解液儲存槽,且包括電解液供 應管線612,其係經一種或多種液體泵6〇8和閥6〇7與各電 鍍處理槽連接。熱交換器624或加熱器/冷卻器則與主槽6 = 以熱銜接而控制儲存於主槽6〇2内之電解液溫度。熱交換器 第58頁 -------------jml 裝--------訂--------·線· (請先閱讀背面之注意事項再填寫本頁)513751 V. Description of the invention () Better, the bypass liquid inlet 2014 and the main electrolyte inlet 510 are respectively controlled by the flow control on the liquid line connected to the inlet 阙 2 〇 2 Q, 2022, and The liquid pressure of the bypass liquid inlet 2014 is preferably maintained at a pressure that is greater than the pressure of the main electrolyte inlet 510. The electrolyte flow from the main electrolyte inlet 5 10 in the tub 430 is indicated by arrow A, and the electrolyte flow in the sealed anode 2000 is indicated by arrow B. The electrolyte in part of the input package anode flows out of the package anode through the bypass outlet 2016. In a packaged anode, a dedicated parallel-type electrolyte supplier can continuously remove anode residues or particles generated by dissolution of the consumable anode from the anode, thereby improving the purity of the electrolyte during the plating process. Fig. 22 is a cross-sectional view of a third embodiment of a packaged anode. The third specific embodiment of the encapsulated anode 2000 includes an anode plate 2004 fixed and supported on a plurality of electrical connecting wires 2006, top and bottom envelope films 2 008, 2010 attached to a film support ring 2012, and a film support The bracket ring 2012 connects and extends through the bypass outlet 2016 of the basin 430. The third embodiment of the packaged anode is preferably composed of the above-mentioned first and second embodiments of the packaged anode. A specific embodiment according to the third bottom encapsulation film 2010 includes one or more openings 2024 substantially disposed above the main electrolyte inlet 51o. The opening 2024 is used to receive the electrolyte flow flowing from the main electrolyte inlet 5 10, and the size is approximately equal to the inner circumference of the main electrolyte inlet 5 10. The flow of the electrolyte from the main electrolyte inlet 5 10 is indicated by arrow a, and the flow of the electrolyte in the packed anode is indicated by arrow B. Part of the electrolytic solution input into the packaged anode flows out of the packaged anode through the bypass outlet 2016, removing anode residues or particles generated by dissolution of the consumable anode. Page 57 This paper size applies to China National Standard (CNS) A4 specifications (21G X 297 male f ----- 2 Please read the precautions on the back before filling this page) -Install l · --tx ---- ----- · Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 B7 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (Figure 23 is a cross-sectional view of the fourth embodiment of the package anode The fourth specific embodiment of the encapsulated anode 2000 includes an anode plate 2002 fixed and supported on several electrical connection wires 2006, top and bottom envelope films 2008, 2010 attached to a membrane support ring 2012, and a through The tub 430 and the bottom encapsulation film 2 0 1 0 are used to transport the electrolyte to the bypass liquid inlet 2014 between the encapsulation film and the channel of the anode plate. This third encapsulation anode embodiment includes the first one described above. The material of the second embodiment of the packaged anode is better. The electrolyte flow through the bypass liquid inlet 20 1 4 and the main electrolyte inlet 5 1 0 are controlled by the control valves 2020 and 2022 respectively. The autonomous electrolyte inlet 51 〇Electrolyte flowing out is shown by arrow A The electrolyte flow through the encapsulated anode is indicated by arrow B. In this specific embodiment, when the electrolyte flows through the membrane, anode residues and particles generated by the anode plate dissolution are filtered by the envelope membrane and Figure 16 is a schematic diagram of the electrolyte replenishment system 22o. The electrolyte replenishment system 220 provides electrolyte to the plating treatment tank during the electroplating process. The electrolyte replenishment system 220 generally includes the main electrolyte bath 6〇2 , Metering micro-module, filter micro-module 605, chemical analyzer micro-module 6 丨 6, and electrolyte waste treatment system 622 connected to the chemical analysis micro-module 616 by an electrolyte wastewater drain pipe 620. One or more controllers control the main tank Electrolyte formation in 600 and operation of electrolyte replenishment system 220. Preferably, the controller 2 can operate independently but is integrated with the control system 222 of the plating system platform 200. The main electrolyte tank 602 serves as An electrolyte storage tank, and includes an electrolyte supply line 612, which is connected to each plating treatment tank through one or more liquid pumps 608 and valves 607. A heat exchanger 624 or a heater / cooler is Main tank 6 = The temperature of the electrolyte stored in main tank 602 is controlled by thermal connection. Heat exchanger page 58 ------------- jml pack ------- -Order -------- · Line · (Please read the precautions on the back before filling this page)

624與控制器610連接並操控。 計量微型組件603係經供應管線與主槽602連接,包括 數個源槽6 0 6或進料瓶、數個閥6 0 9及控制器6 11。源槽6 〇 6 内含組成電解液所需的化學藥品,且一般包括去離子水源错 和硫酸銅鹽(CuSCU)源槽以便組成電解液。其它源槽6〇6可 含硫酸(HzSO4)、氯化氫(HC1)以及各種添加劑,例如乙二醇 。各源槽宜以顏色編碼並配上特定的出口連接器較佳,以便 連接到在計量微型組件之配組入口連接器。以顏色編碼源槽 並使源槽配上特定連接器後’可使叉換或替換源槽時的人為 疏失大幅降低。 去離子水源槽亦以提供系統在維修時清洗系統所需的去 離子水較佳。閥609與各相關的源槽606係在調節送到主槽 602的化學藥品流,並可為任何種市售之閥,例如:蝶形闕 、節流閥之類。控制器6 11能啟動閥609,其以與系統控制 222連接以便接收其發出的信號較佳。 電解液過濾微型_件605包括數個濾膜槽604。電解液回 返管線6 1 4係介於各處理槽和一個或多個濾膜槽6 〇 4之間。 濾膜槽604能在將電解液送回主槽602中再利用之前去除不 欲得之廢電傳液含量。主槽602亦連接至濾膜槽604以增進 再循環以及過濾主槽602内之電解液。電解液經過從主槽 6〇2到濾膜槽604再循環後,不欲得之電解液含量可以濾膜 槽6 04連續去除以便維持純度一致。此外,在主槽602到濾 膜槽605再循環電解液可將電解液中各種化學藥品徹底混合 〇 第59頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)624 is connected to and controlled by the controller 610. The metering micro-module 603 is connected to the main tank 602 via a supply line, and includes a plurality of source tanks 606 or feed bottles, a plurality of valves 609, and a controller 611. The source tank 6 06 contains the chemicals needed to make up the electrolyte, and generally includes a source of deionized water and a copper sulfate (CuSCU) source tank to make up the electrolyte. Other source tanks 606 may contain sulfuric acid (HzSO4), hydrogen chloride (HC1), and various additives such as ethylene glycol. Each source slot should preferably be color-coded and fitted with a specific outlet connector to connect to the matching inlet connector of the metering micro-module. By color-coding the source slot and matching the source slot with a specific connector ', the human error in the replacement or replacement of the source slot can be greatly reduced. The deionized water source tank also provides the deionized water needed to clean the system during maintenance. The valve 609 and the related source tanks 606 are used to regulate the flow of chemicals to the main tank 602, and can be any kind of commercially available valves, such as butterfly cymbals, throttles and the like. The controller 611 can actuate the valve 609, which is preferably connected to the system control 222 so as to receive signals from it. The electrolyte filtration micro-piece 605 includes a plurality of filter membrane tanks 604. The electrolyte return line 6 1 4 is interposed between each treatment tank and one or more filter membrane tanks 604. The filter tank 604 can remove the unwanted electric liquid content before returning the electrolyte to the main tank 602 for reuse. The main tank 602 is also connected to the membrane tank 604 to promote recycling and filter the electrolyte in the main tank 602. After the electrolyte is recirculated from the main tank 602 to the filter membrane tank 604, the undesired electrolyte content can be continuously removed from the filter tank 604 to maintain a consistent purity. In addition, recycling the electrolyte in the main tank 602 to the membrane tank 605 can thoroughly mix the various chemicals in the electrolyte. Page 59 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) (Please (Read the notes on the back before filling out this page)

• n LI — I I• n LI — I I

訂-----r-----線J 經濟部智慧財產局員工消費合作社印製 513751 A7 經 濟 部 智 慧 財 產 局 消 作 社 印 製 五、發明說明( 電解液補充系統220亦包括化學分析器微型組件616以 便提供對電解液中的化學組成連線化學分析。分析器微型組 件616係經由樣品管線61 3以液體連接到主槽6〇2,並經出 口管線621連到廢物處理系統622。分析器微型組件6丨6 一 般包含至少一個分析器和控制器以操控分析器。對特定的處 理工具其所需的分析器數目視電解液的組成而定。例如,當 第一個分析器係用以監控有機物質濃度時,第二分析器則用 於無機化學^品。在圖丨6之特定具體實施例中化學分析器 微型組件616包含自動滴定分析器615和電流循環消除器(cyclic voltametric stripper)(cvS) 617。此兩種分析器均可 購自各個廠商。較適用之自動滴定分析器可購自parker Systems ’ 而電泥循環消除器(CyCHc v〇ltametric stripper)則 可購自ECL。自動滴定分析器6 1 5測定無機物質之濃度,例 如氯化銅以及酸。CVS6 1 7能測定有機物質之濃度,例如·· 各種用於電解液中之添加劑及在處理時產生、且從處理槽送 回到主槽602的副產物。 圖1 6分析器微型組件僅供示範。在另一個具體實施例中 各個分析器均可由獨立的供應管線連接到主電解液槽,並由 獨立的控制器操控。習知技藝人士將可應用到其他具體實施 例0 運轉時,電解液樣品係經由取樣管6 1 3流到分析器微型 組件6 1 6。雖然可定期取得樣品,不過仍以維持連續電解液 流到分析器微型組件6 1 6較佳。部份樣品係輸送到自動滴定 分析器6 1 5而部份則傳送送CVS 6 1 7進行適當的分析。控制 第60頁 本紙張尺度適用中國國家標準(CNS)A4.規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝——&gt;---Γ—訂· 513751 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 器619發出信號操控分析器615、617而產生數據。再將化 學分析器6 1 5、6 1 7送出之訊息傳遞到控制系統222。控制系 統222將訊息予以處理並傳送信號(其中包括由使用者設定 的化學劑量參數)到計量控制器6 11。所接收到的訊息即以操 控一個或多個閥609來進行源頭化學品之補充率的連線調整 ,藉以在電鍍處理過程中維持所欲得及較佳且固定的電解 液化學組合物。由分析器微型組件送出之廢物電解液再經由 出口管線62 1流到廢物處理系統622。 雖然較佳具體實施例係使用連線監測及調整電解液,不 過亦可依據本發明使用各種不同方法。例如,計量微型組件 603可由操控者根據化學分析器微型組件616之輸出值以人 工控制。系統軟體以均可自動連線以及操控者(人工)調整方 式較佳。此外,雖然多重控制器展示於圖16,不過亦可使用 單一的控制器來操控各種系統組件,例如化學分析器微型組 件616、計量微型組件6〇3、及熱交換器624。習知技藝人士 可由應可明白其它長體實施例。 刀析=。以包括&amp;準品以及权準圖較佳,以便有助於控制 器修正由於重覆使用致使分析器之電極或感冑器腐蚀而產 生的偏移量標準品以及校準圖以依據待分析物質之分析器 而分组較佳。例如’自動滴定分析器615包括無機物質之標 準品以及校準圖,而CVS617則包括有機物質之標準品以及 校準圖。例如表&quot;斤列的是分析電解液中銅和氯化物含量的 三個標準品。 表1 ··銅和氣化物含量之標準品 第61頁 私紙張尺—中關家鮮Β)Α4規格(細χ撕公髮丁 (請先閱讀背面之注意事項再填寫本頁)Order ----- r ----- line J Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 Printed by the Consumer Affairs Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (The electrolyte supplement system 220 also includes chemical analysis The analyzer micro-module 616 is provided to provide on-line chemical analysis of the chemical composition in the electrolyte. The analyzer micro-module 616 is liquid-connected to the main tank 602 via the sample line 61 3 and is connected to the waste treatment system 622 via the outlet line 621 .Analyzer micro-module 6 丨 6 generally contains at least one analyzer and controller to operate the analyzer. The number of analyzers required for a particular processing tool depends on the composition of the electrolyte. For example, when the first analyzer When used to monitor the concentration of organic substances, the second analyzer is used for inorganic chemicals. In the specific embodiment of FIG. 6, the chemical analyzer micro-module 616 includes an automatic titration analyzer 615 and a current cycle canceller (cyclic voltametric stripper) (cvS) 617. Both types of analyzers can be purchased from various manufacturers. The more suitable automatic titration analyzer can be purchased from parker Systems' and the electric mud circulation eliminator (CyCHc v〇ltametric stripper) can be purchased from ECL. Automatic titration analyzer 6 1 5 measures the concentration of inorganic substances, such as copper chloride and acid. CVS 6 1 7 can measure the concentration of organic substances, such as ... Additives in the liquid and by-products produced during processing and returned from the processing tank to the main tank 602. Figure 16 Analyzer micro-components are for demonstration only. In another embodiment, each analyzer can be supplied independently The pipeline is connected to the main electrolyte tank and is controlled by a separate controller. Those skilled in the art will be able to apply it to other specific embodiments. 0 During operation, the electrolyte sample flows through the sampling tube 6 1 3 to the analyzer micro-assembly 6 1 6. Although samples can be taken regularly, it is better to maintain continuous electrolyte flow to the analyzer micro-module 6 1 6. Some samples are sent to the automatic titration analyzer 6 1 5 and some are sent to CVS 6 1 7 Perform appropriate analysis. Control page 60. This paper size applies Chinese National Standard (CNS) A4. Specification (210 X 297 mm) (Please read the precautions on the back before filling this page). Installation-> ----- Γ—Order · 513751 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of invention () The device 619 sends signals to operate the analyzers 615 and 617 to generate data. The information sent by the chemical analyzer 6 1 5 and 6 1 7 is passed to Control system 222. The control system 222 processes the information and sends signals (including chemical dose parameters set by the user) to the metering controller 6 11. The received information is sourced by operating one or more valves 609 On-line adjustment of the chemical replenishment rate to maintain the desired and better and fixed electrolyte chemical composition during the plating process. The waste electrolyte sent from the analyzer micro-assembly then flows to the waste treatment system 622 via the outlet line 621. Although the preferred embodiment uses on-line monitoring and adjustment of the electrolyte, various methods may be used in accordance with the present invention. For example, the metering micro-module 603 may be manually controlled by an operator based on the output value of the chemical analyzer micro-module 616. The system software is preferably connected automatically and adjusted by the operator (manually). In addition, although multiple controllers are shown in Figure 16, a single controller can be used to control various system components, such as the chemical analyzer micro-module 616, the metering micro-module 603, and the heat exchanger 624. Those skilled in the art can understand other long body embodiments. Knife analysis =. It is better to include & standard products and weight maps to help the controller to correct the offset standards and calibration charts caused by repeated use of the analyzer electrode or sensor due to corrosion. The analyzer is better for grouping. For example, the 'auto titration analyzer 615 includes standards and calibration charts for inorganic substances, while CVS617 includes standards and calibration charts for organic substances. For example, the table lists three standards for the analysis of copper and chloride content in the electrolyte. Table 1 ·· Standards for copper and gas content Page 61 Private paper ruler—Zhongguan Jiaxian B) Α4 specifications (fine χ tear male hairpin (please read the precautions on the back before filling this page)

衣--------訂---------線I 513751 A7 Β7 五、發明說明( 氯化物 標準品1(低) 標準品2(中等) 標準品3 (高) 40g/l 50g/l 60g/l 40pp] 70pp; 1 ΟΟρρι __I_| 本 經濟部智慧財產局員工消費合作社印製 分析器利用標準品來測定分析器在分析銅和氯化物含量 時由於重覆使用致使分析器之電極或感應器腐蝕而產生的 誤差值或偏移值。由已知標準品含量和分析器測量值之間的 線性内差關$,可校正分析器而精確的分析電解液樣品内之 物質。電解液樣品之測量數據以電極或感應器偏移值校準後 即可精確測量。在使用標準品和校準圖後,本發明能提供準 確的連線、線上分析電解液,並有助於在系統内之分析器進 行閉合環路分析。本發明亦可延長電極或感應器之壽命並降 低了由於更換組件而須中斷系統之次數。 電解液補充系統220亦包括將電解液廢水排水管62〇連 接到電解液廢物處理系統622以便安全的丟棄廢電解液、化 學藥品以及電鍍系統所使用之其他液體。較佳者,電鑛槽宜 包括直接以管線來連接電解液廢水排水管62〇或電解液廢物 處理系統622以便能將電鍍槽排空而電解液又無須通過整個 電鱗液補充深統220。電解液補充系統22〇較佳者亦以包括 洩放連接以便能將過量的電解液洩放到電解液廢水排水 62 0 ° 較佳者,電解液補充系統22〇亦包 ^ ^ 4多種除氣微 型=63二以便能去除電解液中不欲得之氣體。除氣微型組 件一般而言包括可將通過除氣微型組 卞共工乐統之液體 第62頁 :氏張尺度適用中關家標準((:ϋ_規格咖χ 297公爱 (請先閱讀背面之注意事項再填寫本頁) % ·11111 513751Clothing -------- Order --------- Line I 513751 A7 B7 V. Description of the invention (chloride standard product 1 (low) standard product 2 (medium) standard product 3 (high) 40g / l 50g / l 60g / l 40pp] 70pp; 1 ΟΟρρι __I_ | The analyzer printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs uses a standard product to determine the analyzer's analysis of copper and chloride content due to repeated use. The error value or offset value caused by corrosion of the electrode or sensor of the analyzer. The linear internal difference between the known standard content and the analyzer measurement value is closed. The analyzer can be calibrated to accurately analyze the electrolyte sample. Substance. The measurement data of the electrolyte sample can be accurately measured after being calibrated with the offset value of the electrode or the sensor. After using the standard and the calibration chart, the present invention can provide accurate wiring, analyze the electrolyte online, and help The closed-loop analysis is performed by the analyzer in the system. The present invention can also extend the life of the electrode or sensor and reduce the number of times the system must be interrupted due to component replacement. The electrolyte replenishment system 220 also includes an electrolyte wastewater drain pipe 62 〇Connected to electrolyte waste Material treatment system 622 for the safe disposal of waste electrolyte, chemicals and other liquids used in electroplating systems. Preferably, the electric ore tank should include a pipeline directly connected to the electrolyte wastewater drain pipe 62 or the electrolyte waste treatment system. 622 so that the plating tank can be emptied and the electrolyte does not need to replenish the deep system 220 through the entire electric scale fluid. The electrolyte replenishment system 22 also preferably includes a drain connection to allow the excess electrolyte to be drained to the electrolyte. Wastewater drainage 62 0 ° Preferably, the electrolyte supplement system 22 ° also includes ^ 4 kinds of degassing micro = 63 to remove unwanted gas in the electrolyte. Generally, the degassing micro components include Degassing micro group Gong Gong Le Tong's liquids Page 62: The Zhang scale is applicable to the Zhongguanjia standard ((: ϋ_specification coffee χ 297 public love (please read the precautions on the back before filling this page)% 11111 513751

五、發明說明() 經濟部智慧財產局員工消費合作社印製 中的氣眼分離之膜以便去除釋出的氣體。除氣微型組件630 較佳者宜與相鄰於處理槽240的電解液供應管線612連線。 除氣微型組件630較佳者宜盡可能的靠近處理槽24〇,以便 能在電解液進人處理槽之前以除氣微型組件去除電解質補 充系統中的大多數氣體。 較佳者各除氣彳政型組件630包括兩個出口可供應已脫 氣的電解液給各處理平台2 1 8的兩個處理槽240。此外,除 氣微型組件63 0係用於各個處理槽。除氣微型組件可置於許 多其他不同位置上。例如,除氣微型組件可置於電解液補充 系統的其他位置上,例如與濾膜在一起或在閉合環路系統中 與主槽或與處理槽一起。在其他實施例中,除氣微型組件係 與廷解液供應管線6 1 2連線以提供脫氣的電解液給電化學沈 積系統處理槽240。此外,除氣微型組件係獨立連線或與去 離子水供應管線形成閉合環路而專門用來去除去離子水源 中之氧。因為去離子水係用於沖洗經處理的基材,所以在送 達SRD微型組件之声去離子水宜先去除不含氧的氣體較佳 ,此舉可使電鍍銅較不會在沖洗程序中氧化。除氣微型組件 在本技藝中為眾所皆知,具體實施例相當普遍,且可用於各 種用途。“市售的除氣微型組件可購自 Millipore Corporation(Bedford,Massachusettes)。 除氣微型組件630之具體實施例之一如圖26a,包括疏水 性膜632,在膜63 2之一邊有液體(即電解液)通路634,而真 空系統63 6則配置在膜的另一邊。除氣微型組件的外殼63 8 包括入口 640及一個或多個出口 642。當電解液通過除氣微 第63頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) γ裝---- I — iV. Description of the invention () The gas-eye separation membrane printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs in order to remove the released gas. The degassing micro-module 630 is preferably connected to the electrolyte supply line 612 adjacent to the processing tank 240. The degassing micro-module 630 is preferably as close as possible to the processing tank 24 so that most of the gas in the electrolyte replenishing system can be removed by the de-gassing micro-module before the electrolyte enters the processing tank. Preferably, each of the degassing grate type components 630 includes two processing tanks 240 at which two outlets can supply degassed electrolyte to each processing platform 2 1 8. In addition, the degassing micro module 63 0 is used in each processing tank. The degassing micro-assembly can be placed in many other different positions. For example, the degassing micro-assembly can be placed elsewhere in the electrolyte replenishment system, such as with the membrane or in a closed loop system with the main tank or with the processing tank. In other embodiments, the degassing micro-module is connected to the solution solution supply line 6 12 to provide a degassed electrolyte to the electrochemical deposition system processing tank 240. In addition, the degassing micro-components are connected separately or form a closed loop with the deionized water supply line and are specifically used to remove oxygen from the deionized water source. Because deionized water is used to rinse the treated substrate, it is better to remove the oxygen-free gas before the sound of SRD micro-components is delivered. This will make the electroplated copper less oxidized during the rinse process. . Degassing miniature components are well known in the art, and specific embodiments are quite common and can be used for various purposes. "A commercially available degassing micro-module can be purchased from Millipore Corporation (Bedford, Massachusetts). One of the specific examples of the de-gassing micro-module 630 is shown in Figure 26a, which includes a hydrophobic membrane 632 with a liquid on one side of the membrane 63 2 (ie Electrolyte) passage 634, and the vacuum system 63 6 is arranged on the other side of the membrane. The housing of the degassing micro-module 63 8 includes an inlet 640 and one or more outlets 642. When the electrolyte passes through the degassing micro, page 63 of this paper Standards are applicable to China National Standard (CNS) A4 specifications (210 X 297 mm) (Please read the precautions on the back before filling out this page) γ Pack ---- I — i

---------線 I 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 型組件63〇時,可經由疏水性膜分離電解液中之氣體和其他 f解液中之微泡並由真空系統去除、在其他除氣微型組件 63 0’之具體實施例中,如圖26b,句挺诠u K 匕栝疏水性膜管632丨以及 配置在疏水性膜管632,四周的真空系統636。電解液由疏水 性膜軟管進入,當電解液通過軟管中之液體通路634時,疏 水性膜管632’便將電解液中之氣體以及其他電解液中之微 泡由分離再由軟管周圍的真空系統636去除。本發明亦提供 其i種較複巧的除氣微型組件设計’包括有電解液彎道通過 膜:的$又汁以及其他多重切開设計的除氣微型組件。 雖然圖1 6並未顯示,電解液補充系統220卻可包括許多 其他組件。例如,電解液補充系統22〇較佳者亦包括一個或 多個附加槽以供貯藏晶片清洗系統所需的化學藥品,例如: SRD平台。亦可使用供輸送有害物質之雙重管路以便在整個 系統中安全地運送化學藥品。視需要而定,電解液補充系統 22 0亦包括與其它附加的或外接式電解液處理系統銜接以便 提供額外的電解液供應器給電鍍系統。 i 圖1 7為依據本發明快速熱退火室之橫切面圖。快速熱退 火(RTA)室211較佳者宜與裝料站210連接,而基材則由裝 i 料站自動轉犊機228轉送到RTA室211進出。電鍍系統,如 圖2以及3,較佳者包含兩個配置在裝料站210對面之RTA 室2 11 ’其係與裝料站2丨〇之對稱式設計相同。熱退火處理 主在本技藝為眾所皆知,而快速熱退火室在基材處理系統中 通常是用以強化沈積物之性質。本發明嚐試利用各種熱退火 室設計來促進電鍍效果,包括加熱板設計和加熱燈設計。其 第64頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)--------- Line I Printed by A7 B7, Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention () When the module is 63 o'clock, the gas and other solutions in the electrolyte can be separated through the hydrophobic membrane. The microbubbles in the liquid are removed by the vacuum system. In the specific embodiment of the other degassing micro-components 63 0 ′, as shown in FIG. 26b, the sentence Tingquan u K dagger hydrophobic membrane tube 632 丨 and the hydrophobic membrane tube 632, surrounding vacuum system 636. The electrolyte enters through the hydrophobic membrane hose. When the electrolyte passes through the liquid passage 634 in the hose, the hydrophobic membrane tube 632 'separates the gas in the electrolyte and other microbubbles in the electrolyte from the hose. The surrounding vacuum system 636 is removed. The present invention also provides its more sophisticated degassing micro-module design ', which includes an electrolyte elbow through the membrane and other degassing micro-modules with multiple cutout designs. Although not shown in Figure 16, the electrolyte replenishment system 220 may include many other components. For example, the electrolyte replenishment system 22 also preferably includes one or more additional tanks for storing chemicals required by the wafer cleaning system, such as an SRD platform. It is also possible to use double lines for the transport of hazardous substances to safely transport chemicals throughout the system. As required, the electrolyte replenishment system 220 also includes interfaces with other additional or external electrolyte treatment systems to provide additional electrolyte supplies to the plating system. i Figure 17 is a cross-sectional view of a rapid thermal annealing chamber according to the present invention. The rapid thermal annealing (RTA) chamber 211 is preferably connected to the loading station 210, and the substrate is transferred to the RTA chamber 211 by the automatic transfer caliber 228 of the loading station. The plating system, as shown in FIGS. 2 and 3, preferably includes two RTA chambers 2 11 ′ arranged opposite to the loading station 210, which are the same as the symmetrical design of the loading station 2 丨 0. Thermal annealing is well known in the art, and rapid thermal annealing chambers are often used in substrate processing systems to enhance the properties of deposits. The present invention attempts to use various thermal annealing chamber designs to promote the plating effect, including heating plate design and heating lamp design. Its page 64 This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) (Please read the precautions on the back before filling this page)

513751 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明( 中一種特別適用於本發明之熱退火室為 WxZ室係購自 Applied material,Inc.(Santa Clara,California)。本發明說明 中雖然係使用加熱板快速熱退火室,不過本發明亦可使用其 他種熱退火室。 RTA室211 —般包含外殼902、加熱板904、加熱器907 以及數個基材支托栓906。外殼902包括底座908、側壁910 和頂部9 1 2。較佳者,冷卻板9 1 3係配置在外殼頂部9丨2之 下方。此外,冷卻板則與外殼頂部9 1 2整合而成為其中一部 份。較佳者,反射絕緣圓盤9 1 4係配置在底座908上外殼902 之内。反射絕緣圓盤9 1 4的製作材料一般是可耐高溫(約高 於5 0 0 C )且處作為加熱器9 0 7和外殼9 0 2之間的熱絕緣體者 ,例如·石英、氧化銘、或其他材料。圓盤9 14亦可塗上反 射材料(例如:金)以便將熱導回到加熱器板9〇6。加熱板9〇4 之重量以大於系統内待處理之基材較佳,且其製材以不會與 RTA室2 1 1中之任何室溫氣體或與基材原料反應者較佳,例 如矽碳化合物、石英〆或其他材料。加熱器9〇7 一般包含耐 熱元件或導電/發熱的熱源,且係配置在加熱板9〇6和反射絕 緣圓盤914之間。加熱器907係與電源916連接,提供將加 熱器907加熱所需之能量。較佳者,熱偶92〇係配置在導管 922中,穿過底座908和圓盤914,並延伸到加熱板9〇4。熱 偶920係與控制器(即以下說明之系統控制器)連接並提供溫 度測量結果給㈣器。#依據溫度測量名吉果以&amp;所欲得之退 火溫度提高或降低由加熱器9〇7提供給控制器之熱。 外殼902較佳者包括配置在外殼9Q2外的冷卻構件918 (請先閱讀背面之注意事項再填寫本頁) ▼裝--------訂------ 第65頁513751 A7 B7 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the Invention (One of the thermal annealing chambers particularly suitable for the present invention is the WxZ chamber, which was purchased from Applied material, Inc. (Santa Clara, California). Although a rapid thermal annealing chamber using a heating plate is used in the present invention, other thermal annealing chambers can also be used in the present invention. The RTA chamber 211 generally includes a casing 902, a heating plate 904, a heater 907, and a plurality of substrate support bolts 906. The casing 902 includes a base 908, a side wall 910, and a top 9 1 2. Preferably, the cooling plate 9 1 3 is arranged below the top 9 9 of the housing. In addition, the cooling plate is integrated with the top 9 1 2 of the housing to become one of them. Preferably, the reflective insulating disc 9 1 4 is disposed within the housing 902 on the base 908. The reflective insulating disc 9 1 4 is generally made of a material that can withstand high temperatures (approximately higher than 5 0 C) and As a thermal insulator between the heater 9 0 7 and the housing 9 2 2, such as quartz, oxide, or other materials. The disc 9 14 can also be coated with a reflective material (eg gold) to conduct heat back Heater plate 906. The weight of the heating plate 904 is preferably larger than the substrate to be processed in the system, and its material is preferably not to react with any room temperature gas in the RTA chamber 2 1 1 or react with the substrate raw materials, such as silicon carbon Compound, quartz, or other materials. The heater 907 generally contains a heat-resistant element or a conductive / heat-generating heat source, and is arranged between the heating plate 906 and the reflective insulating disc 914. The heater 907 is connected to a power source 916 Provides the energy required to heat the heater 907. Preferably, the thermocouple 92 is arranged in the conduit 922, passes through the base 908 and the disc 914, and extends to the heating plate 904. The thermocouple 920 is connected with The controller (that is, the system controller described below) is connected and provides the temperature measurement result to the device. #According to the temperature measurement, the temperature increase or decrease of the annealing temperature is provided by the heater 907 to the controller The housing 902 preferably includes a cooling member 918 arranged outside the housing 9Q2 (please read the precautions on the back before filling this page) ▼ Installation -------- Order ------ Page 65 page

經濟部智慧財產局員工消費合作社印製 513751 A7 B7 五、發明說明() ,其係以熱與侧壁9 1 0連接以便能冷卻外殼9 0 2。此外,在 側壁9 1 0内則有一個或多個冷卻甬道(未顯示)以便能控制外 殼9 0 2之溫度。配置在頂部9 1 2内面的冷卻板9 1 3可冷卻與 冷卻板9 1 3位置相近的基材。 RTA室211包括配置在外殼902之側壁9 1 0上之開口閥 922,其能利於基材進出RTA室。開口閥922可選擇性地封 閉位在與裝料站2 1 0相通之外殼側壁9 1 0上之開口 9 2 4。裝 料站自動轉換機228(參見圖2)可運送基材由開口 924進出 RTA 室。 基材支托栓906以含有由石英、氧化銘、碎碳化合物、 或其他耐高溫之材料製成之末端逐漸尖細的構件較佳。各基 材支托栓906係配置在穿過加熱板904的管狀導管926内, 其係以耐熱及氧化反應之材料製造較佳。基材支托栓9〇6係 連接至升降機板928以便能穩定地移送基材支托栓906。升 降機板928則附在傳動裝置930上,例如步進馬達,並透過 升降機柄932移送升降機板928而將基材置放在RTA室内的 i 各種垂直位置。升降機柄932係穿過外殼902底座908,由 配置在升降機柄四周之密封凸緣934予以密封。 為求能箨送基材到RTA室211内,開口閥922先打開, 裝料站自動轉換機228將其自動機葉片(其上已置放著基材) 經過開口 924伸進RTA室内。荷載平台自動轉換機228的自 動機葉片將基材放在RTA室内加熱板904的上方,而基材支 托栓906則昇南將基材抬高到自動機葉片之上方。自動機葉 片再撤出RTA室,開口閥922關閉開口。基材支托栓906 第66頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) - - ^ - - ---------^--------- (請先閱讀背面之注意事項再填寫本頁) 513751 A7 B7 五、發明說明() 再撤回到基材下方距離加熱板904欲得之處。視需要可將基 材、支托栓906完全撤回而讓基材與加熱板直接接觸。 較佳者,氣體入口 936係穿過外殼902側壁910以便在 退火處理程序中供經選定的氣流進入RTA室2 11。氣體入口 936係經由閥940與氣體源938連接以便控制進入RTA室211 之氣流。氣體出口 942以配置在外殼902側壁9 1 0下方部份 較佳以便排放RTA室内的氣體,較佳者則是連接至凸板/檢 核閥944以防大氣壓力由室外回流。視需要,氣體出口 942 可與真空泵(未顯示)連接以便在退火處理時能將RTA室排空 達所欲得之真空程度。 依據本發明,當基材於電鍍槽内電鍍並在SRD平台内清 洗後,基材係在RTA室211内退火。較佳者,RTA室211係 維持在約一大氣壓下,而在退火處理程序中RTA室2 11内的 氧含量則控制在低於約1 OOppm。較佳者,RTA室2 11内的 室溫環境包含氮(N)或為氮(N)及少於約41%氫(H2)之組合, 且進入RT A室211之室溫氣流則維持在南於20公升/min以 便氧含量控制在低於1 OOppm。電鍍基材退火時以使用介於 約200°C及約450°C之溫度、介於約30秒及30分鐘較佳,而 更Λ者則介於約250°C及約400°C、介於約1分鐘及5分鐘。 快速熱退火處理所需要之溫度通常每秒至少提高50°C。為求 能在退火處理中達到所需的基材升溫速度,加熱板宜維持在 介於約3 50°C及約450°C較佳,而在退火處理期間基材以置放 在距離加熱板約〇 m m (即與加熱板接觸)至約2 0 m m之處較佳 。RTA室2 11之運轉宜由控制系統222控制較佳,包括維持 第67頁 尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 (請先閱讀背面之注意事項再填寫本頁)Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 B7 V. Description of the invention (), which is connected to the side wall 9 10 by heat so as to cool the housing 9 2. In addition, there are one or more cooling channels (not shown) in the side wall 9 10 to control the temperature of the housing 90 2. A cooling plate 9 1 3 disposed on the inner surface of the top 9 1 2 can cool a substrate close to the position of the cooling plate 9 1 3. The RTA chamber 211 includes an opening valve 922 disposed on a side wall 9 10 of the housing 902, which can facilitate the substrate to enter and exit the RTA chamber. The opening valve 922 can selectively close the opening 9 2 4 on the side wall 9 1 0 of the housing communicating with the loading station 2 10. The loading station automatic changer 228 (see FIG. 2) can transport the substrate through the opening 924 into and out of the RTA chamber. The base support bolt 906 preferably includes a member having a tapered end, which is made of quartz, oxidized carbon, crushed carbon compounds, or other high temperature resistant materials. Each base support bolt 906 is disposed in a tubular duct 926 passing through the heating plate 904, and it is preferably made of a material that is resistant to heat and oxidation reactions. The substrate support bolt 906 is connected to the elevator plate 928 so that the substrate support bolt 906 can be stably transferred. The lift plate 928 is attached to a transmission device 930, such as a stepper motor, and the lift plate 928 is transferred through the lift handle 932 to place the substrate in various vertical positions in the RTA room. The lifter handle 932 passes through the base 908 of the housing 902 and is sealed by a sealing flange 934 disposed around the lifter handle. In order to feed the substrate into the RTA chamber 211, the opening valve 922 is opened first, and the automatic transfer machine 228 of the loading station inserts its robot blade (the substrate has been placed thereon) through the opening 924 into the RTA chamber. The engine blade of the load platform automatic changer 228 places the substrate above the RTA indoor heating plate 904, and the substrate support bolt 906 rises south to raise the substrate above the robot blade. The automaton leaves are then withdrawn from the RTA chamber, and the opening valve 922 closes the opening. Substrate support bolt 906 Page 66 This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm)--^----------- ^ ------- -(Please read the notes on the back before filling this page) 513751 A7 B7 V. Description of the invention () Then withdraw to the place where the heating plate 904 is located below the substrate. If necessary, the substrate and the support bolt 906 can be completely withdrawn and the substrate can be brought into direct contact with the heating plate. Preferably, the gas inlet 936 passes through the side wall 910 of the housing 902 to allow the selected gas stream to enter the RTA chamber 21 during the annealing process. The gas inlet 936 is connected to a gas source 938 via a valve 940 to control the flow of air into the RTA chamber 211. The gas outlet 942 is preferably arranged below the part 9 10 of the side wall of the housing 902 so as to discharge the gas in the RTA chamber, and more preferably, it is connected to the convex plate / check valve 944 to prevent atmospheric pressure from flowing back from outside. If desired, the gas outlet 942 can be connected to a vacuum pump (not shown) so that the RTA chamber can be evacuated to the desired degree of vacuum during the annealing process. According to the present invention, after the substrate is plated in a plating bath and cleaned in the SRD platform, the substrate is annealed in the RTA chamber 211. Preferably, the RTA chamber 211 is maintained at about one atmosphere, and the oxygen content in the RTA chamber 2 11 is controlled to be less than about 100 ppm during the annealing process. Preferably, the room temperature environment in the RTA chamber 2 11 contains nitrogen (N) or a combination of nitrogen (N) and less than about 41% hydrogen (H2), and the room temperature airflow entering the RT A chamber 211 is maintained at South at 20 liters / min in order to control the oxygen content below 100 ppm. For annealing of electroplated substrates, it is better to use a temperature between about 200 ° C and about 450 ° C, between about 30 seconds and 30 minutes, and more preferably between about 250 ° C and about 400 ° C In about 1 minute and 5 minutes. The temperature required for rapid thermal annealing is usually increased by at least 50 ° C per second. In order to achieve the required heating rate of the substrate during the annealing process, the heating plate should preferably be maintained at about 3 50 ° C and about 450 ° C, and the substrate should be placed away from the heating plate during the annealing process. It is preferably about 0 mm (that is, in contact with the heating plate) to about 20 mm. The operation of RTA room 2 11 should be better controlled by the control system 222, including maintenance. Page 67 Standards are applicable to China National Standard (CNS) A4 specifications (210 X 297 mm) (Please read the precautions on the back before filling this page)

【裳--------訂---------線I 經濟部智慧財產局員工消費合作社印製 513751 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( RTA室内所欲得之室溫環境及加熱板之溫度。 元成退火處理程序之後,基材支托栓9〇6便將基材抬高 到準備移出RTA室2 11的位置。開口閥9 2 2打開,裝料站自 動轉換機228之自動機葉片伸入RTA室内至基材下方。基材 支托栓906撤回而將基材降低放在自動機葉片上,其後自動 機葉片撤出RTA室。裝料站自動轉換機228再將待處理之基 材移送到卡盒232以便送離電鍍處理系統。(參見圖2及3) 〇 再參考圖2,電鍍系統平台200包括控制系統222可控制 各平台組件之功能。較佳者,控制系統222係安裝在主機架 214上方,且包含程控微處理器。程控微處理器通常是用專 門用來控制所有電鍍系統平台200組件之軟體設計程式。控 制系統222亦提供電力給系統組件,並包括控制儀錶板223 可供操控者監控及操作電鍍系統平台2〇〇。控制儀錶板223 ,如圖2,是一個透過電纜與控制系統222連接的獨立微型 組件,並可輕易地供,控者操作。一般而言,控制系統222能 協調裝料站210、RTA室211、SRD平台212、主機架214 以及處理平台218的運轉。此外,控制系统m並可與電解 硬i充系統220控制器協調而提供電鍍處理過程所需的電解 液。 以下係說明透過圖2之電鍍系統平台2〇〇的典型晶片電 鍍處理的程序。下述之處理程序均可料其他各種處理程序 或可用依據本發明電化學沈積系統進行之處理程序的組合 。將内含數個晶片的晶片卡盒載入電鍍系統平台2〇〇之裝料 第68頁 — — — — — — — — — — — — — — — — — I— ^ . I------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 513751 A7 _ ____ B7 五、發明說明() 站210的晶片卡盒接收區224内。裝料站自動轉換機228從 晶片卡盒的晶片槽中取出晶片,並將晶片放在晶片定位機 2 3 0内。由晶片定位機2 3 0依此系統處理之需要來判定晶片 的取向並予以定位。裝料站自動轉換機228再將已定位的晶 片從晶片定位機23 0上移出並將晶片置於srd平台2 1 2之晶 片轉運卡盒238之晶片槽中。自動轉移主機242從晶片轉運 卡盒2 3 9内取出晶片並將晶片固定在升降舵自動機終端操縱 裝置2404上。自動轉移主機242將晶片轉送到edp槽3010 ,並以非電式沈積法進行籽晶層修補程序。 在籽晶層修補程序之後,自動轉移主機將晶片轉送至處 理槽2 4 0進行電鍍處理過程。升降航自動機終端操縱裝置 2404旋轉並將晶片以面朝下的方式置於晶片支架配件45〇 内。晶片係置於晶片支架464下方但在陰極接環466之上方 。升降舵自動機終端操縱裝置2404再釋出晶片而將晶片置 入陰極接環466内。晶片支架464移向晶片而真空吸盤將晶 片固足在晶片支架464上。晶片支架配件450上之囊袋配件 470對晶片令面施壓以確保晶片電鏡表面和陰極接環々Μ之 間有良好的電接觸。 、端配件v 452下降到處理套組420上方的處理位置。在 此位置的晶片係處於壩4 7 8上方平面之下並觸及處理套組 420内之電解液。啟動電源開始供應電力(即電壓和電流)到 陰極和陽極以進行電鍍處理過程。在電鍍處理過程中電解液 一般是連續地送入處理套組内。供應到陰極和陽極的電力和 電解液流係由控制系統222控制以便達到所欲得之電鍍結果 第69頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --I--1111---— I--I--^ · 11----11 W (請先閱讀背面之注意事項再填寫本頁) 513751 A7 B7 五、發明說明() 。較佳者,頭端配件降下時及在電鍍處理過程中頭端配件均 會旋轉。 完成電鍍處理過程之後,頭端配件4 1 0抬高了晶片支架 配件並從電解液中移出晶片。較佳者,頭端配件繼續旋轉一 段期間以便去除晶片支架配件上殘存的電解液。晶片支架上 的真空吸盤和囊袋配件再釋出晶片支架上的晶片,晶片支架 昇高以便升降舵自動機終端操縱裝置2404能,從陰極接環上 取出待處理,的晶片。升降航自動機終端操縱裝置2 4 〇 4移到 在陰極接環内待處理晶片背面的上方,並用升降舵自動機終 端操縱裝置上的真空吸夾取出晶片。主機架自動轉換機將升 降舵自動機終端操縱裝置和晶片撤出處理槽240,升降銳自 動機終^操縱裝置將晶片由面朝下翻轉成面朝上的位置。 晶片再轉送到EBR/SRD微型組件2200内。EBR/SRD晶 片支托將晶片抬高,自動轉移主機便撤出EBR/SRD微型組 件2200之外。晶片置於EBR/SRD槽之真空晶片支架上,再 進行上述之棱珠去滁程序以便去除晶片棱邊部份的過量沈 積物。晶片再於EBR/SRD微型組件内依旋轉-沖洗-乾燥程序 用,去離子水或去離子水與上述清洗液體的組合予以清洗。其 後曰曰曰片便寸待移出EBR/SRD微型組件。 裝料站自動轉換機228從EBR/SRD微型組件236中取出 晶片並將待處理之晶片轉送到RTA室2 11内進行退火處理程 序以強化沈積物之性質。經退火的晶片再由裝料站自動機 228移出RTA室2 11並放回到晶片卡盒内以待移出電鍍系統 。上述的程序可在本發明電鍍系統平台200内實質同時進行 第70頁 本紙張尺度適用中國國家松準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項_填寫本頁) 51· tT——-------Μ 經濟部智慧財產局員工消費合作社印製 513751 A7 B7 五、發明説明( 數個晶片。同時,依據本發明之電鐘系統可提供數疊晶片處 理。 雖然前述文中係關於本發明的較佳具體實施例’不過也 可設計本發明的其他及進一步具體實施例而仍不出本發明 的基本範疇。本發明的範疇係由下列之申請專利範圍所判定 請 先 閲 讀 背 之 注 意 事 項 頁 經濟部智慧財產局員工消費合作社印製 頁 11 7 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐)[Shang -------- Order --------- Line I Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 B7 Printed by the Employee Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs The room temperature environment and the temperature of the heating plate desired in the RTA room. After Yuancheng's annealing process, the substrate support bolt 906 will raise the substrate to a position ready to be removed from the RTA chamber 2 11. The open valve 9 2 2 Open, the robot blade of the automatic transfer machine 228 of the loading station extends into the RTA chamber below the substrate. The substrate support bolt 906 is retracted and the substrate is lowered on the robot blade, and then the robot blade is withdrawn from the RTA. The loading station automatic transfer machine 228 then transfers the substrate to be processed to the cassette 232 for delivery from the plating processing system. (See Figures 2 and 3) 〇 Referring again to Figure 2, the plating system platform 200 includes a control system 222 to Controls the functions of each platform component. Preferably, the control system 222 is installed above the main frame 214 and contains a program-controlled microprocessor. The program-controlled microprocessor is usually a software design program designed to control all 200 components of the plating system platform The control system 222 also provides power to System components, and includes a control instrument panel 223 for the operator to monitor and operate the plating system platform 2000. The control instrument panel 223, as shown in Figure 2, is an independent micro-component connected to the control system 222 through a cable and can be easily In general, the control system 222 can coordinate the operation of the loading station 210, the RTA room 211, the SRD platform 212, the main frame 214, and the processing platform 218. In addition, the control system m can be charged with electrolytic hard i The controller of the system 220 coordinates and provides the electrolyte required for the plating process. The following is a description of a typical wafer plating process through the plating system platform 2000 of FIG. 2. The following processing procedures can be used for various other processing procedures or A combination of processing procedures that can be performed with an electrochemical deposition system according to the present invention. Load a wafer cassette containing several wafers into the plating system platform 2000. Page 68 — — — — — — — — — — — — — — — — — I— ^. I ------- (Please read the notes on the back before filling out this page) Printed by the Intellectual Property Bureau Employee Consumer Cooperative of the Ministry of Economy 513751 A7 _ ____ B7 V. Description of the invention () The wafer cassette receiving area 224 of the station 210. The loading station automatic changeover machine 228 removes the wafer from the wafer slot of the wafer cassette and places the wafer in the wafer positioning machine 230. The wafer positioning machine 2 3 0 determines the orientation of the wafer and positions it according to the needs of this system processing. The loading station automatic conversion machine 228 then removes the positioned wafer from the wafer positioning machine 23 0 and places the wafer on the srd platform 2 In the wafer slot of the wafer transfer cassette 238 of 1 2, the automatic transfer host 242 removes the wafer from the wafer transfer cassette 2 3 9 and fixes the wafer on the elevator robot terminal control device 2404. The automatic transfer host 242 transfers the wafer to the EDP tank 3010 and performs a seed layer repair process by a non-electrical deposition method. After the seed layer is repaired, the automatic transfer host transfers the wafer to the processing tank 240 for the plating process. The elevator automata terminal operating device 2404 is rotated and the wafer is placed face down in the wafer holder fitting 45o. The wafer is placed under the wafer holder 464 but above the cathode ring 466. The elevator automata terminal control device 2404 releases the wafer again and places the wafer in the cathode ring 466. The wafer holder 464 is moved toward the wafer and the vacuum chuck holds the wafer on the wafer holder 464. The bladder fitting 470 on the wafer holder fitting 450 applies pressure to the wafer surface to ensure good electrical contact between the wafer electron microscope surface and the cathode ring 阴极 M. The end fitting v 452 descends to the processing position above the processing set 420. The wafer at this position is below the plane above the dam 478 and touches the electrolyte in the processing kit 420. The start-up power supply begins to supply power (ie, voltage and current) to the cathode and anode for the plating process. During the electroplating process, the electrolyte is generally continuously fed into the processing kit. The power and electrolyte flow supplied to the cathode and anode are controlled by the control system 222 in order to achieve the desired plating results. Page 69 This paper size applies Chinese National Standard (CNS) A4 (210 X 297 mm) --I --1111 ---- I--I-^ · 11 ---- 11 W (Please read the notes on the back before filling out this page) 513751 A7 B7 V. Description of the invention (). Preferably, the head end fitting rotates when the head end fitting is lowered and during the plating process. After the electroplating process is completed, the head end fitting 410 raises the wafer holder fitting and removes the wafer from the electrolyte. Preferably, the head-end assembly continues to rotate for a period of time in order to remove the residual electrolyte from the wafer holder assembly. The vacuum chuck on the wafer holder and the pouch accessory release the wafer on the wafer holder, and the wafer holder is raised so that the elevator auto terminal control device 2404 can remove the wafer to be processed from the cathode adapter. The elevator automaton terminal manipulator 2 404 is moved to the upper side of the back of the wafer to be processed in the cathode adapter, and the wafer is removed using a vacuum suction clamp on the elevator automaton terminal manipulator. The main frame automatic changeover machine lifts and lowers the rudder automaton terminal manipulator and the wafer from the processing tank 240, and the lifting automaton final manipulator flips the wafer from the face down to the face up position. The chip is then transferred to the EBR / SRD micro-module 2200. The EBR / SRD wafer holder raises the wafer, and the automatic transfer host withdraws from the EBR / SRD micro-module 2200. The wafer is placed on the vacuum wafer holder of the EBR / SRD tank, and the above-mentioned bead removing process is performed to remove excess deposits on the edge portion of the wafer. The wafer is then used in the EBR / SRD micro-module according to the spin-rinsing-drying procedure, and the deionized water or a combination of deionized water and the above cleaning liquid is used for cleaning. After that, the tablets will be removed from the EBR / SRD micro-module. The loading station automatic transfer machine 228 removes the wafers from the EBR / SRD micro-module 236 and transfers the wafers to be processed to the RTA chamber 21 to perform an annealing process to enhance the properties of the deposit. The annealed wafer is removed from the RTA chamber 2 11 by the loading station robot 228 and returned to the wafer cassette for removal from the plating system. The above procedures can be performed substantially simultaneously in the electroplating system platform 200 of the present invention. Page 70 This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) (Please read the precautions on the back first_fill in this page ) 51 · tT ——------- M Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A7 B7 V. Description of the Invention Processing. Although the foregoing is about the preferred specific embodiments of the present invention, other and further specific embodiments of the present invention can also be designed without departing from the basic scope of the present invention. The scope of the present invention is defined by the following patent applications Please read the cautionary note on the page printed by the Ministry of Economic Affairs, Intellectual Property Bureau, Employee Consumption Cooperatives, printed page 11 7 This paper size applies to China National Standard (CNS) Α4 specification (210 × 297 mm)

Claims (1)

513751 A8 B8 C8 D8 六、申請專利範圍 1 . 一種電化學沈積系統,包括· a) 主機架’其係具有主機架晶片自動轉換機’ (請先閲讀背面之注意事項再填寫本頁) b) 配置上與主機相接之裝料站; c) 與主機架連接之一個或數個處理槽;及 d) 與一個或多個電處理槽流體連接的電解液供應盗·。 2 ·如申請專利範圍第1項所述之系統,其更包括: e) 控制電化學沈積程序的系統控制器° 3 .如申請專利範圍第2項所述之系統,其更包括: f) 配置於主機架内與裝料站相鄰的棱珠去除/旋轉-沖洗… 乾燥(EBR/SRD)平台。 4.如申請專利範圍第3項所述之系統’其更包括: g) 與裝料站連接的快速熱退火室。 i 5 .如申請專利範圍第1項所述之系統’其中裝料站包含: i) 一個或多個晶片卡盒接收區, 經濟部智慧財產局員工消費合作社印製 、ii) 一個&quot;或多個可在裝料站内運送晶片之裝料站晶片自動 轉換機;以及 iii)晶片定位機。 6 ·如申請專利範圍第1項所述之系統,其中主機架晶片自 動轉換機包括數個可以獨立運轉的自動機臂桿。 第72頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ΐ·3/Μ Α8 Β8 C8 D8 申請專利範圍 7· ^申凊專利範圍第6項所述之系統,其中各自動機臂桿 (請先閲讀背面之注意事項再填寫本頁) 包括具有真空夾自動機葉片的終端操縱裝置。 8·如申請專利範圍第!項所述之系統,其中處理槽包含: 〇頭端配件,内含陰極和配置於陰極上方之晶片支架; Η)處理套組’内含電解液容器’其上具有壩、電解木液入 口和配置在電解液容器内之陽極; 出)配置左壩下方的電解液溢流盆;以及 iv)電源,連接到陰極和陽極。 9·如申請專利範圍帛8項所述之系統,其中頭端配件係附 在旋轉式臂桿上供頭端配件繞著處理套組旋轉。 10.如申請專利範圍第9項所述之系統,其中頭端配件係附 在從旋轉式臂桿延伸出的懸臂樑桿上。 U·如申請專利範圍第8項所述之系統,其中可移開的處理 經濟部智慧財產局員工消費合作社印製 辛組是配置在主機架上。 12.如申請專利範圍第i項所述之系統,其中電解液供應器 包含與主機連接的電解液補充系統,包括: ⑴電解液供應槽; (ii)化學分析器微型組件,内含一種或多種與電解液供應 第73頁 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) 513751 A8 B8 C8 D8 々、申請專利範圍 槽相接的化學分析器; (iii) 與電解液供應槽相接的化學藥品供應微型組件;以及 4! (請先閲讀背面之注意事項再填寫本頁) (iv) —個或多個操控電解液補充平台的控制器。 1 3 .如申請專利範圍第1 2項所述之系統,其中化學藥品供應 微型組件包含一個或多個源槽,源槽内含以顏色編碼、 各自具有配組連接器之微型組件槽。 1 4.如申清專利範圍第1 2項所述之系統,其中電解液補充系 統進一步包含: (v)過濾微型組件,包括一個或多個與電解液供應槽連接 之濾膜。 1 5.如申凊專利範圍第1 2項所述之系統,其中一種或多種化 學分析器包括有機化學分析器和無機化學分析器。 舞· j 經濟部智慧財產局員工消費合作社印製 1 6.如申凊專利範圍第1 5項所述之系統,其中有機化學分析 ,器包含電流循環消除器(cyclic voltametric stripper)。 1 7.如申請專利範圍第15項所述之系統,其中無機化學分析 器包含自動滴定分析器。 1 8 ·如申請專利範圍第1 2項所述之系統,其中一種或多種化 學分析器包括一種或多種標準品以及一種或多種校準圖 第74頁 千 个 ί ί * 公 7 9 2 M3751 Α8|第乃?你專利案你年/y/月修正 六、申請專利範圍513751 A8 B8 C8 D8 VI. Application for Patent Scope 1. An electrochemical deposition system, including: a) the main frame 'which has a main frame wafer automatic conversion machine' (please read the precautions on the back before filling this page) b) A loading station configured to be connected to the host; c) one or more processing tanks connected to the main frame; and d) electrolyte supply piping fluidly connected to one or more electric processing tanks. 2 · The system described in item 1 of the scope of patent application, which further comprises: e) a system controller for controlling the electrochemical deposition process ° 3. The system described in item 2 of the scope of patent application, further comprising: f) The bead removal / rotation-rinsing ... drying (EBR / SRD) platform is located in the main frame adjacent to the loading station. 4. The system according to item 3 of the scope of patent application, which further comprises: g) a rapid thermal annealing chamber connected to the loading station. i 5. The system described in item 1 of the scope of the patent application, wherein the loading station includes: i) one or more chip cartridge receiving areas, printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, ii) a &quot; A plurality of loading station wafer automatic transfer machines capable of transporting wafers in the loading station; and iii) a wafer positioning machine. 6. The system as described in item 1 of the scope of patent application, wherein the main frame wafer automatic conversion machine includes a plurality of automatic robot arms that can operate independently. Page 72 This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) ΐ · 3 / Μ Α8 B8 C8 D8 Patent application scope 7 Boom (please read the notes on the back before filling out this page) Includes the terminal manipulator with vacuum clamp automaton blade. 8 · If the scope of patent application is the first! The system according to the above item, wherein the processing tank comprises: a head-end fitting containing a cathode and a wafer holder disposed above the cathode; Η) a processing kit 'containing an electrolyte container' having a dam, an electrolytic wood liquid inlet and An anode disposed in the electrolyte container; an electrolyte overflow basin disposed below the left dam; and a power source connected to the cathode and the anode. 9. The system according to item 8 of the scope of patent application, wherein the head-end fitting is attached to the rotary arm for the head-end fitting to rotate around the processing kit. 10. The system of claim 9 wherein the head end fitting is attached to a cantilever beam extending from a rotary boom. U. The system described in item 8 of the scope of patent application, which can be removed. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, Xin Group is configured on the mainframe. 12. The system as described in item i of the patent application scope, wherein the electrolytic solution supplier includes an electrolytic solution replenishing system connected to the host, including: ; an electrolytic solution supply tank; (ii) a chemical analyzer micro-component containing one or A variety of materials and electrolyte supply page 73 This paper size is applicable to China National Standard (CNS) A4 (210X297 mm) 513751 A8 B8 C8 D8 々, chemical analyzer connected to the scope of patent application; (iii) with electrolyte Chemical supply micro-modules connected to the supply tanks; and 4! (Please read the precautions on the back before filling out this page) (iv) — One or more controllers that operate the electrolyte replenishment platform. 1 3. The system described in item 12 of the scope of patent application, wherein the chemical supply micro-module contains one or more source slots, and the source slots contain color-coded micro-module slots each having a mating connector. 14. The system as described in item 12 of the scope of the patent application, wherein the electrolyte replenishment system further comprises: (v) a filtration micro-module comprising one or more filter membranes connected to the electrolyte supply tank. 1 5. The system as described in claim 12 of the scope of patent application, wherein one or more of the chemical analyzers includes an organic chemical analyzer and an inorganic chemical analyzer. Wu · j Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 1 6. The system described in item 15 of the scope of patent application, wherein the organic chemical analysis device includes a cyclic voltametric stripper. 1 7. The system according to item 15 of the patent application, wherein the inorganic chemical analyzer comprises an automatic titration analyzer. 1 8 · The system as described in item 12 of the scope of the patent application, wherein one or more chemical analyzers include one or more standards and one or more calibration charts Page 74 Thousands ί * 公 7 9 2 M3751 Α8 | Di Nai? Your patent case is amended by your year / y / month 嬪讀委員ατ';: .¾ 是否泛更原實質内容 經濟部智慧財產局員工消費合作社印制衣 1 9 ·如申請專利範圍第1項所述之系統,其更包括: e)—種或多種配置在電解液供應器及處理槽之間的除氣 器。 20.如申請專利範圍第1項所述之系統,其更包括: g)配置在主機架之上的籽晶層修補平台。 2 1 .如申請專利範圍第2 0項所述之系統,其中籽晶層平台包 含非電式沈積槽。 22,如申請專利範圍第1項所述之系統,其中主機架包括具 有保護塗層的底座。 23 ·如申請專利範圍第22項所述之系統,其中塗層内含乙撐 -氯-三-氟-乙晞(ethylene-chloro-tri-fluoro-ethaylene, ECTFE)〇 2 4, —種電化學沈積系統,包括: a) 電解液供應槽,其與一種或多種電化學處理槽流體相接 ;以及 b) 化學分析器微型組件,包括一種或多種與電解液供應槽 相接的化學分析器,其中一種或多種化學分析器内含有 第75頁 ------------ir (請先閱讀背面之注意事項再填寫本頁) 訂---.------ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 513751 A8 B8 C8 D8 六、申請專利範圍 機化學分析器以及無機化學分析器 25·如申請專利範圍第24項所述之系統,其更包括與一種或 多種化學分析器連接的控制器。 26 ·如申請專利範圍第24項所述之系統,其更包括過濾微型 組件’其内含一種或多種與電解液供應槽連接的濾膜。 27.如申請專利範圍第24項所述之系統,其中有機化學分析 器包括電流循環消除器。 2 8.如申請專利範圍第2 4項所述之系統,其中無機化學分析 器包含自動滴定分析器。 2 9.如申請專利範圍第24項所述之系統,其更包括: c) 與電解液供應槽流體相通的化學藥品供應微型組件。 3 0.如申請專利範圍第29項所述之系統,其更包括: d) 控制系統,以操控與化學分析器微型組件及化學藥品供 應微型組件連接的電化學沈積程序。 3 1 ·如申請專利範圍第29項所述之系統,其更包括與化學藥 品供應微型組件相接的控制器。 第76頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐〉 (請先閱讀背面之注意事項再填寫本頁)Readers ατ ';: .¾ Whether to change the original substance of the printing of clothing by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 1 9 · The system described in item 1 of the scope of patent application, which further includes: Various deaerators are placed between the electrolyte supply unit and the treatment tank. 20. The system according to item 1 of the scope of patent application, further comprising: g) a seed layer repair platform configured on the main frame. 2 1. The system as described in claim 20 of the patent application scope, wherein the seed layer platform includes a non-electrical deposition tank. 22. The system described in item 1 of the scope of patent application, wherein the main frame includes a base with a protective coating. 23 · The system as described in item 22 of the scope of patent application, wherein the coating contains ethylene-chloro-tri-fluoro-ethaylene (ECTFE) 02,-a kind of electrification A chemical deposition system includes: a) an electrolyte supply tank fluidly connected to one or more electrochemical treatment tanks; and b) a chemical analyzer micro-module including one or more chemical analyzers connected to an electrolyte supply tank , One or more of the chemical analyzer contains page 75 ------------ ir (Please read the precautions on the back before filling this page) Order --------------- This paper size applies to China National Standard (CNS) A4 specification (210 X 297 mm) 513751 A8 B8 C8 D8 VI. Patent application scope Chemical analyzer and inorganic chemical analyzer 25 · As described in item 24 of the scope of patent application The system further includes a controller connected to one or more chemical analyzers. 26. The system according to item 24 of the scope of patent application, further comprising a filter micro-module 'which contains one or more filter membranes connected to the electrolyte supply tank. 27. The system of claim 24, wherein the organic chemistry analyzer includes a current loop canceller. 2 8. The system according to item 24 of the patent application scope, wherein the inorganic chemical analyzer comprises an automatic titration analyzer. 2 9. The system according to item 24 of the scope of patent application, further comprising: c) a chemical supply micro-module in fluid communication with the electrolyte supply tank. 30. The system described in item 29 of the scope of patent application, further comprising: d) a control system to control the electrochemical deposition process connected to the chemical analyzer micro-module and the chemical supply micro-module. 3 1 · The system described in item 29 of the scope of patent application, further comprising a controller connected to the micro-components of the chemical supply. P.76 This paper size is in accordance with China National Standard (CNS) A4 (21 × 297 mm) (Please read the precautions on the back before filling this page) I I 訂· 經濟部智慧財產局員工消費合作社印製 M3751 A8 B8 C8I I Order Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs M3751 A8 B8 C8 、申請專利範圍 32·如申請專利範圍第29項所述之系統,其更包括與化學藥 品供應微型組件及化學分析器微型組件相接的控制器。 (請先閱讀背面之注意事項再填寫本頁) 3 3 . —種分析電化學沈積系統内之電解液的方法,電化學沈 積系統内含一個或多個與主電解液供應槽相接之處理槽 ’包括: a) 從主電解液供應槽流放出至少一部份電解液到一個或 多個化學分析器;以及 b) 分析此電解液用以測定無機物質及有機物質之濃度,其 中分析電解液包含操控自動滴定分析器以及電流循環消 除器(cyclic voltametric stripper)。 3 4 ·如申請專利範圍第3 3項所述之方法,其更包括: c) 從一個或多個源槽流放出一個或多個化學藥品到主電 解液供應槽。 3 5 ·如申請專利範圍第3 3項所述之方法,其更包括: c)流放出至少一部份電解液到一個或多個處理槽。 經濟部智慧財產局員工消費合作社印制π 3 6. —種攜帶信號的裝置,其内含之程式由處理器執行時會 引起一種或多種控制器進行下列步驟: (a) 從主電解液供應槽流放出至少一部份電解液到一個或 多個化學分析器;以及 (b) 產生相關於電解液之組成的數據。 第77 S 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 513751 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 3 7 ·如申請專利範圍第3 6項所述之攜帶信號的裝置,其中步 驟(b)包含操控自動滴定分析器及電流循環消除器。 3 8.如申請專利範圍第3 6項所述之攜帶信號的裝置,其中步 驟(b)包含測定無機物質和有機物質之濃度。 3 9 ·如申請專利範圍第3 6項所述之攜帶信號的裝置,其更包 括·· (c)根據步驟(b)產生的數據從一個或多個源槽流放出一 種或多種化學藥品到主電解液供應槽。 40. —種在基材上以電化學方式沈積金屬的設備,包括·· a) 頭端配件,包括·· ά)陰極,以及 i i)配置在陰極上方的晶片支架; b) 處理套組,配置在頭端配件下方,包括: i) 電解液容器,具有壩和電解液入口;以及 ii) 配置在電解液容器内的陽極; c) 配置在壩下方之電解液溢流盆,電解液溢流盆具有電解 液出口;以及 d) 與陰極和陽極連接之電源。 41. 如申請專利範圍第40項所述之設備,其中陰極包含陰極 第78頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) -----------* I.---·---tr---------- (請先閱讀背面之注意事項再填寫本頁) A3 BB C8 DBScope of patent application 32. The system as described in item 29 of the scope of patent application, which further includes a controller connected to the micro-components of chemical supply and the micro-components of the chemical analyzer. (Please read the notes on the back before filling this page) 3 3. — A method for analyzing the electrolyte in the electrochemical deposition system. The electrochemical deposition system contains one or more treatments connected to the main electrolyte supply tank. The tank 'includes: a) the discharge of at least a portion of the electrolyte from the main electrolyte supply tank to one or more chemical analyzers; and b) the analysis of the electrolyte to determine the concentration of inorganic and organic substances, wherein the electrolysis is analyzed The fluid contains an automated titration analyzer and a cyclic voltametric stripper. 34. The method according to item 33 of the scope of patent application, further comprising: c) discharging one or more chemicals from one or more source tanks to the main electrolyte supply tank. 35. The method according to item 33 of the patent application scope, further comprising: c) discharging at least a part of the electrolyte to one or more treatment tanks. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs π 3 6. —A device carrying a signal. When the program contained in it is executed by a processor, it will cause one or more controllers to perform the following steps: The tank stream discharges at least a portion of the electrolyte to one or more chemical analyzers; and (b) generates data related to the composition of the electrolyte. Article 77 S This paper size applies to Chinese National Standard (CNS) A4 (210 X 297 mm) 513751 Printed by Employee Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A8 B8 C8 D8 VI. Patent application scope 3 7 The signal carrying device according to item 36, wherein step (b) includes controlling an automatic titration analyzer and a current loop canceller. 38. The signal-carrying device as described in item 36 of the scope of the patent application, wherein step (b) includes measuring the concentration of the inorganic substance and the organic substance. 39. The signal-carrying device described in item 36 of the scope of patent application, further comprising: (c) releasing one or more chemicals from one or more source tanks according to the data generated in step (b) to Main electrolyte supply tank. 40. —A device for electrochemically depositing metal on a substrate, including a) a head-end fitting, including a cathode, and ii) a wafer holder disposed above the cathode; b) a processing kit, It is arranged below the head end fittings, including: i) an electrolyte container with a dam and an electrolyte inlet; and ii) an anode disposed inside the electrolyte container; c) an electrolyte overflow basin disposed below the dam, where the electrolyte overflows The flow basin has an electrolyte outlet; and d) a power source connected to the cathode and anode. 41. The device as described in item 40 of the scope of the patent application, in which the cathode contains the cathode page 78 This paper is sized to the Chinese National Standard (CNS) A4 (210 X 297 public love) ---------- -* I .--- · --- tr ---------- (Please read the notes on the back before filling this page) A3 BB C8 DB 513751 六、申請專利範圍 接環 42.如申請專利範圍第41項所述之設偏’其中陰極接環包^ 的晶片座表面具有數個晶片接觸片° 43 ,如申請專利範圍第4 1項所述之設備’其中陰極接環具有 親水性表面。 44·如申請專利範圍第4〇項所述之設備’其中處理套組進一 步包含配置在電解液容器内陽極上方之濾膜。 4 5.如申請專利範圍第40項所述之設備’其中其更包括: e)電解液供應器,包括: 1)主槽,其經由泵而與電解液容器上之電解液入口 連接; ii) 一種或多種與主槽連接的滤膜槽;以及 iii) 一種或多種與主槽連接的源槽。 46 .如申請專利範圍第40項所述之設備,其中陽極包含: a) 消耗性陽極板;以及 b) 封裝消耗性陽極板的通透性封包構件。 47·如申請專利範圍第46項所述之設備,其中陽極進一步包 含: 第79頁 本紙張尺度適用中國國家標準(cns)A4規格(210 X 297公釐) --------tr----------線 Γ清先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 513751 A8 B8 C8513751 VI.Applicable patent range ring 42. Set the bias as described in item 41 of the scope of patent application, in which the wafer holder surface of the cathode ring package ^ has several wafer contact pieces ° 43, such as item 41 of the patent application The device 'wherein the cathode ring has a hydrophilic surface. 44. The device according to item 40 of the scope of the patent application, wherein the processing kit further comprises a filter membrane disposed above the anode in the electrolytic solution container. 4 5. The device according to item 40 of the scope of the patent application, wherein it further includes: e) an electrolyte supply device including: 1) a main tank connected to an electrolyte inlet on the electrolyte container via a pump; ii ) One or more filter tanks connected to the main tank; and iii) one or more source tanks connected to the main tank. 46. The device according to item 40 of the scope of patent application, wherein the anode comprises: a) a consumable anode plate; and b) a permeable packaging member encapsulating the consumable anode plate. 47. The device as described in item 46 of the scope of patent application, wherein the anode further comprises: page 79 The paper size applies the Chinese National Standard (cns) A4 specification (210 X 297 mm) -------- tr ---------- Line Γ Qing Read the notes on the back before filling out this page) Printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 513751 A8 B8 C8 C)數個電觸點構件,其係延伸穿過封包構件而進入陽極板 ,各電觸點構件延伸穿過立固定在電解液容器上。 48. 如申請專利範圍第46項所述之設備,其中封包構件包 含親水性膜。 49. 如申請專利範圍第46項所述之設備,其中封包構件包含 頂部親水性膜和底部親水性膜,其係附在配置於其間的 膜支托環上。 50. 如申請專利範圍第49項所述之設備,其中底部親水性膜 包括開口,其可用以促進電解液流進入處於封包構件和 陽極板之間的溝道。 5 1.如申請專利範圍第5〇項所述之設備,其中陽極進一步包 含: d)與膜支托環連接之旁通出口 ’並延伸穿過電解液容器。 52.如申請專利範圍第49項所述之設備’其中魴極進一步包 含·· d)穿過底部親水性膜之旁通電解液入口。 5 3.如申請專利範圍第5 2項所述之設備,其中陽極進一步包 含·· g 80頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 J (請先閱讀背面之注意事項再填寫本頁) ^ 鑭 t ----訂---------線隊 經濟部智慧財產局員工消費合作社印製 297公釐) M3751C) Several electrical contact members extend through the encapsulation member and enter the anode plate, and each electrical contact member extends through and is fixed on the electrolyte container. 48. The device according to item 46 of the scope of the patent application, wherein the packaging member includes a hydrophilic film. 49. The device according to item 46 of the scope of patent application, wherein the packaging member comprises a top hydrophilic film and a bottom hydrophilic film, which are attached to a film support ring disposed therebetween. 50. The device as described in claim 49, wherein the bottom hydrophilic membrane includes openings that can be used to facilitate the flow of electrolyte into the channel between the encapsulation member and the anode plate. 5 1. The device according to item 50 of the scope of the patent application, wherein the anode further comprises: d) a bypass outlet connected to the membrane support ring and extending through the electrolyte container. 52. The device according to item 49 of the scope of the patent application, wherein the pole further comprises · d) a bypass electrolyte inlet through a hydrophilic membrane at the bottom. 5 3. The equipment described in item 52 of the scope of patent application, in which the anode further contains ... g 80 pages This paper size is applicable to China National Standard (CNS) A4 specifications (210 J (Please read the precautions on the back before filling (This page) ^ t --------------------- Printed by the Intellectual Property Bureau Employees Cooperative of the Ministry of Economics 297 mm) M3751 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 tj χ2 &gt;並延伸穿過免解液交32 幻與膜支托環連接之旁通出口卫I1甲牙 咬备咨。 5 4 ^ ^ ^ &lt;折述之設備’其中旁通入口包括 3 4 .如申請專利範圍第5 2項所l 匕栝 流量控制閥。 cc j, ^ ^ ^ , %折述之設備’其中電解液入口句 5 5 .如申請專利範圍第54項所l a 括流量控制閥。 %·如申請專利範圍第40項所述之設備,其更包括: e)與頭端配件相接的旋轉傳動裝置,並使頭端配件旋轉。 57.如申請專利範圍第40項所述之設備,其中晶片支架包括 囊袋配件。 58·如申請專利範圍第57項所述之設備,其中囊袋配件包含 附在中介晶片支架板背面的膨脹式囊袋和配置在中介晶片 支架板正面環形溝槽内之密封圈。 5 9 ·如申請專利範圍第5 8項所述之設備’其中中介的晶片支 架板包括數個在板上穿通之鐵孔或洞而與真空喷口以流體 相接配置。 60.如申請專利範圍第58項所述之設備,其中一種或多種密 封圈和中介的晶片支架板之表面内含親水性表面。 第81頁: 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公爱) --------^--------- (請先閱讀背面之注意事項再填寫本頁)Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. 6. The scope of patent application tj χ2 &gt; 5 4 ^ ^ ^ &lt; Folded device ', where the bypass inlet includes 3 4. The dagger flow control valve as described in item 52 of the patent application scope. cc j, ^ ^ ^, %% of the equipment ‘where the electrolyte inlet sentence 5 5. As in Item 54 of the scope of the patent application, a includes a flow control valve. % · The device as described in item 40 of the scope of patent application, further comprising: e) a rotation transmission device connected to the head end fitting and rotating the head end fitting. 57. The device as described in claim 40, wherein the wafer holder includes a pouch accessory. 58. The device according to item 57 of the scope of patent application, wherein the bag accessory includes an inflatable bag attached to the back of the intermediary wafer support plate and a sealing ring arranged in an annular groove on the front surface of the intermediary wafer support plate. 5 9 · The device according to item 58 of the scope of the patent application, wherein the intermediary wafer support plate includes a plurality of iron holes or holes penetrating the plate and is in fluid connection with the vacuum nozzle. 60. The device as described in claim 58 in the scope of the patent application, wherein the surface of the wafer holder plate of the one or more sealing rings and the intermediary contains a hydrophilic surface. Page 81: This paper size applies to China National Standard (CNS) A4 (21〇X 297 public love) -------- ^ --------- (Please read the precautions on the back first (Fill in this page again)
TW88120799A 1998-11-30 2000-02-25 Electro-chemical deposition system TW513751B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11020998P 1998-11-30 1998-11-30
US09/263,649 US6254760B1 (en) 1999-03-05 1999-03-05 Electro-chemical deposition system and method
US09/289,074 US6258220B1 (en) 1998-11-30 1999-04-08 Electro-chemical deposition system
US09/350,210 US6267853B1 (en) 1999-07-09 1999-07-09 Electro-chemical deposition system

Publications (1)

Publication Number Publication Date
TW513751B true TW513751B (en) 2002-12-11

Family

ID=27493736

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88120799A TW513751B (en) 1998-11-30 2000-02-25 Electro-chemical deposition system

Country Status (3)

Country Link
JP (2) JP4766579B2 (en)
TW (1) TW513751B (en)
WO (1) WO2000032835A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI483333B (en) * 2010-09-13 2015-05-01 Tokyo Electron Ltd Liquid processing apparatus, liquid processing method, and recording medium
TWI681078B (en) * 2017-06-30 2020-01-01 日商昭和電工股份有限公司 Anode attachment part of fluorine electrolytic cell, fluorine electrolytic cell, and method of producing fluorine gas

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100586481B1 (en) 1997-09-02 2006-11-30 가부시키가이샤 에바라 세이사꾸쇼 How to Plate the Substrate
KR100616198B1 (en) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 Electro-chemical deposition system and method of electroplating on substrates
US7048841B2 (en) 1998-12-07 2006-05-23 Semitool, Inc. Contact assemblies, methods for making contact assemblies, and plating machines with contact assemblies for plating microelectronic workpieces
KR100691201B1 (en) 1998-07-10 2007-03-08 세미툴 인코포레이티드 Method and apparatus for copper plating using electroless plating and electroplating
US6773560B2 (en) 1998-07-10 2004-08-10 Semitool, Inc. Dry contact assemblies and plating machines with dry contact assemblies for plating microelectronic workpieces
US6303010B1 (en) 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6309520B1 (en) 1998-12-07 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6645356B1 (en) 1998-12-07 2003-11-11 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
WO2000040779A1 (en) 1998-12-31 2000-07-13 Semitool, Inc. Method, chemistry, and apparatus for high deposition rate solder electroplating on a microelectronic workpiece
EP1031647A3 (en) * 1999-02-19 2002-03-06 Solid State Equipment Corporation Apparatus and method for plating a wafer
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6551488B1 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Segmenting of processing system into wet and dry areas
US6837978B1 (en) 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6557237B1 (en) * 1999-04-08 2003-05-06 Applied Materials, Inc. Removable modular cell for electro-chemical plating and method
US6585876B2 (en) 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4149620B2 (en) * 1999-08-25 2008-09-10 株式会社荏原製作所 Substrate copper plating method
EP1067221A3 (en) * 1999-07-08 2004-09-08 Ebara Corporation Method and apparatus for plating substrate and plating facility
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US7645366B2 (en) 1999-07-12 2010-01-12 Semitool, Inc. Microelectronic workpiece holders and contact assemblies for use therewith
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
EP1091388A3 (en) * 1999-10-06 2005-09-21 Ebara Corporation Method and apparatus for cleaning a substrate
US6454927B1 (en) * 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US6709563B2 (en) * 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
AT412043B (en) * 2000-07-12 2004-08-26 Ind Tech Res Inst METHOD FOR CLEANING A WAFER WITH METALS ON THE BACK
WO2002029137A2 (en) * 2000-10-03 2002-04-11 Applied Materials,Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
JP2006339665A (en) * 2000-10-12 2006-12-14 Ebara Corp Apparatus for manufacturing semiconductor substrate
WO2002031227A2 (en) * 2000-10-12 2002-04-18 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6569307B2 (en) * 2000-10-20 2003-05-27 The Boc Group, Inc. Object plating method and system
JP2002212786A (en) 2001-01-17 2002-07-31 Ebara Corp Substrate processor
JP2002220692A (en) * 2001-01-24 2002-08-09 Ebara Corp Plating equipment and method
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
WO2003007274A1 (en) * 2001-07-12 2003-01-23 James Hambleton Electro-chemical teaching unit
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
JP4303484B2 (en) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 Plating equipment
KR100518788B1 (en) * 2003-03-11 2005-10-05 삼성전자주식회사 Spin coating apparatus for coating photoresist
JP4872199B2 (en) * 2004-09-06 2012-02-08 ルネサスエレクトロニクス株式会社 Semiconductor manufacturing equipment
KR100854373B1 (en) 2006-12-29 2008-09-02 주식회사 포스코 Acid cleaning solution sampling apparatus of the acid solution tank
JP5321574B2 (en) * 2010-12-17 2013-10-23 ルネサスエレクトロニクス株式会社 Method of operating semiconductor manufacturing apparatus and method of manufacturing semiconductor device
CN105044370B (en) * 2014-11-04 2017-03-08 长沙绿智电子科技有限公司 A kind of unattended heavy metal-polluted water monitoring apparatus
JP6833557B2 (en) * 2016-03-04 2021-02-24 株式会社荏原製作所 Plating equipment and plating method
WO2017150657A1 (en) * 2016-03-04 2017-09-08 株式会社荏原製作所 Plating apparatus and plating method
GB201701166D0 (en) 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
CN111801445B (en) * 2018-03-13 2022-07-05 株式会社山本镀金试验器 Coating device and coating system
CN110355025B (en) * 2019-08-01 2020-11-27 宿迁市创盈知识产权服务有限公司 Automobile spare and accessory part material nanometer modification equipment
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529353A (en) * 1983-01-27 1985-07-16 At&T Bell Laboratories Wafer handling apparatus and method
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS6410073A (en) * 1987-07-01 1989-01-13 Sanyo Electric Co Decompression device
JPS6410073U (en) * 1987-07-03 1989-01-19
JPH0222499A (en) * 1988-07-12 1990-01-25 Yamaha Corp Plating equipment
US4981715A (en) * 1989-08-10 1991-01-01 Microelectronics And Computer Technology Corporation Method of patterning electroless plated metal on a polymer substrate
JPH03193899A (en) * 1989-12-22 1991-08-23 Ebara Yuujiraito Kk Method for automatically controlling electrolytic solution
JP2557146B2 (en) * 1991-03-20 1996-11-27 日本エレクトロプレイテイング・エンジニヤース株式会社 Automatic wafer plating equipment
JP2888001B2 (en) * 1992-01-09 1999-05-10 日本電気株式会社 Metal plating equipment
JPH05195296A (en) * 1992-01-22 1993-08-03 Nippon Hyomen Kagaku Kk Automatic controlling device for electrolytic solution
JPH06349952A (en) * 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd Wiring forming method
JP2624200B2 (en) * 1994-11-29 1997-06-25 日本電気株式会社 Jet type electroplating apparatus and plating method
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
WO1998002907A1 (en) * 1996-07-15 1998-01-22 Semitool, Inc. Control system for a semiconductor workpiece processing tool
JP3583883B2 (en) * 1997-01-24 2004-11-04 日本エレクトロプレイテイング・エンジニヤース株式会社 Automatic wafer plating equipment
US6188120B1 (en) * 1997-02-24 2001-02-13 International Business Machines Corporation Method and materials for through-mask electroplating and selective base removal
JP3269827B2 (en) * 1997-04-04 2002-04-02 ユニバーシティ・オブ・サザン・カリフォルニア Articles, methods and apparatus for electrochemical manufacturing
EP1099013A2 (en) * 1998-07-13 2001-05-16 DJ Parker Company, Inc. d/b/a Parker Systems Paced chemical replenishment system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI483333B (en) * 2010-09-13 2015-05-01 Tokyo Electron Ltd Liquid processing apparatus, liquid processing method, and recording medium
TWI681078B (en) * 2017-06-30 2020-01-01 日商昭和電工股份有限公司 Anode attachment part of fluorine electrolytic cell, fluorine electrolytic cell, and method of producing fluorine gas
US11492712B2 (en) 2017-06-30 2022-11-08 Showa Denko K.K. Anode mounting member of fluorine electrolytic cell, fluorine electrolytic cell, and method for producing fluorine gas

Also Published As

Publication number Publication date
JP2003528214A (en) 2003-09-24
JP4766579B2 (en) 2011-09-07
JP2009293134A (en) 2009-12-17
WO2000032835A2 (en) 2000-06-08
WO2000032835A8 (en) 2000-08-17

Similar Documents

Publication Publication Date Title
TW513751B (en) Electro-chemical deposition system
TW525214B (en) Segmenting of processing system into wet and dry areas
US6254760B1 (en) Electro-chemical deposition system and method
US7427338B2 (en) Flow diffuser to be used in electro-chemical plating system
US6635157B2 (en) Electro-chemical deposition system
TW511194B (en) Method for achieving copper fill of high aspect ratio interconnect features
US6267853B1 (en) Electro-chemical deposition system
JP5036950B2 (en) Method and associated apparatus for tilting a substrate during insertion for metal deposition
TW500835B (en) Electro-chemical deposition system
TW531770B (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
TWI227749B (en) Removable modular metal deposition cell, plating apparatus, and the method thereof
US20030034250A1 (en) Reverse voltage bias for electro-chemical plating system and method
US6837978B1 (en) Deposition uniformity control for electroplating apparatus, and associated method
US6571657B1 (en) Multiple blade robot adjustment apparatus and associated method
TW557543B (en) Method and apparatus for sealing a substrate surface during an electrochemical deposition process
US7114693B1 (en) Stable cell platform
US20040079633A1 (en) Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US20040020780A1 (en) Immersion bias for use in electro-chemical plating system
TW519677B (en) Deposition uniformity control for electroplating apparatus, and associated method

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees