JP2003513287A - スキャンテストポイント監視システムおよび方法 - Google Patents

スキャンテストポイント監視システムおよび方法

Info

Publication number
JP2003513287A
JP2003513287A JP2001535071A JP2001535071A JP2003513287A JP 2003513287 A JP2003513287 A JP 2003513287A JP 2001535071 A JP2001535071 A JP 2001535071A JP 2001535071 A JP2001535071 A JP 2001535071A JP 2003513287 A JP2003513287 A JP 2003513287A
Authority
JP
Japan
Prior art keywords
scan test
scan
signal
test point
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001535071A
Other languages
English (en)
Inventor
ブライアン、ログスドン
ケン、ジャラミロ
マノイ、チャンドラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics NV filed Critical Philips Electronics NV
Publication of JP2003513287A publication Critical patent/JP2003513287A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 この発明は、自動テストパターン生成(ATPG)ツールを有する集積回路(IC)のスキャンテスティングの監視特性を向上させたスキャンテストポイント監視システムおよび方法である。この発明の1つの実施形態において、スキャンテストポイント監視システムは、制御レジスタ、マルチプレクサおよび出力レジスタを備える論理構成要素を含む。制御レジスタおよび出力レジスタは通常のスキャンテスティング方法論によりそれらが制御され監視されるべきことを許容するスキャンテストチェイン内に設けられている。テスト信号選択マルチプレクサ(MUX)は、このMUXの入力からこのMUXの出力へと至るテストポイント信号のための通信パスを選択的に提供する。1つの例において、テストポイント信号は、スキャンテスト捕捉サイクルの間に機能論理から出力された情報(例えば、論理値)を含んでいる。制御レジスタは、MUXによるテストポイント信号の受信および送信を制御する。出力レジスタは、MUXの出力からテストポイント信号を送信し、または、制御レジスタを介してスキャンテストチェインからシフトされたスキャンテスト入力情報を表現する信号を出力する。この発明の1つの実施形態において、スキャンテストポイント監視システムおよび方法は、ATPGツールにより効率的に監視可能であるスキャンチェイン(例えば、スキャンテスト監視ポイントの出力)ポイントでのそれらの信号にアクセスしたり供給したりすることがどちらかといえば困難であろうスキャンテストポイントからのスキャンポイント情報を集めている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
この発明は、電気的集積回路をテストする技術分野に関する。より詳細には、
本発明はスキャンテスト方法論を用いる集積回路におけるテスト監視特性を向上
させるスキャンテスト監視システムおよび方法に関する。
【0002】
【発明の背景技術】
電子システムおよび回路は、現代社会の発展に重要な寄与をしており、有利な
結果を達成するための多数の適用に用いられている。デジタルコンピュータ、音
響装置、映像機器、および電話システム等の多数の電子技術は、ビジネスや、科
学や、教育や、娯楽等の多くの領域におけるデータや、概念や、流行などを解析
し通信する場合の、向上された生産性や低減されたコストを容易にしている。し
ばしば、これらの結果を提供するために指定された電子システムは、マイクロエ
レクトロニック集積化回路を含む種々の構成要素および装置を備えている。電子
システムにおけるこれらの構成要素および装置は通常、実現されるべき所望の結
果のために適切に動作することが求められている。効率的で信頼性を有する集積
回路(IC―Integrated Circuit―)テストシステムおよび方法は、ICが適切
に動作することを保証するために非常に重要である。
【0003】 チップ状のシステム(SOC―System-On-Chip―)設計に含まれる集積回路に
一般的に用いられる複雑性は劇的に発展しており、組み込み自己テスト(BIS
T―Built In Self Test―)診断法の可能性は、効果的な回路テスト、デバッギ
ングおよび修理等のために重要である。現代のBIST技術は、IC構成要素の
制御特性や監視特性を提供するために、ICにおけるスキャンテストの基本設計
概念(アーキテクチャー―architecture―)の挿入を含んでいる。具体的には、
スキャンテスト基本設計概念は、ICの非テストまたは通常動作を実行するため
に用いられる機能論理と相互に作用するスキャンテスト構成要素または装置(例
えば、スキャンテストセル)を含んでいる。複雑な電子システムおよび回路をス
キャンテストすることは、回路(例えば、機能論理構成要素)の特定のアスペク
トを刺激するためにテスト・ベクトルを適用した後、テストポイント(例えば、
機能論理の出力または入力における適切に選択された回路ノード)からの測定の
解析をしばしば要求している。例えば、マイクロエレクトロニックICチップは
、外部装置と接続されたり、内部機能論理構成要素との間に接続されたりした多
数の信号用の送信パス接続を具体的に有している。これらの信号用の送信パス接
続はしばしば、例えば不良分離および不良検出のような働きをテストするために
適当な回路ノードである。
【0004】 境界スキャンテストは、典型的なBIST手法(schemes )に含まれているス
キャンテスティングの極めて普通の方法である。国際電気電子技術者[IEEE
― Inter-national Electrical and Electronic Engineering ―]標準1149
.1(これはまた、ジョイント・タスク・アクション・グループ[JTAG―Jo
int Task Action Group―])の境界スキャンに準拠する基本設計概念は、最も
普及している境界スキャン手法のうちの1つである。このIEEE1149.1
の境界スキャンに準拠する基本設計概念は、主として、構成要素間の相互接続の
不良を検出および分離するために用いられている。典型的なJTAGのIEEE
1149.1の境界スキャン準拠チップは、チップの入力/出力(I/O)ピン
における境界スキャンテストセルまたはレジスタを含んでいる。通常、JTAG
スキャンテスト動作は、公知の値または1つのデバイスの出力バッファにおける
テスト・ベクトルを発行すること、およびそれらが電気的に接続されているか否
かを決定するために相互に接続された他のデバイスの入力バッファを監視するこ
と、を含んでいる。しかしながら、境界スキャンテスティングは、ICの内部接
続およびデバイスまたは構成要素の制御と監視能力を提供するために、その能力
を幾分制限されている。
【0005】 一般的な定理としては、多数のスキャンテストポイントの重要な内部スキャン
テスト範囲を有することは好ましいことである。通常、テスト範囲を広げれば広
げるほど、不良を検出するためのスキャンテストシステムおよび方法の能力も大
きくなる。内部および境界の両方のスキャン能力を有することは、しばしば全ス
キャンテスティングとして参照される。このとき、全スキャンテスティング基本
設計概念に関する支配的な標準は存在していない。しかしながら、多くの全スキ
ャンテスティング基本設計概念は、ICのに含まれているスキャンテストセルに
依存している。具体的には、スキャンテストセルは、スキャンテスト情報(例え
ば、テスト・ベクトル)を回路内の適切な場所にスキャンまたはシフトさせ、ス
キャンテストポイントからスキャンテスト情報を捕捉するように設計されている
。例えば、1つの典型的なスキャンテストプロセスにおいては、個々の論理値は
スキャンテストセルを介して機能構成要素の入力へとシフトさせ、機能構成要素
はシフトされたスキャンテスト情報に基づいて通常の動作を実行し、スキャンテ
ストセルは機能構成要素の出力を捕捉し、捕捉された情報はスキャンテストセル
を介してチップに格納される(shift off)。通常スキャンテストセルは、設計
の際にIC内に組み込まれる。しかしながら、典型的な自動設計プロセスは適切
に適応しておらず、所望の全スキャンテスティング範囲レベルを達成するために
、満足できるスキャンテスト装置(例えば、内部スキャンテストポイントに接続
されたスキャンテストセル)を具体化していない。
【0006】 スキャンテスト範囲および監視可能性は、IC製造プロセスにおけるスキャン
テスト基本設計概念の実際の具体化に直面する困難性によってしばしば制限され
ている。設計の大きな部分は、論理部における不良が典型的な自動化されたテス
トパターン生成(ATPG―Automated Test Pattern Generation ―)ツールに
より明瞭には監視することができないという主たる理由から、実用的な事項とし
てはテストすることができないものと、しばしば考えられている。多くのATP
Gツールは、最大の不良の範囲を改善させるために必要となる適切なポイント監
視を確認することができるものである。しかしながら、この情報は、設計が配置
および配線(P&R―place and route ―)の製造プロセスを介して進歩してし
まうまでは、具体的には有用なものではない。配置および配線プロセスの後まで
適切なポイント監視を確認する情報を有していないことは、適切なポイント監視
の追加に適応するために、しばしば要求されている論理の大きな変化を実行する
ことを非常に困難にさせている。ATPGツールは具体的には、顕著なスキャン
テスティング有利点(例えば、自動化やコスト効率等)を提供しており、譬えそ
れが困難であっても、ATPGツールが所望の目的となる不良の範囲を達成する
ことを支援するために、論理の幾つかの部の監視可能性を増加させることは好ま
しいことである。
【0007】 ATPGツールによりテストパターンを生成する前に、論理の幾つかの部分の
監視能力を増加させることを目指した従来の技術は、通常、適切な不良の検出を
決定するためのATPGツールにより用いられた回路とアルゴリズムとの両方の
広範囲な知識を要求する。典型的な設計者は、適切な不良の検出を決定するため
のATPGツールにより用いられた回路とアルゴリズムとの両方の広範囲な知識
を有しておらず、これらの要素の一方または他方または両者はしばしば設計者に
とっては未知のまたは不明確なことである。テストポイントの範囲の問題を軽減
するための従来からの幾つかの接近手段は、装置の主たる入力/出力(I/O)
パッドへの内部論理信号を多重送信することを含んでいる。しかしながら、テス
トポイント範囲の問題を軽減するこの従来の接近手段または解決手段は、種々の
不利な点を結果している。例えば、従来の解決法は、しばしば、通常(非テスト
)動作中の重大なタイミングパスに対して極端な遅れを加えている。従来の解決
法は、具体的には、ICの物理的な設計に対するレイアウト後の広範囲の変更を
要求し、レイアウト後にこれらの変更を行なうことは、典型的なATPGツール
による実用的な事項としての多数のポイント監視における達成し得ないまたは利
用し得ない状態を結果している。
【0008】 求められているものは、通常の動作および製造プロセスに対して最少の影響を
与えるだけで、内部構成要素の所望のスキャンテスティングを容易にするシステ
ムおよび方法である。このシステムおよび方法は、スキャンテストポイントの効
果的な監視を提供しながら、ATPGツールを有する集積回路構成要素の効率的
なスキャンテスティングを支援するべきである。電子システムおよび方法は、テ
スティングスキャンの基本設計概念の実用化と、IC設計を実行するのに加えら
れる再設計による不利な影響の最少化を提供するべきである。
【0009】
【発明の概要】
この発明は、通常の動作および製造プロセスに対する最少の影響で、内部構成
要素の所望のスキャンテスティングを容易にするシステムおよび方法である。こ
の発明によるスキャンテスト監視システムおよび方法は、スキャンテストポイン
トの効果的な監視を提供しながら、ATPGツールのを有する集積回路構成要素
の効率的なスキャンテストを支援している。この発明のシステムおよび方法は、
テスティングスキャンの基本設計概念を実行する際の実用化と、IC設計の実行
に対する再設計の不利な影響の最少化と、を提供する。この発明は、デジタル回
路内のテスト監視特性を向上させ、スキャンテストの方法論にとっても相性が良
いものである。
【0010】 この発明の1つの実施形態は、マルチプレクサ、制御レジスタおよび出力レジ
スタを備えるスキャンテストポイント監視システムを含んでいる。マルチプレク
サは、テストポイント信号から出力レジスタへの通信パスを選択的に提供する。
テストポイント信号は、ICの機能構成要素から捕捉された測定または論理値で
ある。制御レジスタは、出力レジスタに信号を送信する、マルチプレクサを指定
するために用いられている。出力レジスタは、マルチプレクサから送信された信
号を受信し、その信号をスキャンテストチェインへと送信する。この発明の1つ
の実施形態において、制御レジスタおよび出力レジスタは、スキャンテストチェ
イン内に含まれており、スキャンテストシフトモードの間に、スキャンテスト情
報をシフトさせるために用いられている。この発明の1つの実施形態において、
テストポイント信号は、スキャンテストポイント監視システムを介して、ATP
Gツールを評価可能なものである。
【0011】
【発明の実施の形態】
この発明の一実施形態に係るスキャンテストポイント監視システムの詳細につ
いて以下に説明がなされ、添付図面に表示されているのは実施例である。この発
明は好適な実施形態に関連して説明されているが、それらは、この発明をこれら
の実施形態に限定することを志向するものではないことは理解されるであろう。
これに対して、この発明は、添付された請求の範囲により定義されるようなこの
発明の趣旨と範囲内に含まれるであろう、選択例、変更例および均等例をカバー
することを志向している。さらに、この発明の以下の詳細な説明において、多数
の特定の詳細説明は、この発明の全体的な理解を提供するために述べられている
。しかしながら、この技術分野の通常の技能を有する技術者にとって、これらの
特定の詳細説明を用いないでこの発明が実施されるかもしれないことは明らかで
あろう。その他の例においては、公知の方法、手順、構成要素、および回路は、
この発明のアスペクトを不必要にあいまいにすることがないように、詳細には説
明されていない。
【0012】 この発明の1つの実施形態は、スキャンテストポイント監視システムおよび方
法を含んでいる。この発明の1つの実施形態において、スキャンテストポイント
監視システムは、通常のスキャンテスティング方法論により制御され監視される
べきことを許容するスキャンテスティングチェイン内に含まれている。スキャン
テストポイント監視システム論理装置は、この発明の1つの実施形態におけるス
ペア論理セルとしての製造プロセスの初期の段階の最中の種々の配置での設計の
中に含まれている。必要不可欠なテストポイントが確認された後に、テストポイ
ント信号は、スキャンテストポイント監視システムへの道順を設定される。この
発明の1つの実施形態において、スキャンテストポイント監視システムは、AT
PGツールによるスキャンテスティング動作を容易にしている。スキャンテスト
ポイント監視システムは、ATPGツールに良く知られており、ATPGツール
により利用し易くなっているスキャンテストの基本設計概念と相性が良くなるよ
うなやり方で構成されている。
【0013】 図1はこの発明の一実施形態に係るスキャンテストポイント監視システム10
0のブロック図である。スキャンテストポイント監視システム100は、テスト
信号選択構成要素110と、テスト信号選択制御構成要素120と、出力構成要
素130と、を備えている。テスト信号選択構成要素110は、テスト信号選択
制御構成要素120と出力構成要素130とに接続されている。この発明の1つ
の実施形態において、スキャンテストポイント監視システム100はICに含ま
れており、設計プロセスに対する最少の影響と、最少の設計の再仕事と共にAT
PGツールに対するテストポイント信号の監視を効率よく提供している。
【0014】 スキャンテストポイント監視システム100の構成要素は、テストポイント監
視システムを容易にするために協力しあって動作する。テストポイント信号選択
構成要素110は、スキャンテスト信号を受信し、送信する。スキャンテストポ
イント監視システム100の1つの実施形態において、テスト信号選択構成要素
110は、テストポイント信号131,テストポイント信号132およびテスト
ポイント信号133を含む複数のテストポイント信号を受信し、この複数のテス
トポイント信号のうちの1つを選択的に出力構成要素130に送信している。こ
の発明の1つの実施形態において、テストポイント信号131ないし133は、
ICの通常の動作を実行するために用いられる機能論理(図示せず)内のテスト
ポイントからの測定値(例えば、論理値)である。テスト信号選択制御構成要素
120は、テスト信号選択構成要素110によるテストポイント信号の送信およ
び受信を制御している。出力構成要素130は、テスト信号選択構成要素110
からのスキャンテスト信号を受信してそれらの信号をスキャン出力171として
スキャンテストチェイン上に送信する。
【0015】 この発明の1つの実施形態において、スキャンテストポイント監視システム1
00は、スキャンテストチェイン内に含まれている。この発明の1つの実施形態
において、テスト信号選択制御構成要素120は、スキャンテストチェイン上の
スキャンテスト入力(例えば、スキャン入力信号155)からテスト信号選択制
御構成要素120により受信されたスキャンテスト情報に基づいたスキャンテス
ト信号を送信するために、テスト信号選択構成要素110を指示することにより
テスト信号選択構成要素110を制御している。スキャンテストポイント監視シ
ステム100はまた、前記スキャンテストチェイン上のスキャンテスト情報をシ
フトさせるために用いられている。スキャンテスト信号選択制御構成要素120
は、スキャンテスト入力信号(例えば、スキャン入力信号155)を受信し、ス
キャンテストチェイン上のダウンストリームに変更されていないスキャンテスト
入力信号を出力する出力構成要素130に対してスキャンテスト入力信号を送信
している。
【0016】 図2は、この発明の1つの実施形態としてのスキャンテストポイント監視シス
テム200のブロック図である。スキャンテストポイント監視システム200は
、テスト信号選択マルチプレクサ(MUX)210と、制御レジスタ221,制
御レジスタ222,制御レジスタ223と、出力レジスタ231と、を備えてい
る。マルチプレクサ210は、制御レジスタ221,制御レジスタ222,制御
レジスタ223と、出力レジスタ231とに接続されている。マルチプレクサ2
10は、その複数の入力のうちの1つとその出力との間に、選択的に通信パスを
提供する。制御レジスタ221,制御レジスタ222および制御レジスタ223
は、マルチプレクサ210の出力を介して出力レジスタ231に対するマルチプ
レクサ210の入力の受信および送信制御するために用いられている。この発明
の1つの実施形態において、制御レジスタ221ないし223の出力は、マルチ
プレクサ210のその外部の出力レジスタ231に対して送信される入力を選択
するマルチプレクサ210に対して信号を出力することにより、マルチプレクサ
210に対してその入力の受信および送信を制御している。出力レジスタ231
は、マルチプレクサ210の出力、または、制御レジスタ221ないし223を
介してシフトされたスキャンテスト入力情報を送信する。
【0017】 この発明の1つの実施形態において、スキャンテストポイント監視論理装置(
例えば、スキャンテストポイント監視システム200を備えるスキャンテストポ
イント監視論理装置)は、製造プロセスの最初の段階におけるスペア論理セルと
して種々の配置でのICデザイン内に含まれている。スキャンテストポイント監
視システムのマルチプレクサ(例えば、マルチプレクサ210)の入力は、製造
の初期の段階の間を設定するデフォルトとしての静的信号(例えば、論理1また
は論理0)に接続されている。所望のテストポイントが確認[同定・識別―iden
tify―]された後は、この確認されたテストポイントからのテストポイント信号
は、マルチプレクサの複数の入力へと道順をつけられ、前記静的信号の幾つかま
たは全てを再配置する。この発明の1つの実施形態において、テストポイント信
号は、スキャンテストポイント監視システムの出力レジスタを介してATPGツ
ールに対して受入可能である。
【0018】 スキャンテストポイント監視システム200の1つの実施形態において、スキ
ャンテスト動作は、シフトモードと捕捉モードとを含んでいる。シフトモードの
間は、スキャンテスト入力データを表示するスキャン入力信号(スキャン_イン
[scan_in])が、スキャンテストポイント監視システム200を含むスキャン
テストチェインの中へ連続した形式でシフトされる。シフトモードの動作は、ス
キャン_イン信号をスキャンテストポイントポートに供給し、スキャンテストイ
ネーブル信号(スキャン_エン[scan_en])を出力することにより完結される
。スキャンテストデータはスキャンテストチェイン内へとシフトされるので、ス
キャンテスト情報はまた、スキャンテスト出力信号(スキャン_アウト[scan_o
ut])としてスキャンテストチェインの外側にシフトされる。この発明の1つの
実施形態においては、スキャンテストポイント監視システム200を含むスキャ
ンテストの基本設計概念は同期しており、シフト動作[シフティング―shifting
―]はクロック信号(クロック[ckock])により制御されている。
【0019】 スキャンテストポイント監視システム200の1つの実施形態において、捕捉
モードはスキャンテストイネーブルを出力せずにクロックパルスを出力すること
により完結される。出力レジスタ231により捕捉された値は、制御レジスタ2
21ないし223へとシフトされた値に依存している。制御レジスタ221ない
し223における値の特定のセット[組み合わせ]は、マルチプレクサ210の
出力への送信のためにマルチプレクサ210に接続された複数のテストポイント
入力信号のうちの1つを選択する。スキャンテストポイント監視システム200
の1つの実施形態において、制御レジスタ221ないし223の出力は、制御レ
ジスタ221ないし223の各々の入力へと帰還されている。したがって、制御
レジスタ内に格納された値は、捕捉サイクルの間は変化しない。この発明の1つ
の実施形態において、制御レジスタ221ないし223への帰還は、幾つかの検
出不能なデフォルトにも拘わらずデバッグするための好適な方法である。この発
明の1つの実施形態において、制御レジスタのD入力は、他のスキャンテストポ
イント監視システムへと接続されている。この発明のさらに他の実施形態におい
て、制御レジスタのD入力は、制御レジスタの異なる出力に接続されている。捕
捉された情報を監視するために、スキャンイネーブル信号が再出力され、捕捉さ
れたデータは、新たな値がスキャンチェイン内へとシフトされている間に、外部
へとシフトされる。
【0020】 図3は、この発明の1つの実施形態としての集積回路300のブロック回路図
である。集積回路300は、機能論理310およびスキャンテストポイント監視
システム320を備えている。機能論理310は、スキャンテストポイント監視
システム320に接続されている。機能論理310はまた、スキャンイネーブル
線312,クロック線313,スキャンテストポイントバス315およびスキャ
ン出力線314にも接続されている。スキャンテストポイント監視システム32
0は、スキャン入力線311,スキャンイネーブル線312,クロック線313
,スキャンテストポイントバス315,スキャン出力線314およびスキャン出
力線321に接続されている。
【0021】 集積回路300の構成要素は、機能論理310のスキャンテストを容易にする
ために協力して機能する。機能論理310は、ICの通常の動作を実行する。ス
キャン入力線311はスキャン入力信号(例えば、スキャン_イン)用の通信パ
スを提供している。スキャンイネーブル線312は、スキャンイネーブル信号(
例えば、スキャン_エン)用の通信パスを提供している。クロック線313は、
クロック信号(例えば、クロック)用の通信パスを提供している。スキャンテス
トポイントバス315は機能論理310から送信されたスキャンテスト情報を表
示するテストポイント信号のための通信パスを提供している。スキャン出力線3
14およびスキャン出力線321は、スキャン出力信号(例えばスキャン_アウ
ト)用の通信パスを提供している。この発明の1つの実施形態において、スキャ
ン出力線314はスキャン入力線311上の機能論理310により受信されると
共に変更することなく機能論理310を介して通過された信号を搬送している。
この発明の1つの実施形態において、スキャン出力線321はスキャン出力線3
14からのスキャン出力信号を含み、機能論理310から捕捉されたスキャンテ
スト情報のためのものであり、スキャンテストポイントバス315を介して送信
されたスキャン出力信号を搬送している。スキャンテストポイント監視320は
、スキャンテストポイント監視システム200を含み、機能論理310から受信
されたスキャンテスト信号を選択的に送信している。
【0022】 スキャンテストポイント監視システムの幾つかの実施形態が多数のスキャンテ
ストポイント信号を受信していることは、正しく認識されるべきである。例えば
、スキャンテスト監視システムの1つの実施形態は、より大きなテスト信号選択
マルチプレクサを含んでいる。この発明に係るスキャンテストポイント監視シス
テムの他の実施形態は、スキャンテスト動作捕捉サイクルの間に多数の不良の捕
捉を容易にする複数のマルチプレクサおよび出力回路を含んでいる。
【0023】 図4は複数のマルチプレクサおよび複数の出力レジスタを備えるこの発明の一
実施形態に係るスキャンテストポイントシステム400のブロック図である。ス
キャンテストポイントシステム400は、マルチプレクサ411,マルチプレク
サ413,制御レジスタ421,制御レジスタ422,制御レジスタ423,出
力レジスタ431および出力レジスタ433を備えている。マルチプレクサ41
3は、制御レジスタ421,制御レジスタ422,制御レジスタ423および出
力レジスタ433に接続されている。マルチプレクサ411は、制御レジスタ4
21,制御レジスタ422,制御レジスタ423および出力レジスタ431に接
続されている。制御レジスタ421は、制御レジスタ423に接続された制御レ
ジスタ422に接続されている。制御レジスタ423は、出力レジスタ433に
接続された出力レジスタ431に接続されている。
【0024】 スキャンテストポイントシステム400のスキャンテスト動作は、スキャンテ
スト動作捕捉サイクルの間に多数の不良の捕捉を容易にするスキャンテストポイ
ントシステム400以外についてはスキャンテストポイントシステム200の動
作と同じである。マルチプレクサ411および413はそれらの個別の入力の1
つとそれらの出力との間に通信パスを選択的に提供している。制御レジスタ42
1,制御レジスタ422,および制御レジスタ423の出力は、出力レジスタ4
31および433にそれぞれ送信されるマルチプレクサ411および413の入
力を選択するために用いられている。出力レジスタ431および433は、マル
チプレクサ411および413のそれぞれの出力を送信するか、または、出力レ
ジスタ431および433がスキャンテスト入力情報を送信している。
【0025】 図5は、この発明の一実施形態としてのスキャンテストポイント監視方法50
0のフローチャートである。スキャンテストポイント監視方法50は、IC内の
機能論理のスキャンテスティングを容易にしている。この発明の1つの実施形態
において、スキャンテストポイント監視システム500は、ATPGツールスキ
ャンテスト監視ポイント検出および監視を向上させるために用いられている。
【0026】 ステップ510において、スキャンテストポイント信号は、テストポイントを
備える機能構成要素から受信される。スキャンテストポイント監視方法500の
1つの実施形態において、スキャンテストポイント信号は、スキャンテストシス
テムの捕捉モードの間に受信され、スキャンテストイネーブル信号を出力しない
でクロックパルスを出力することにより完結される。この発明の1つの実施形態
において、スキャンテストポイント信号は、IC内に設けられた機能論理構成要
素が指定された動作を実行した後に、機能論理構成要素の出力から受信された信
号である。スキャンテストポイント監視方法500の1つに実施形態において、
機能論理構成要素は、スキャンチェイン上でシフトされ、機能構成要素の入力に
表れたスキャン入力情報に基づく、指定された動作を実行する。
【0027】 ステップ520において、スキャンテスト情報がスキャンテストチェイン上で
の送信のために選択される。この発明の1つの実施形態において、スキャンテス
トポイントシステム(例えば、スキャンテストポイントシステム200)は、ス
キャンテスト入力情報に基づいて、スキャンテスト情報がスキャンテストチェイ
ン上で送信されることを選択する。ステップ520の1つの例において、マルチ
プレクサが、制御論理からマルチプレクサに送られる制御値に基づいて、その複
数の入力の1つからその出力に対しての通信パスを提供するために用いられてい
る。スキャンテストチェイン上を送信されてきた情報の選択を決定する制御値は
制御論理(例えば、制御レジスタ121ないし123)に対するスキャンテスト
チェイン上でシフトされる。スキャンテストポイント監視システム500の1つ
の実施形態において、選択値は記憶され、捕捉動作中はそのまま保持される。例
えば、選択値は制御レジスタ(例えば、121ないし123)の各入力に制御レ
ジスタの出力をループバックすることにより、制御レジスタ内に格納される。
【0028】 ステップ530において、スキャンテスト情報は、スキャンテストチェイン上
を送信される。この発明の1つの実施形態において、スキャンテスト情報は、テ
ストポイント信号と、スキャンテスト入力情報とを備えている。テストポイント
信号は、機能論理内でテストポイントから捕捉された信号を含んでいる。スキャ
ンテスト入力情報は、変化することなく受信および素信されるスキャンテスト情
報を含んでいる。この発明の1つの実施形態において、スキャンテスト監視ポイ
ントの出力からのスキャンテスト情報は、スキャンテストシフトモードの間にス
キャンテストチェインのダウンストリームの構成要素のための入力スキャンテス
ト情報となる。この発明の1つの実施形態において、シフティングはスキャンイ
ネーブル信号(スキャン_エン)を出力して、スキャンテスト入力ポートにスキ
ャンテストデータ信号(例えば、スキャン_イン)を供給することにより実行さ
れる。スキャンテストデータはスキャンテストチェイン内へとシフトされている
ので、スキャンテスト出力情報はまた、スキャンテスト出力信号(スキャン_ア
ウト)としてスキャンテストチェインの外側にシフトされている。この発明の1
つの実施形態において、スキャンテスト動作は同期しており、シフティングはク
ロック信号(クロック)により制御されている。
【0029】 したがって、この発明は、通常の動作および製造プロセスに対して最少の影響
のみで、内部構成要素の所望のスキャンテスティングを容易にさせるシステムお
よび方法である。この発明に係るシステムおよび方法は、スキャンテストポイン
トの効果的な監視を提供しながら、ATPGツールを有する集積回路構成要素の
効率的なスキャンテスティングを支援している。この発明に係るスキャンテスト
ポイント監視システムおよび方法は、テスティングスキャンの基本設計概念の実
行する実用化と、IC設計を実行する際の不利な再デザインの影響の最少化とを
提供している。
【0030】 この発明の特定の実施形態についての上述した説明は、[図による]表示と[文
章による]説明のみを目的として提供されたものである。これらは、この発明を
開示された細かい形態に限定したり排他的とされたりすることを意図するもので
はないし、明らかに多くの変形や変更が上述した教示に照らして可能である。こ
っらの実施形態は、この発明の原理とその実用的な適用例とを最も良く説明する
ために、選択され説明されているものであり、これにより、熟考された個別の使
用に適するようにな種々の変形例を伴うこの発明および種々の実施形態を、この
技術分野の熟練者に最も良好に使用させることを可能にしている。この発明の範
囲は、この明細書に添付された請求の範囲およびその均等の範囲により定義され
ていることが意図されている。
【図面の簡単な説明】
【図1】 この発明に係るスキャンテストポイント監視システムの一実施形態を示すブロ
ック図である。
【図2】 この発明に係るスキャンテストポイント監視システムの他の実施形態を示すブ
ロック図である。
【図3】 スキャンテストポイント監視システムの一実施形態を含むこの発明に係る集積
回路を示すブロック図である。
【図4】 複数のマルチプレクサと出力レジスタを備える一実施形態に係るスキャンテス
トポイントシステムを示すブロック図である。
【図5】 この発明の一実施形態に係るスキャンテストポイント監視方法を示すフローチ
ャートである。
【符号の説明】
110 テスト信号選択構成要素 120 テスト信号選択制御構成要素 130 出力構成要素 210 マルチプレクサ 221 制御レジスタ 222 制御レジスタ 223 制御レジスタ 231 出力レジスタ 310 機能論理 320 スキャンテスト監視ポイント 411 マルチプレクサ 413 マルチプレクサ 421 制御レジスタ 422 制御レジスタ 423 制御レジスタ 431 出力レジスタ 433 出力レジスタ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ブライアン、ログスドン アメリカ合衆国アリゾナ州、グレンデー ル、エヌ.セブンティーサード、アベニ ュ、21118 (72)発明者 ケン、ジャラミロ アメリカ合衆国アリゾナ州、フェニック ス、エヌ.セブンス、ストリート、ナンバ ー3060、16220 (72)発明者 マノイ、チャンドラン アメリカ合衆国アリゾナ州、テンプ、イ ー.レモン、ストリート、ナンバー236、 1011 Fターム(参考) 2G132 AA00 AC14 AK07 AK14 AL12 5F038 DT06 DT08 DT15 EZ08 EZ20 【要約の続き】 ンテスト入力情報を表現する信号を出力する。この発明 の1つの実施形態において、スキャンテストポイント監 視システムおよび方法は、ATPGツールにより効率的 に監視可能であるスキャンチェイン(例えば、スキャン テスト監視ポイントの出力)ポイントでのそれらの信号 にアクセスしたり供給したりすることがどちらかといえ ば困難であろうスキャンテストポイントからのスキャン ポイント情報を集めている。

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 スキャンテスト信号を送受するために設けられたテスト信号選択構成要素と、 前記テスト信号選択構成要素に接続され、このテスト信号選択構成要素による
    前記スキャンテスト信号の送受信を制御するために設けられたテスト信号選択制
    御構成要素と、 前記テスト信号選択構成要素に接続され、このテスト信号選択構成要素からの
    前記スキャンテスト信号を受信すると共にこのスキャンテスト信号をスキャンテ
    ストチェインに送信する出力構成要素と、 を備える集積回路に含まれているスキャンテストポイント監視システム。
  2. 【請求項2】 前記テスト信号選択構成要素は、複数のテストポイント信号を受信し、前記複
    数のテストポイント信号の1つを前記出力構成要素に対して選択的に送信するた
    めに設けられている請求項1に記載のスキャンテストポイント監視システム。
  3. 【請求項3】 前記スキャンテスト信号は、IC(集積回路)の通常の動作を実行するために
    用いられる機能論理内のテストポイントから論理値の測定を含むテストポイント
    信号である請求項1に記載のスキャンテストポイント監視システム。
  4. 【請求項4】 前記テスト信号選択制御構成要素は、スキャンテスト入力信号を受信し、前記
    スキャンテストチェインにおける変化させられないダウンストリームに、前記ス
    キャンテスト入力信号を送らせるために設けられた前記出力構成要素に対して、
    前記スキャンテスト入力信号を送信するために設けられている請求項1に記載の
    スキャンテストポイント監視システム。
  5. 【請求項5】 その入力から出力までの信号の通信パスを選択的に提供するために設けられた
    テスト信号選択マルチプレクサ(MUX)と、 前記MUXに接続され、前記MUXの前記出力を介して前記MUXの前記入力
    での前記信号の受信および送信を制御するために設けられた制御レジスタと、 前記MUXに接続され、前記MUXの前記出力における信号、または、前記制
    御レジスタを介してシフトされたスキャンテスト入力情報を表示する信号を送信
    するために設けられた出力レジスタと、 を備える集積回路に含まれているスキャンテストポイント監視システム。
  6. 【請求項6】 前記制御レジスタは、前記出力レジスタに対して送信される側の前記MUXの
    入力を選択する前記MUXに対して信号を送信することにより、前記MUXの前
    記入力における前記信号の前記受信および送信を制御する請求項5に記載のスキ
    ャンテストポイント監視システム。
  7. 【請求項7】 前記MUXに対する前記入力における前記信号は、テストポイント信号を含む
    請求項5に記載のスキャンテストポイント監視システム。
  8. 【請求項8】 前記MUXに対する前記入力における前記信号は、スキャンテスト捕捉動作中
    の機能論理から送出されるテストポイント信号である請求項5に記載のスキャン
    テストポイント監視システム。
  9. 【請求項9】 前記MUXに対する前記入力における前記信号は、前記出力レジスタを介して
    ATPGへの受入可能なテストポイント信号である請求項5に記載のスキャンテ
    ストポイント監視システム。
  10. 【請求項10】 前記制御レジスタの出力は、前記制御レジスタの入力へと帰還されている請求
    項5に記載のスキャンテストポイント監視システム。
  11. 【請求項11】 前記制御レジスタのD入力は、他のスキャンテストポイント監視システムに接
    続されている請求項5に記載のスキャンテストポイント監視システム。
  12. 【請求項12】 前記制御レジスタのD入力は、前記制御レジスタの異なる出力に接続されてい
    る請求項5に記載のスキャンテストポイント監視システム。
  13. 【請求項13】 前記MUXの入力に接続され、前記ICの通常の動作を実行するために設けら
    れた機能論理をさらに備える請求項5に記載のスキャンテストポイント監視シス
    テム。
  14. 【請求項14】 前記機能論理から受信されたスキャンテスト情報が、前記出力構成要素である
    前記スキャンテストチェインに選択的に送信されている請求項13に記載のスキ
    ャンテストポイント監視システム。
  15. 【請求項15】 スキャンテスト動作捕捉サイクル中に多数の誤りの捕捉を容易にする複数のマ
    ルチプレクサと出力レジスタとをさらに備える請求項5に記載のスキャンテスト
    ポイント監視システム。
  16. 【請求項16】 前記複数のマルチプレクサは、個々の入力が前記複数のマルチプレクサの個々
    の出力に接続された前記複数のマルチプレクサの1つと、複数のマルチプレクサ
    の個々の出力を送信する前記複数の出力レジスタと、の間に、通信パスを選択的
    に提供する請求項15に記載のスキャンテストポイント監視システム。
  17. 【請求項17】 前記制御レジスタは、ATPGツールにより制御されている請求項5に記載の
    スキャンテストポイント監視システム。
  18. 【請求項18】 テストポイントを含む機能構成要素からのスキャンテスト情報を受信するステ
    ップと、 スキャンチェイン上で送信するために前記スキャンテスト情報を選択するステ
    ップと、 スキャンにおけるスキャンテスト情報を送信するステップと、 を備えるスキャンテストポイント監視方法。
  19. 【請求項19】 スキャンテストイネーブル信号をディアサート(deassert―非出力―)するス
    テップと、 クロックパルスをアサート(assert―出力―)するステップと、 をさらに備える請求項18に記載のスキャンテストポイント監視方法。
  20. 【請求項20】 前記スキャンテストポイント信号は、前記機能論理構成要素が指定された動作
    を実行した後に、IC(集積回路)内に含まれている機能論理構成要素の出力か
    ら受信された信号である請求項18に記載のスキャンテストポイント監視方法。
  21. 【請求項21】 スキャンチェインにおける送信のための前記スキャンテスト情報を選択するこ
    とは、スキャンテスト入力情報に基づいている請求項18に記載のスキャンテス
    トポイント監視方法。
  22. 【請求項22】 前記スキャンテストチェインで送信された情報の選択を決定する制御値をシフ
    トさせるステップをさらに備える請求項18に記載のスキャンテストポイント監
    視方法。
  23. 【請求項23】 捕捉動作中に前記制御値を記憶するステップをさらに備える請求項22に記載
    のスキャンテストポイント監視方法。
  24. 【請求項24】 スキャンイネーブル信号を出力するステップと、 スキャンテスト入力ポートに対して入力スキャンテストデータ信号を供給する
    ステップと、 をさらに備える請求項18に記載のスキャンテストポイント監視方法。
JP2001535071A 1999-10-29 2000-10-04 スキャンテストポイント監視システムおよび方法 Pending JP2003513287A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US43045799A 1999-10-29 1999-10-29
US09/430,457 1999-10-29
PCT/US2000/027310 WO2001033238A1 (en) 1999-10-29 2000-10-04 A scan test point observation system and method

Publications (1)

Publication Number Publication Date
JP2003513287A true JP2003513287A (ja) 2003-04-08

Family

ID=23707645

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001535071A Pending JP2003513287A (ja) 1999-10-29 2000-10-04 スキャンテストポイント監視システムおよび方法

Country Status (3)

Country Link
EP (1) EP1149297A1 (ja)
JP (1) JP2003513287A (ja)
WO (1) WO2001033238A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005128012A (ja) * 2003-10-24 2005-05-19 Samsung Electronics Co Ltd スキャンテスト方法、装置およびシステム

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6898750B2 (en) * 2002-01-16 2005-05-24 Microtune (San Diego), Inc. In-chip monitoring system to monitor input/output of functional blocks
KR20050078704A (ko) 2004-01-31 2005-08-08 삼성전자주식회사 스캔 베이스 atpg 테스트회로, 테스트방법 및 스캔체인 재배열방법
US10976367B2 (en) * 2018-12-13 2021-04-13 Micron Technology, Inc. Controller structural testing with automated test vectors

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5757818A (en) * 1996-11-26 1998-05-26 Intel Corporation Method and apparatus for scan out testing of integrated circuits with reduced test circuit area
US5774475A (en) * 1996-12-05 1998-06-30 National Semiconductor Corporation Testing scheme that re-uses original stimulus for testing circuitry embedded within a larger circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005128012A (ja) * 2003-10-24 2005-05-19 Samsung Electronics Co Ltd スキャンテスト方法、装置およびシステム

Also Published As

Publication number Publication date
WO2001033238A1 (en) 2001-05-10
EP1149297A1 (en) 2001-10-31

Similar Documents

Publication Publication Date Title
US6983441B2 (en) Embedding a JTAG host controller into an FPGA design
US5701309A (en) Automated test equipment digital tester expansion apparatus
US7568141B2 (en) Method and apparatus for testing embedded cores
US5270642A (en) Partitioned boundary-scan testing for the reduction of testing-induced damage
US6961885B2 (en) System and method for testing video devices using a test fixture
KR19980032196A (ko) 디버그 및 제조 테스트 목적을 위한 적응적 스캔 체인
US7761751B1 (en) Test and diagnosis of semiconductors
US5487074A (en) Boundary scan testing using clocked signal
US6785854B1 (en) Test access port (TAP) controller system and method to debug internal intermediate scan test faults
US7406641B2 (en) Selective control of test-access ports in integrated circuits
WO2004073027A2 (en) Microprocessor based self-diagnostic port
EP0849678B1 (en) A system and method for testing electronic devices
JP2003513287A (ja) スキャンテストポイント監視システムおよび方法
Tulloss et al. BIST and boundary-scan for board level test: Test program pseudocode
JP2004069650A (ja) 変換装置
US6986087B2 (en) Method and apparatus for improving testability of I/O driver/receivers
CN115639463A (zh) 一种基于边界扫描jtag测试系统
US20120137187A1 (en) System and method for scan testing integrated circuits
JP3094983B2 (ja) システムロジックのテスト回路およびテスト方法
KR20060095283A (ko) 다중 시스템 클럭 및 이종 코어를 포함하는 시스템 온 칩용연결선 지연 고장 테스트 제어기
JP2002107425A (ja) 半導体集積回路
JP3072718B2 (ja) 多数のi/o信号を有する集積回路のテスト方法
JPH0843494A (ja) 電子回路
JP3487810B2 (ja) バウンダリスキャン回路およびその方法
US20020186585A1 (en) Semiconductor integrated circuit device and method for designing a semiconductor integrated circuit device