JP2003509847A - デュアルダマシンプロセスにおける低誘電率エッチストップ層 - Google Patents

デュアルダマシンプロセスにおける低誘電率エッチストップ層

Info

Publication number
JP2003509847A
JP2003509847A JP2001522585A JP2001522585A JP2003509847A JP 2003509847 A JP2003509847 A JP 2003509847A JP 2001522585 A JP2001522585 A JP 2001522585A JP 2001522585 A JP2001522585 A JP 2001522585A JP 2003509847 A JP2003509847 A JP 2003509847A
Authority
JP
Japan
Prior art keywords
layer
dielectric layer
additional
dielectric
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001522585A
Other languages
English (en)
Inventor
チュン,ヘンリー
リン,ジェイムス
Original Assignee
アライドシグナル インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アライドシグナル インコーポレイテッド filed Critical アライドシグナル インコーポレイテッド
Publication of JP2003509847A publication Critical patent/JP2003509847A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 本発明は、集積回路デバイスのなどのマイクロエレクトロニクスデバイスを提供する。かかるデバイスは、異なる低い誘電率のインターメタル誘電体を用いたビア、相互連結メタライゼイション及び配線ラインを有する。有機及び無機低k誘電体の両方の使用は、2種類の誘電体の有意に異なるプラズマエッチ特性のため利点を与える。一方の誘電体は他方の誘電体をエッチングする際のエッチストップとして寄与し、追加のエッチストップ層を全く必要としない。基板とその基板上に配置された第一の誘電性材料の層とを有するマイクロエレクトロニクスデバイスを形成する。第二の誘電性材料の層をその第一誘電体層上に配置し、第一誘電性材料の追加の層をその第二誘電性材料上に配置する。少なくとも一つのビアが第一誘電性材料層及び第二誘電性材料層から伸び、少なくとも一つのトレンチが第一誘電性材料を通してビアに伸びる。

Description

【発明の詳細な説明】
【0001】 発明の背景 発明の分野 本発明は、集積回路デバイスなどのマイクロエレクトロニクスデバイスの形成
に関する。より詳しくは、本発明は、多重低誘電率内部誘電体を使用するビア及
びトレンチ、又は相互連結メタライゼイション及び配線ラインの形成に関する。
【0002】 関連技術の説明 マイクロエレクトロニクスデバイスの製造において、マルチレベル配線構造の
各レベルは、全集積回路内の1以上のデバイスを相互連結するための相互連結領
域を有する。そのようなデバイスの形成において、下部レベルの配線ライン、次
に中間レベル誘電層を形成し、次に上部レベル配線ラインを形成することは従来
行われている。中間レベル誘電体において1以上の金属充填ビアが典型的には形
成されて、上部及び下部レベルの配線ラインを接続する。
【0003】 2つのレベルの配線構造を形成するための一つの従来法は、はじめに2つのレ
ベルの相互連結構造を基板上に形成することである。基板の表面はシリコンデバ
イス構造の表面でもよく、或いは基板の表面は絶縁層でもよい。酸化物層は典型
的には化学蒸着によって基板上に堆積される。第一レベルの相互連結構造は従来
のフォトリソグラフィプロセスによって画定される。このプロセスにより、第一
レベルの相互連結が形成されることになる酸化物層を通る開口が形成される。一
般に、この開口は、相互連結が形成される基板内の導電体の一部を露出する。こ
の開口は、金属の相互連結体で充填されて相互連結を形成し金属プラグを形成す
る。その後、アルミニウムなどの金属−レベルが酸化物層の表面上及び金属プラ
グ上に第二レベルの配線ラインのために適当な厚さに堆積される。金属−レベル
は、その後、第二レベルの配線ラインにパターン化される。第二レベルの配線ラ
インは、従来のフォトリソグラフィプロセスにおいて、金属−レベル上にフォト
レジスト層を提供し、マスクを通じてフォトレジストを露光し、そしてフォトレ
ジストエッチマスクを形成するために露光されたフォトレジスト層の一部を除去
することによって、画定される。フォトレジストマスク中の開口によって露出さ
れた金属−レベルの一部は、その後エッチングによって除去され、フォトレジス
トマスクはアッシングによって除去される。2つのレベルの相互連結構造が形成
された後、第二レベルの配線ライン間にインターメタル誘電体(IMD)層を提
供すること、及び集積回路デバイスの更なるプロセッシングに適応するために第
二レベル配線ラインをカバーすることが必要である。過去においては、インター
メタル誘電体層は、プラズマエンハンスト化学蒸着又は他のプロセスによって堆
積された酸化物の1以上の層からなっていてもよかった。
【0004】 Cu相互連結及び低誘電率(k)インターメタル誘電体を伴うシングル又はデ
ュアルダマシンプロセスによって製造される従来技術の集積回路は、低k誘電体
の、無機、有機又はこれらの2種のハイブリッドのいずれかのうち1種のみを使
用してきた。同種の低k誘電体を金属−レベルIMD’s及びビア−レベルIM
D’sの両方に使用するこの従来のアプローチは、プロセスインテグレーション
及びインプリメンテーションオプションを制限してきた。その結果、追加のプロ
セッシング工程及び追加されたコストが必要とされる。同種の低k誘電体を金属
−レベルIMD’s及びビア−レベルIMD’sの両方に使用するこの従来のア
プローチは、また、金属−レベル及びビア−レベルのインター−メタル誘電体I
MD’sの間にエッチストップ、通常は窒化ケイ素、を要求する。7という高い
誘電率を有する窒化ケイ素の使用は、集積回路の速度性能をひどく低下させる。
デバイスの製造に必要とされるプロセッシング工程の数を減らすことを可能にす
ることは常に望まれている。なぜなら、プロセッシング工程の数を減らすことは
デバイスの製造に必要な時間を短くし、プロセッシング工程を排除することは製
造効率を改善するのでコストが下がるからである。
【0005】 本発明は、2つの異なる低k誘電体を、集積回路のCuベースのデュアルダマ
シンバックエンドのインターメタル誘電体に使用する。有機及び無機の低k誘電
体の両方の使用は、これら2種の誘電体の有意に異なるプラズマエッチ特性に起
因するいくつかの利点を与える。一方の誘電体は、他方の誘電体をエッチングす
る際にエッチストップとして寄与する。追加の酸化物又は窒化物のエッチストッ
プ層は必要とされない。低k誘電体の使用によりもたらされるより低い寄生キャ
パシタンスによる高い性能が達成される。
【0006】 本発明は、無機及び有機の誘電体がそれらのプラズマエッチ特性において有意
に存在するという利点を獲得するものである。プラズマエッチングにおけるエッ
チストップその他のものを製作することができる。同種の低k誘電体を金属−レ
ベルIMD’s及びビア−レベルIMD’sの両方のために有する従来のアプロ
ーチにおいて、従来の構築法におけるエッチストップの存在を確立するために追
加の薄膜が堆積されることが必要であることは明らかである。酸素ベースのプラ
ズマにおいて、有機誘電体は無機誘電体よりも恐ろしく速くエッチングする。逆
に、フッ化炭素ベースのプラズマにおいて、無機誘電体は有機誘電体よりも有意
に速くエッチングする。
【0007】 発明の要旨 本発明は、 (a)基板; (b)該基板の上に配置される第一の誘電性材料の層; (c)該第一誘電性材料の上に配置される第二の誘電性材料の層であって、該
第一誘電性材料及び該第二誘電性材料は実質的に異なる耐エッチ性を有しており
; (d)該第二誘電性材料の上に配置される該第一誘電性材料の追加の層; (e)該第一誘電性材料及び該第二誘電性材料を通して伸びる少なくとも一つ
のビア、及び該第一誘電性材料を通して少なくとも一つのビアに伸びる少なくと
も一つのトレンチ; (f)該トレンチの内壁及び床並びに該ビアの内壁及び床を覆うバリアメタル
のライニング; (g)該バリアメタルのライニングと接触して該トレンチ及びビアを充填する
フィルメタル を含むマイクロエレクトロニクスデバイスを提供する。
【0008】 本発明は、また、マイクロエレクトロニクスデバイスを製造するための方法で
あって、 (a)基板上に第一の誘電性層を形成し; (b)該第一誘電性層上に第二の誘電性層を形成し; (c)該第二誘電性層上に追加の第一誘電性層を形成し; (d)該追加の第二誘電性層上にフォトレジストの層を堆積させて、該第一誘
電性層について少なくとも一つのビアに対応するフォトレジストの部分を像形成
除去し; (e)続いて、該フォトレジストの除去された部分の下の該追加の第一誘電性
層、該第二誘電性層及び該第一誘電性層の部分を除去することにより、該第一誘
電性層を通して少なくとも一つのビアを形成し;次いで、該フォトレジスト層の
残りを除去し; (f)該追加の第一誘電性層上に追加のフォトレジスト層を堆積させて、該追
加の第一誘電性層について少なくとも一つのトレンチに対応する該追加のフォト
レジストの部分を像形成除去し; (g)該追加のフォトレジスト層の除去された部分の下の該追加の第一誘電性
層の部分を除去することにより、該追加の第一誘電性層を通して少なくとも一つ
のトレンチを形成し; (h)場合により、該追加のフォトレジスト層の除去された部分の下の該第二
誘電性層の部分を除去し; (i)該追加のフォトレジスト層の残りを除去し; (j)該トレンチの内壁及び床並びに該ビアの内壁及び床上にバリアメタルを
ライニングし; (k)該トレンチ及びビアをフィルメタルで該バリアメタルのライニングに接
触して充填すること、を含んでなる方法を提供する。
【0009】 本発明は、更に、マイクロエレクトロニクスデバイスを製造するための方法で
あって、 (a)基板上に第一の誘電性層を形成し; (b)該第一誘電性層上にフォトレジストの層を堆積させて、該第一誘電性層
について少なくとも一つのビアに対応する該フォトレジストの部分を像形成除去
し; (c)該フォトレジストの除去された部分の下の該第一誘電性層の部分を除去
することにより、該第一誘電性層を通して少なくとも一つのビアを形成し;次い
で、該フォトレジスト層の残りを除去し; (d)該第一誘電性層上及び該少なくとも一つのビア中に第二の誘電性層を形
成し; (e)第二誘電性層上に追加の第一誘電性層を形成し; (f)該追加の第一誘電性層上に追加のフォトレジスト層を堆積させて、該追
加の第一誘電性層及び該第二誘電性層について少なくとも一つのトレンチに対応
する該追加のフォトレジストの部分を像形成除去し; (g)続いて、該追加のフォトレジスト層の除去された部分の下の該追加の第
一誘電性層及び該第二誘電性層の部分を除去することにより、該追加の第一誘電
性層及び該第二誘電性層を通して少なくとも一つのトレンチを形成し; (h)該追加のフォトレジスト層の残りを除去し; (i)該トレンチの内壁及び床並びに該ビアの内壁及び床上にバリアメタルを
ライニングし; (k)該トレンチ及びビアをフィルメタルで該バリアメタルのライニングに接
触して充填すること、を含んでなる方法を提供する。
【0010】 本発明は、また更に、マイクロエレクトロニクスデバイスを製造するための方
法であって、 (a)基板上に第一の誘電性層を形成し; (b)該第一誘電性層上に第二の誘電性層を形成し; (c)該第二誘電性層上に追加の第一誘電性層を形成し; (d)該追加の第一誘電性層上にフォトレジストの層を堆積させて、該追加の
第一誘電性層及び第二誘電性層について少なくとも一つのトレンチに対応するフ
ォトレジストの部分を像形成除去し; (e)続いて、該フォトレジストの除去された部分の下の該追加の第一誘電性
層及び該第二誘電性層の部分を除去することにより、該追加の第一誘電性層及び
該第二誘電性層を通して少なくとも一つのトレンチを形成し;次いで、該フォト
レジスト層の残りを除去し; (f)該第一誘電性層及び該追加の第一誘電性層上に追加のフォトレジスト層
を堆積させて、該追加の第一誘電性層について少なくとも一つのビアに対応する
該追加のフォトレジストの部分を像形成除去し; (g)該追加のフォトレジスト層の除去された部分の下の該第一誘電性層の部
分を除去することにより、該第一誘電性層を通して少なくとも一つのビアを形成
し; 場合により、該追加のフォトレジスト層の除去された部分の下の該追加の
第一誘電性層及び該第二誘電性層の部分を除去し; (h)該追加のフォトレジスト層の残りを除去し; (i)該トレンチの内壁及び床並びに該ビアの内壁及び床上にバリアメタルを
ライニングし; (j)該トレンチ及びビアをフィルメタルで該バリアメタルのライニングに接
触して充填すること、を含んでなる方法を提供する。
【0011】 好ましい態様の詳細な説明 本発明の第一の方法態様により製造される集積回路構造体が図1に示される。
この構造はIMD用に2つの異なる種類の低k誘電体薄膜を使用しているもので
、一方の誘電体は有機質であり、他方の誘電体は無機質である。図1に示される
構造体は、本発明に従って加工された多重レベル相互連結の集積回路のバックエ
ンドの一部である。ビア−レベルIMD’s及び金属−レベルIMD’sに無機
質の低k誘電体が用いられ、そしてビア−レベルIMD’sと金属−レベルIM
D’sとの間に有機質の低k誘電体が用いられる。
【0012】 図示されるビア−レベルIMD’s及び金属−レベルIMD’sの加工に使用
されるプロセス工程は、ビア−レベルIMD’s及び金属−レベルIMD’sの
上部レベルに対しても再び反復することができる。これらの交互配置の有機及び
無機誘電体層には、エッチング速度に有意の相違がある。本発明は有機誘電体と
無機誘電体との間のプラズマエッチング速度の有意な相違の利点を利用するもの
である。これはビア−レベルIMD’s及び金属−レベルIMD’sの両方に同
じ誘電体を用いるときは利用できない。酸素ベースのプラズマ中では、有機誘電
体の方が無機誘電体よりもエッチングが著しく速い。逆に、フッ化炭素ベースの
プラズマ中では、無機誘電体の方が有機誘電体よりもエッチングがはるかに速い
。図1に示される構造体には幾つかの明瞭な特長がある:2つの隣接するIMD
’sを分離する追加の薄膜の必要がない。IMD用に酸化ケイ素を用いる従来の
方法においては、窒化ケイ素が分離層として一般に用いられる。窒化ケイ素には
7という非常に高い誘電率を有するという大きな欠点がある。分離層は、ビアが
下にある金属線と整合しないときに無境界ビアを開口させる際のエッチストップ
としても用いられる。この分離層の存在は、歩留まりと信頼性が主要な関心事で
ある深くかつ狭いトレンチの形成を妨げる可能性がある。しかし、本発明では、
2種の異なる誘電体が使用され、しかもそれらはそれらのプラズマエッチング特
性が有意に異なっているという同じ理由から、分離層は必要とされない。
【0013】 図1の構造体は、基板及びその基板上に配置された第一誘電体材料の層を含ん
でなるマイクロエレクトロニクスデバイスを示す。第一誘電体層の上に第二誘電
体材料の層が配置される。第一誘電体材料と第二誘電体材料とは実質的に異なる
エッチング抵抗特性を有している。第一誘電体材料の追加層が第二誘電体材料上
に配置される。第一誘電体材料層及び第二誘電体材料層を通して少なくとも1つ
のビアが伸び、またそのビアまで伸びている第一誘電体材料の追加層を貫して少
なくとも1つのトレンチが伸びている。トレンチの内壁及び床、さらにまたビア
の内壁及び床にバリアメタルのライニングが配置される。次いで、フィルメタル
がバリアメタルのライニングと接触しているトレンチ及びビアを満たす。
【0014】 本発明の第一の方法態様は図2A〜2Eにより例証されている。これらの図は
第一ビア−レベル及び相互連結レベルの形成後のプロセス流れ図を示すものであ
るが、同じ加工工程をビア及び相互連結の上部レベルにも再度反復することがで
きる。図2Aは、第一無機低k誘電体の堆積工程である工程1、第一誘電体層上
に第二有機低k誘電体を堆積させる工程である工程2、配置された第一誘電体材
料の追加層を第二誘電体材料上に堆積させる工程である工程3の後の暫定構造を
示すものである。次に、工程4において、追加の第一誘電体層上にフォトレジス
トの層を堆積させ、ベーキングし、そして第一誘電体層に対する少なくとも1つ
のビアに相当するフォトレジストの一部分を像形成除去する。
【0015】 基板はその表面に金属線をあるパターンで有することができる。典型的な基板
に、集積回路または他のマイクロエレクトロニクスデバイスに加工するのに適し
たものがある。本発明に適した基板として、他を排除するものではないが、ガリ
ウムヒ素(GaAs)、ゲルマニウム、シリコン、シリコンゲルマニウム、ニオブ酸
リチウム、及び結晶性シリコン、多結晶シリコン、非晶質シリコン、エピタキシ
ャルシリコン及び二酸化ケイ素(SiO2)のようなシリコンを含有する組成物、並
びにそれらの混合物のような半導体材料が挙げられる。金属線は、典型的には、
周知のリソグラフィ法によって形成される。金属線に適した材料として、アルミ
ニウム、アルミニウム合金、銅、銅合金、チタン、タンタル及びタングステンが
挙げられる。これらの金属線は集積回路の導体を形成している。このような金属
線は、典型的には、好ましくは約20マイクロメートル以下、さらに好ましくは
約1マイクロメートル以下、最も好ましくは約0.05マイクロメートル以下の
距離で互いに接近して分離されている。
【0016】 有機及び無機誘電体組成物は、マイクロエレクトロニクスデバイスの形成にお
ける使用に対してこの技術分野で周知である広範囲の誘電体形成性材料のいずれ
を含んでいてもよい。それらの誘電体層は、他を排除するものではないが、ケイ
素含有スピン−オンガラス類(spin-on glasses)、即ちアルコキシシラン重合
体、シルセスキオキサン重合体、シロキサン重合体のようなケイ素含有重合体;
ポリ(アリーレンエーテル)、フッ素化ポリ(アリーレンエーテル)、他の高分
子誘電体材料、非極性シリカまたはそれらの混合物を含んでいることができる。
本発明の唯一の基準は、有機誘電体が無機誘電体に隣接して形成されるというこ
とである。有用な有機誘電体は、炭素を含んでいる次に挙げられるものであり、
また無機誘電体は炭素を含んでいない次に挙げられるものである。
【0017】 本発明に有用な1つの有用な高分子誘電体材料に、式:
【0018】
【化1】
【0019】 (式中、基Rの内の少なくとも2つは、独立に、C1〜C4のアルキル基であり、
そして残りの基Rは、もし残っていれば、独立に、水素、アルキル、フェニル、
ハロゲン及び置換フェニルより成る群らか選ばれる。) を有するアルコキシシラン単量体から形成された非極性シリカアルコキシシラン
重合体がある。このような重合体は、アライドシグナル社(AlliedSignal)から
ナノグラス(登録商標)(Nanoglass(登録商標))として商業的に入手できる
。最も好ましいアルコキシシラン単量体はテトラエトキシシラン(TEOS)である
。また、式[(HSiO1.5xynを有するハイドロジェンシロキサン類、式
(HSiO1.5nを有するハイロドジェンシルセスキオキサン類及び式[(HS
iO1.5xy(RSiO1.5zn、[(HSiO1.5x(RSiO1.5yn
及び[(HSiO1.5xy(RSiO1.5znを有するヒドロオルガノシロキ
サン類も有用である。これら重合体の式の各々において、x=約6〜約20であ
り、y=1〜約3であり、z=約6〜約20であり、n=1〜約4,000であ
り、そしてRは、各々独立に、H、C1〜C8のアルキル基またはC6〜C12のア
リールである。その重量平均分子量は約1,000〜約220,000であるこ
とができる。好ましい態様において、nは約5,000〜約45,000の分子
量をもたらす約100〜約800の範囲である。nは約14,000〜約36,
000の分子量をもたらす約250〜約650の範囲であるのがさらに好ましい
。本発明の関係内で有用な重合体を挙げると、他を排除するものではないが、ハ
イドロジェンシロキサン、ハイドロジェンシルセスキオキサン、ハイドロジェン
メチルシロキサン、ハイドロジェンエチルシロキサン、ハイドロジェンプロピル
シロキサン、ハイドロジェンブチルシロキサン、ハイドロジェンtert-ブチルシ
ロキサン、ハイドロジェンフェニルシロキサン、ハイドロジェンメチルシルセス
キオキサン、ハイドロジェンエチルシルセスキオキサン、ハイドロジェンプロピ
ルシルセスキオキサン、ハイドロジェンブチルシルセスキオキサン、ハイドロジ
ェンtert-ブチルシルセスキオキサン及びハイドロジェンフェニルシルセスキオ
キサン並びにそれらの混合物がある。有用な有機重合体を挙げると、ポリイミド
類、フッ素化及び非フッ素化重合体、特にアライドシグナル社から商標名フレア
ー(登録商標)(FLARE(登録商標))で入手できるフッ素化及び非フッ素化ポ
リ(アリールエーテル)、並びにそれらの共重合体混合物がある。上記のヒドロ
オルガノシロキサン類、ポリ(アリーレンエーテル)類、フッ素化ポリ(アリー
レンエーテル)類及びそれらの混合物が好ましい。適したポリ(アリーレンエー
テル)類またはフッ素化ポリ(アリーレンエーテル)類は、この技術分野で米国
特許第5,155,175号、同第5,114,780号及び同第5,115,
082号明細書から公知である。好ましいポリ(アリーレンエーテル)類及びフ
ッ素化ポリ(アリーレンエーテル)類は、ここで参照することにより本明細書に
含まれる、1997年12月12日に出願された米国特許出願第08/990,
157号明細書に開示されている。本発明での使用に好ましいシロキサン材料は
、アライドシグナル社から商標名アキュウグラス(Accuglass(登録商標))T
−11、T−12及びT−14で商業的に入手できる。アライドシグナル社から
ピュアスピン(登録商標)(Purespin(登録商標))及びアキュスピン(Accusp
in(登録商標))T18、T23及びT24で入手できるメチル化シロキサン重
合体も有用である。
【0020】 好ましいケイ素含有誘電体樹脂は、本願明細書に参考として組み入れられる1
997年10月22日出願の米国特許出願08/955,802号に開示されて
いる、[(HSiO1.5xyn、(HSiO1.5n、[(HSiO1.5xy
(RSiO1.5zn、[(HSiO1.5x(RSiO1.5yn及び[(HSi
1.5xy(RSiO1.5zn(ここで、xは約6〜約20、yは1〜約3、
zは約6〜約20、nは1〜約4,000であり、各Rは独立にH、C1〜C8
ルキル、又はC6〜C12アリールである)からなる群より選ばれる式を有するポ
リマーを含む。また好ましいものは、以下の式Iを有するポリマーなどを含むあ
る種の低有機含有量のケイ素である。 [(H−SiO1.5)]n[R−SiO1.5m [(H0.4-1.0SiO1.5-1.8n[R0.4-1.0−SiO1.5-1.8m [H0-1.0SiO1.5-2.0n[R−SiO1.5m [H−SiO1.5x[R−SiO1.5y[SiO2z ここで、nとmの合計、又はx,yとzの合計は、約8〜約5000であり、m
とyは、炭素含有置換基が約40モル%以下の量で存在するように選ばれる。構
造Iを有するポリマーは、炭素含有置換基が約40モル%以下の量で存在する場
合、低有機含有量である。これらのポリマーは、本願明細書に参考として組み入
れられる1998年3月20日出願の米国特許出願第09/044,831号に
より完全に記載している。また好ましいものは、以下の式IIを有するポリマー
などのある種の高有機含有量のポリマーである。 [(HSiO1.5)]n[RSiO1.5m [(H0.4-1.0SiO1.5-1.8n[R0.4-1.0SiO1.5-1.8m [H0-1.0SiO1.5-2.0n[RSiO1.5m ここで、nとmの合計は、約8〜約5000であり、炭素含有置換基が40モル
%以上の量で存在するように選ばれる。さらに、 [HSiO1.5x[RSiO1.5y[SiO2z ここで、x,yとzの合計は、約8〜約5000であり、yは炭素含有置換基が
約40モル%以上の量で存在するように選ばれ、Rは置換、非置換の直鎖、枝分
かれのアルキル基、シクロアルキル基、置換、非置換のアリール基、及びこれら
の混合物から選ばれる。炭素含有置換基の特定のモル%は、出発材料の量の比の
機能である。構造IIを有するポリマーは、炭素含有置換基が約40モル%以上
の量で存在する場合、高有機含有量である。これらのポリマーは、本願明細書に
参考として組み入れられる1998年3月20日出願の米国特許出願09/04
4,798号により完全に記載している。
【0021】 ポリマーは、純粋な又は生の(いかなる溶媒とも混合させない)状態で誘電体
組成物中に存在してもよく、溶媒と混合する場合には溶液中に存在してもよい。
溶媒が存在する場合、ポリマーは好ましくは、ポリマーの重量で約1〜約50%
の量で、より好ましくは約3%〜約20%の量で存在する。溶媒成分は好ましく
は、誘電体の重量で、約50%〜約99%の量で、より好ましくは約80%〜約
97%の量で存在する。適切な溶媒は、シクロペンタノン、シクロヘキサノン、
シクロヘキサノンとシクロオクタノンを含む環状ケトン類、アルキル基が1個〜
約4個の炭素原子を有する場合のN−アルキルピロリジノン及びN−シクロヘキ
シル−ピロリジノンなどのアミド類ならびにこれらの混合物などの中性溶媒を含
みこれに限定されない。
【0022】 いったん生成した後は、誘電体組成物は適切な基板の上に堆積され、これによ
り基板上にポリマー層を形成する。堆積は、従来のスピンコーティング、浸漬コ
ーティング、ローラーコーティング、スプレイ、化学的気相堆積法、あるいは当
業界で周知のメニカスコーティング法によって行ってもよい。スピンコーティン
グが最も好ましい。基板上のポリマー層の厚さは、堆積方法及びパラメータのと
り方に依存して変化してもよいが、典型的にはその厚さは約500オングストロ
ーム〜約50,000オングストローム、好ましくは約2000オングストロー
ム〜12000オングストロームの範囲であってもよい。基板に施用される誘電
体組成物の量は、約1ミリリットル〜約10ミリリットル、好ましくは約2ミリ
リットル〜約8ミリリットルの範囲で変化してもよい。好適な具体例において、
公知のスピン技術によって液体の誘電体組成物が基板の上側表面上にスピンされ
る。好ましくは、ポリマー層を、基板に対して液体誘電体組成物を中心的に施用
し、その後溶液が基板表面を横切って均一に広げるために、約500〜約600
0rpm、好ましくは約1500〜約4000rpmの範囲の速度の回転盤上の
基板をスピンすることにより、施用する。ポリマー層は好ましくは、約1g/c
3〜約3g/cm3の密度を有する。
【0023】 誘電体層を任意に、残留溶媒を除去するため又はその分子量を増大させるため
、加熱してもよい。加熱は空気中若しくは不活性雰囲気中でホットプレート上で
の加熱など従来の手段により行ってもよく、また空気中若しくは不活性雰囲気中
で炉若しくはオーブン中で行ってもよく、又は真空炉中若しくは真空オーブン中
で行ってもよい。加熱は好ましくは、約80℃〜約500℃、より好ましくは約
150℃〜約425℃の温度で行う。この加熱は好ましくは、約1分〜約360
分、より好ましくは約2分〜約60分実施する。ポリマー層はまた任意に、その
分子量を増大させるために紫外線のような光化学作用の光に暴露してもよい。暴
露の量は、約100mJ/cm2〜約300mJ/cm2の範囲でもよい。誘電体
層は任意に、電子線放射に全部暴露させることにより硬化させてもよい。電子線
暴露は、ビーム加速度を調整することによって制御してもよい。電子線放射はそ
の中に配置された基板に対して電子線放射を提供する手段をもつすべてのチャン
バ中で行ってもよい。好ましくは、電子線放射暴露工程は大領域電子線源からの
広く大きな電子線放射によって行われる。好ましくは、電子線チャンバは、“El
ectronCure”の商品名でアライドシグナル・インク(AlliedSignal Inc.)の一
部門のエレクトロンヴィジョン(Electron Vision)から商業的に入手すること
ができる。このような装置の操作と能力特性の原理は、本願明細書に参考として
組み入れられる米国特許第5,003,178号に記載されている。電子線暴露
の温度は好ましくは、約20℃〜約450℃、より好ましくは約50℃〜約40
0℃、最も好ましくは約200℃〜約400℃の範囲である。電子線エネルギー
は好ましくは、約0.5KeV〜約30KeV、より好ましくは約3KeV〜約
10KeVである。電子線量は好ましくは、約1〜約50,000μC/cm2
、より好ましくは約50〜約20,000μC/cm2である。電子線装置のガ
ス雰囲気は、次のガスのいずれであってもよい。窒素、酸素、水素、アルゴン、
水素と窒素の混合物、アンモニア、キセノン又はこれらのガスの組合せ。電子線
電流は好ましくは、約1〜約40mA、より好ましくは約5〜約20mAである
。好ましくは、電子線暴露工程は、約4インチ〜約256平方インチの領域をカ
バーする均一な大領域電子線源からの広く大きな電子線照射によって行う。フォ
トレジスト組成物を用いる周知のフォトリソグラフィー技術、すなわち像形成パ
ターン化とレジスト部分の除去及び連続無機誘電体エッチング、有機誘電体エッ
チング、これらの層を通じるキャビティを形成するための無機誘電体エッチング
などによって誘電体層中にビアを形成する。フォトレジストのコーティング、適
切なマスクによるなど光化学作用照射への像形成暴露、フォトレジストの現像及
びキャビティを形成するための無機誘電体の部分のエッチング・アウェイなどの
当業界で周知の方法でこれらを形成する。フォトレジスト組成物は、ポジ型に働
くものでもネガ型に働くものでもよく、一般的に商業的に入手できる。適切なポ
ジ型に働くフォトレジストは、o−キノンジアジド放射増感剤を含んでもよい。
o−キノンジアジド増感剤は、米国特許第2,797,213号、第3,106
,465号、第3,148,983号、第3,130,047号、第3,201
,329号、第3,785,825号及び第3,802,885号に記載されて
いる、o−キノン−4−又は−5−スルフォニル−ジアシドを含む。o−キノン
ジアジドが用いられるとき、好ましいバインダー樹脂は、水に不溶性の、水性ア
ルカリ可溶性の、又は膨潤性のバインダー樹脂を含み、好ましくはノボラックで
ある。適切なポジ型の光誘電体樹脂はたとえば、ニュージャージーのサマービル
のクラリアント・コーポレーション(Clariant Corporation)からAZ−P46
20の商品名で商業的に入手してもよい。その後フォトレジストを、マスクを通
してスペクトルの可視、紫外又は赤外領域における光など光化学作用の放射に対
して像形成の暴露するか、あるいは電子線、イオン若しくは中性子線又はX線放
射で走査する。光化学作用放射は、不干渉光又は干渉光、たとえばレーザーから
の光の形式でもよい。その後フォトレジストを水性アルカリ溶液などの適切な溶
媒を用いて像形成現像する。任意にフォトレジストを、加熱してその像部分を硬
化させ、その後現像して非像部分を除去してビアマスクを画成する。その後ビア
を当業界で周知のエッチング技術によって形成する。次にフォトレジストをプラ
ズマエッチングによって無機誘電体表面から完全に除去する。このようなエッチ
ングを実行可能なプラズマ発生器は、米国特許第5,174,856号と第5,
200,031号に記載されている。
【0024】 次に、図2Bに示されるように、第6から第8ステップにおいて、フォトレジ
ストの除去部分の下の追加の第一誘電層、第二誘電層及び第一誘電層の部分が続
いて除去され、第一誘電層を通して少なくとも1つのビアを形成する。フォトレ
ジスト層の残りがステップ9で除去される。しかしながら、レジストが有機であ
ることから、これは第二有機層の除去と同時に除去されてもよい。有機低k誘電
体は、無機低k誘電体を除去しないエッチング化学物質によりエッチングされる
か、またはその逆である。
【0025】 次に、レジスト施用ステップ10が金属トレンチパターン化のために必要とさ
れる。別のフォトレジストが追加の無機誘電層の頂部に施用され、有機誘電層及
び無機誘電層中のビアをフォトレジストで充填する。図2Cは、レジスト物質層
の施用及びベーキング後の構造を示す。ステップ11において、金属トレンチマ
スクを通してレジストを像形成暴露し、追加の無機誘電層の頂部からフォトレジ
ストの一部を像形成除去し;一部を除去して、その追加の無機誘電層の厚さを通
してフォトレジストの一部を残す。その結果が図2Cにみられる。
【0026】 ステップ12は、追加の無機誘電層の頂部から除去されたフォトレジストの部
分の下に横たわる無機誘電層の部分を除去して、追加の無機誘電層中にトレンチ
を形成する。無機及び有機誘電体間の化学的相違のために、低k有機誘電体のプ
ラズマエッチング速度は、無機誘電体のプラズマエッチング速度より有意に低い
ものであるようにすることができる。結果として、有機誘電体の頂部の追加の無
機誘電体が除去されればエッチングは停止する。このアプローチにおいてはエッ
チストップは必要ではない。その結果は図2Dにみられる。
【0027】 次のステップ13により、追加の無機誘電層の頂部から及びビアからフォトレ
ジストの残りを除去し、その結果は図2Eに示される。その後、トレンチの内壁
及び床上並びにビアの内壁及び床上にバリヤーメタルをライニングし、トレンチ
及びビアをフィルメタルでバリヤーメタルライニングと接触させて充填して、図
1の構造を達成する。適するフィルメタルには、マイクロエレクトロニクスデバ
イスの形成において典型的に用いられる、アルミニウム、アルミニウム合金、銅
、銅合金、タンタル、タングステン、チタン若しくは他の金属又はそれらの混合
物が含まれる。その金属は、蒸着、スパッタリング、蒸発等の技術によって施用
することができる。銅が最も好ましい。金属層の厚さは、好ましくは、約3,0
00〜15,000オングオロームである。その金属は、ビア及びトレンチの壁
及び床上のバリヤーメタルシージング(seeing)層を初めに形成することにより
施用される。次いで、その金属の残りが施用される。本明細書中で使用されるよ
うに、“金属”という用語には金属のアマルガムが含まれる。バリヤーメタルは
、導電性金属の誘電層への拡散を防ぐのに寄与する。バリヤーメタルは、例えば
、Ti又はTaN、TiNのような窒化物であってもよい。銅の相互連結プロセ
ッシングを使用して、銅相互連結体の頂部に自己調整された(self-alligned)
金属バリヤーを形成する。頂部の金属バリヤーと共に、通常使用される窒化ケイ
素バリヤーは必要とされない。これらのステップを繰り返して、一連の適する複
数層と基板上の交互導電性通路とを提供して、図1の構造物を作製することが理
解される。図1に示される構造物は、幾つかの明瞭な特徴を有している。分離層
が必要とされる際は、IMD’s用の2つの異なる低k誘電性膜を使用する本発
明の方法と共に、低k誘電性膜が使用することができる。従来のアプローチにお
いては、CVD酸化物又は窒化ケイ素が通常分離層として使用される。これらの
従来の無機誘電体はどちらも、酸化ケイ素及び窒化ケイ素について、それぞれ、
4及び7という高い誘電率を有するという大きな欠点を有している。分離層の機
能に関して、これまで窒化ケイ素のような高誘電率材料は金属トレンチを開ける
際のエッチストップとして提供される。図1の構造物においては、ビア−レベル
IMD用に使用される有機低k誘電体は無機低k誘電体よりはるかに遅くエッチ
ングすることから、このようなエッチストップを有する必要がなく、従ってそれ
自身エッチストップである。
【0028】 本発明の第2プロセス実施態様が図3A〜3Dに示されているプロセスステッ
プにより表される。再度、プロセスフローは第1ビアレベルからインターコネク
トレベルまでカバーするが、同じプロセスステップをビアとインターコネクトの
上部レベルについて再度繰り返すことができる。上述の第1プロセス実施態様に
おけるのと同じ基板、有機誘電材料および無機誘電材料を使用できる。図3Aに
示されているように、上述の実施態様についてと同様に基板上に金属線のパター
ンを有することのできる基板から開始する。ステップ1では、基板上に第1無機
誘電材料層を形成し、ステップ2で第1誘電層上にフォトレジストの層を堆積す
る。ステップ3で、ビアマスク中にレジストの像形成露出があり、第1誘電層の
ための少なくとも一つのビアに対応するフォトレジストの部分を除去する。ステ
ップ4では、フォトレジストの除去した部分の下の第1誘電層の部分の同様の除
去があり、したがって、第1誘電層中に少なくとも一つのビアを形成する。次い
で、ステップ5で、フォトレジスト層の残りを除去する。図3Bに示されている
ように、次いで、ステップ6で、第2誘電層を第1誘電層上および少なくとも一
つのビア中に形成する。ステップ7で、第2誘電層上に追加の第1誘電層を形成
する。ステップ8で、フォトレジストの追加の層を追加の第1誘電層上に堆積す
る。ステップ9で、追加の第1誘電層および第2の誘電層の少なくとも一つのト
レンチに対応する追加のフォトレジストの一部を除去する。追加のフォトレジス
ト層の除去した部分の下の追加の第1誘電層および第2誘電層の部分を順次除去
した後、したがって、追加の第1誘電層および第2誘電層中の少なくとも一つの
トレンチを形成した後のステップ10および11の結果が図3Cおよび3Dに示
されている。追加のフォトレジスト層の残りも同時に除去する。トレンチの内部
壁および床上ならびにビアの内部壁および床上にバリアメタルをライニングし、
図1の構造を生じさせる上記と同様、トレンチおよびビアにフィルメタルで満た
す。追加のビアおよびメタルインターコネクトレベルについてプロセスを繰り返
すことができる。
【0029】 本発明の第3プロセス実施態様は図4A〜図4Eに示されているステップによ
り表される。プロセスフローは第1ビアレベルおよびインターコネクトレベルの
形成を示すが、同じプロセスステップを、ビアおよびインターコネクトの上部レ
ベルについて再度繰り返すことができる。
【0030】 図4Aは、第1無機低k誘電体の堆積であるステップ1、第1誘電層上の第2
有機低k誘電体の堆積であるステップ2、第2誘電材料上に位置する追加の第1
誘電材料層の堆積後の暫定構造を示す。次いで、ステップ4で、追加の第1誘電
層上にフォトレジスト層を堆積し焼き付けし、ステップ5で追加の第1誘電層お
よび第2誘電層の少なくとも一つのトレンチに対応するフォトレジストの一部を
除去する。図4Bは、フォトレジストの除去した部分の下の追加の第1誘電層の
部分を除去するステップ6の後の結果を示す。ステップ7は、フォトレジストの
除去した部分の下の第2誘電層をエッチングして追加の第1誘電層および第2誘
電層中の少なくとも一つのトレンチを形成し、次いで、フォトレジスト層の残り
を除去する。ステップ8は、第1誘電層および追加の第1誘電層上の追加のフォ
トレジスト層を堆積し、ステップ9は、ビアマスク中の追加のフォトレジスト層
を像形成露出し、第1誘電層の少なくとも一つのビアに対応する追加のフォトレ
ジストの一部を除去する。図4Cに結果が示されている。ステップ10は、追加
のフォトレジスト層の除去した部分の下の第1誘電層の部分を除去し、したがっ
て第1誘電層中の少なくとも一つのビアを形成する。ステップ11と12は任意
であり、所望により第2有機誘電層および無機誘電層の追加の部分を除去するの
に使用できる。図4Dに結果が示されている。図4Eは、追加のフォトレジスト
層の残りを除去するステップ13後の結果を示す。ビアレベル有機誘電層および
薄無機誘電層中のビアに、ならびに有機誘電エッチストップ層およびメタルレベ
ル無機誘電層中のトレンチにメタルを満たした後、図1の構造物が得られる。
【0031】 本発明を好適な実施態様に言及して特定的に示しかつ記載したが、本発明の精
神と範囲に逸脱しないで種々の変更および修正をすることができることを当業者
に容易に了解されよう。請求の範囲が、開示した実施態様、上記検討したような
代替物および全ての均等物を保護すると解釈すべきであることを意図する。
【図面の簡単な説明】
【図1】 図1は、本発明に従ったマイクロエレクトロニクスデバイス構造を
示す。
【図2】 図2Aは、無機低k誘電体堆積;有機低k誘電体堆積;追加の無機
低k誘電体堆積;レジストスピン及びベーク;並びにビアマスク露光及びレジス
ト現像後に得られる第一のマイクロエレクトロニクスデバイス形成法を示し、図
2Bは、上部レベルの無機低k誘電体エッチ、有機低k誘電体エッチ及び下部レ
ベルの無機低k誘電体エッチの後の方法結果を示し、図2Cは、レジスト除去、
レジストスピン及びベーク、マスク露光及びレジスト現像の後の方法結果を示し
、そして図2Dは、無機低k誘電体エッチの後の結果を示す。
【図3】 図3Aは、無機低k誘電体堆積;レジストスピン及びベーク;ビア
マスク露光及びレジスト現像並びに無機低k誘電体エッチの後に得られる第二の
マイクロエレクトロニクスデバイス形成法を示し、図3Bは、レジスト除去、有
機低k誘電体堆積、無機低k誘電体堆積、レジストスピン及びベーク、金属トレ
ンチマスク露光及びレジスト現像の後の結果を示し、図3Cは、無機低k誘電体
エッチの後の結果を示し、そして図3Dは、有機低k誘電体エッチ及びレジスト
除去の後の結果を示す。
【図4】 図4Aは、無機低k誘電体堆積;有機低k誘電体堆積;追加の無機
低k誘電体堆積;レジストスピン及びベーク;並びにトレンチマスク露光及びレ
ジスト現像後に得られる第三のマイクロエレクトロニクスデバイス形成法を示し
、図4Bは、無機低k誘電体エッチの後の結果を示す。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AL,AM,AT,AU, AZ,BA,BB,BG,BR,BY,CA,CH,C N,CR,CU,CZ,DE,DK,DM,EE,ES ,FI,GB,GD,GE,GH,GM,HR,HU, ID,IL,IN,IS,JP,KE,KG,KP,K R,KZ,LC,LK,LR,LS,LT,LU,LV ,MA,MD,MG,MK,MN,MW,MX,NO, NZ,PL,PT,RO,RU,SD,SE,SG,S I,SK,SL,TJ,TM,TR,TT,TZ,UA ,UG,UZ,VN,YU,ZA,ZW Fターム(参考) 5F004 EA28 EB03 EB05 5F033 GG00 GG01 GG02 HH08 HH09 HH11 HH12 HH18 HH19 HH21 HH32 HH33 JJ01 JJ08 JJ09 JJ11 JJ12 JJ18 JJ19 JJ21 JJ32 JJ33 KK08 KK09 KK11 KK12 KK18 KK19 KK21 KK32 KK33 MM02 MM12 MM13 NN06 NN07 PP14 PP15 PP19 QQ01 QQ09 QQ12 QQ25 QQ35 QQ74 RR06 RR09 RR23 RR25 SS21 SS22 TT04 XX24

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 (a)基板; (b)該基板の上に配置される第一の誘電性材料の層; (c)該第一誘電性材料の上に配置される第二の誘電性材料の層であって、該
    第一誘電性材料及び該第二誘電性材料は実質的に異なるエッチング抵抗特性を有
    しており; (d)該第二誘電性材料の上に配置される該第一誘電性材料の追加の層; (e)該第一誘電性材料及び該第二誘電性材料を通して伸びる少なくとも一つ
    のビア、及び該第一誘電性材料を通して少なくとも一つのビアに伸びる少なくと
    も一つのトレンチ; (f)該トレンチの内壁及び床並びに該ビアの内壁及び床を覆うバリアメタル
    のライニング; (g)該バリアメタルのライニングと接触して該トレンチ及びビアを充填する
    フィルメタル を含むマイクロエレクトロニクスデバイス。
  2. 【請求項2】 第一誘電性材料及びフィルメタルの追加の層上の第一誘電性材
    料の更なる層; 該更なる無機層上の第二誘電性材料の更なる層; 該第二誘電
    性材料の更なる層上の第一誘電性材料の別の層; 該第一誘電性材料の更なる層
    及び該第二誘電性材料の更なる層から伸びる少なくとも一つの追加のビア並びに
    該第一誘電性材料の別の層から該少なくとも一つの追加のビアに伸びる少なくと
    も一つの追加のトレンチ; 該追加のトレンチの内壁及び床並びに該追加のビア
    の内壁及び床を覆うバリアメタルのライニング; 該バリアメタルのライニング
    と接触して該追加のトレンチ及び追加のビアを充填するフィルメタルを含む、請
    求項1記載のマイクロエレクトロニクスデバイス。
  3. 【請求項3】 第一誘電性材料が無機であり、第二誘電性材料が有機である、
    請求項1記載のマイクロエレクトロニクスデバイス。
  4. 【請求項4】 フィルメタルが、アルミニウム、アルミニウム合金、銅、銅合
    金、タンタル、タングステン、チタン及びそれらの混合物からなる群から選択さ
    れる、請求項1記載のマイクロエレクトロニクスデバイス。
  5. 【請求項5】 誘電性層が、ケイ素を含有するポリマー、アルコキシシランポ
    リマー、シルセスキオキサンポリマー、シロキサンポリマー、ポリ(アリーレン
    エーテル)、フッ素化ポリ(アリーレンエーテル)、ナノポーラスシリカ及びそ
    れらの組み合わせからなる群から選択される材料を含んでなる、請求項1記載の
    マイクロエレクトロニクスデバイス。
  6. 【請求項6】 基板が、ガリウム砒素、ゲルマニウム、ケイ素、シリコンゲル
    マニウム、ニオブ酸リチウム、ケイ素を含有する組成物又はそれらの組み合わせ
    を含んでなる、請求項1記載のマイクロエレクトロニクスデバイス。
  7. 【請求項7】 バリアメタルが、チタン、窒化チタン、タンタル及び窒化タン
    タルからなる群から選択される材料を含んでなる、請求項1記載のマイクロエレ
    クトロニクスデバイス。
  8. 【請求項8】 マイクロエレクトロニクスデバイスを製造するための方法であ
    って、 (a)基板上に第一の誘電性層を形成し; (b)該第一誘電性層上に第二の誘電性層を形成し; (c)該第二誘電性層上に追加の第一誘電性層を形成し; (d)該追加の第二誘電性層上にフォトレジストの層を堆積させて、該第一誘
    電性層について少なくとも一つのビアに対応するフォトレジストの部分を像形成
    除去し; (e)続いて、該フォトレジストの除去された部分の下の該追加の第一誘電性
    層、該第二誘電性層及び該第一誘電性層の部分を除去することにより、該第一誘
    電性層を通して少なくとも一つのビアを形成し;次いで、該フォトレジスト層の
    残りを除去し; (f)該追加の第一誘電性層上に追加のフォトレジスト層を堆積させて、該追
    加の第一誘電性層について少なくとも一つのトレンチに対応する該追加のフォト
    レジストの部分を像形成除去し; (g)該追加のフォトレジスト層の除去された部分の下の該追加の第一誘電性
    層の部分を除去することにより、該追加の第一誘電性層を通して少なくとも一つ
    のトレンチを形成し; (h)場合により、該追加のフォトレジスト層の除去された部分の下の該第二
    誘電性層の部分を除去し; (i)該追加のフォトレジスト層の残りを除去し; (j)該トレンチの内壁及び床並びに該ビアの内壁及び床上にバリアメタルを
    ライニングし; (k)該トレンチ及びビアをフィルメタルで該バリアメタルのライニングに接
    触して充填すること、を含んでなる方法。
  9. 【請求項9】 更に、追加の第一誘電性層及びフィルメタル上に工程(a)〜
    (k)を少なくとも1回繰り返すことを含んでなる、請求項8記載の方法。
  10. 【請求項10】 第一の誘電性材料が無機であり、第二の誘電性材料が有機で
    ある、請求項8記載の方法。
  11. 【請求項11】 フィルメタルが、アルミニウム、アルミニウム合金、銅、銅
    合金、タンタル、タングステン、チタン及びそれらの混合物からなる群から選択
    される、請求項8記載の方法。
  12. 【請求項12】 誘電性層が、ケイ素を含有するポリマー、アルコキシシラン
    ポリマー、シルセスキオキサンポリマー、シロキサンポリマー、ポリ(アリーレ
    ンエーテル)、フッ素化ポリ(アリーレンエーテル)、ナノポーラスシリカ及び
    それらの組み合わせからなる群から選択される材料を含んでなる、請求項8記載
    の方法。
  13. 【請求項13】 基板が、ガリウム砒素、ゲルマニウム、ケイ素、シリコンゲ
    ルマニウム、ニオブ酸リチウム、ケイ素を含有する組成物又はそれらの組み合わ
    せを含んでなる、請求項8記載の方法。
  14. 【請求項14】 バリアメタルが、チタン、窒化チタン、タンタル及び窒化タ
    ンタルからなる群から選択される材料を含んでなる、請求項8記載の方法。
  15. 【請求項15】 マイクロエレクトロニクスデバイスを製造するための方法で
    あって、 (a)基板上に第一の誘電性層を形成し; (b)該第一誘電性層上にフォトレジストの層を堆積させて、該第一誘電性層
    について少なくとも一つのビアに対応する該フォトレジストの部分を像形成除去
    し; (c)該フォトレジストの除去された部分の下の該第一誘電性層の部分を除去
    することにより、該第一誘電性層を通して少なくとも一つのビアを形成し;次い
    で、該フォトレジスト層の残りを除去し; (d)該第一誘電性層上及び該少なくとも一つのビア中に第二の誘電性層を形
    成し; (e)第二誘電性層上に追加の第一誘電性層を形成し; (f)該追加の第一誘電性層上に追加のフォトレジスト層を堆積させて、該追
    加の第一誘電性層及び該第二誘電性層について少なくとも一つのトレンチに対応
    する該追加のフォトレジストの部分を像形成除去し; (g)続いて、該追加のフォトレジスト層の除去された部分の下の該追加の第
    一誘電性層及び該第二誘電性層の部分を除去することにより、該追加の第一誘電
    性層及び該第二誘電性層を通して少なくとも一つのトレンチを形成し; (h)該追加のフォトレジスト層の残りを除去し; (i)該トレンチの内壁及び床並びに該ビアの内壁及び床上にバリアメタルを
    ライニングし; (k)該トレンチ及びビアをフィルメタルで該バリアメタルのライニングに接
    触して充填すること、を含んでなる方法。
  16. 【請求項16】 更に、追加の第一誘電性層及びフィルメタル上に工程(a)
    〜(j)を少なくとも1回繰り返すことを含んでなる、請求項15記載の方法。
  17. 【請求項17】 第一の誘電性材料が無機であり、第二の誘電性材料が有機で
    ある、請求項15記載の方法。
  18. 【請求項18】 フィルメタルが、アルミニウム、アルミニウム合金、銅、銅
    合金、タンタル、タングステン、チタン及びそれらの混合物からなる群から選択
    される、請求項15記載の方法。
  19. 【請求項19】 誘電性層が、ケイ素を含有するポリマー、アルコキシシラン
    ポリマー、シルセスキオキサンポリマー、シロキサンポリマー、ポリ(アリーレ
    ンエーテル)、フッ素化ポリ(アリーレンエーテル)、ナノポーラスシリカ及び
    それらの組み合わせからなる群から選択される材料を含んでなる、請求項15記
    載の方法。
  20. 【請求項20】 基板が、ガリウム砒素、ゲルマニウム、ケイ素、シリコンゲ
    ルマニウム、ニオブ酸リチウム、ケイ素を含有する組成物又はそれらの組み合わ
    せを含んでなる、請求項15記載の方法。
  21. 【請求項21】 バリアメタルが、チタン、窒化チタン、タンタル及び窒化タ
    ンタルからなる群から選択される材料を含んでなる、請求項15記載の方法。
  22. 【請求項22】 マイクロエレクトロニクスデバイスを製造するための方法で
    あって、 (a)基板上に第一の誘電性層を形成し; (b)該第一誘電性層上に第二の誘電性層を形成し; (c)該第二誘電性層上に追加の第一誘電性層を形成し; (d)該追加の第一誘電性層上にフォトレジストの層を堆積させて、該追加の
    第一誘電性層及び第二誘電性層について少なくとも一つのトレンチに対応するフ
    ォトレジストの部分を像形成除去し; (e)続いて、該フォトレジストの除去された部分の下の該追加の第一誘電性
    層及び該第二誘電性層の部分を除去することにより、該追加の第一誘電性層及び
    該第二誘電性層を通して少なくとも一つのトレンチを形成し;次いで、該フォト
    レジスト層の残りを除去し; (f)該第一誘電性層及び該追加の第一誘電性層上に追加のフォトレジスト層
    を堆積させて、該追加の第一誘電性層について少なくとも一つのビアに対応する
    該追加のフォトレジストの部分を像形成除去し; (g)該追加のフォトレジスト層の除去された部分の下の該第一誘電性層の部
    分を除去することにより、該第一誘電性層を通して少なくとも一つのビアを形成
    し; 場合により、該追加のフォトレジスト層の除去された部分の下の該追加の
    第一誘電性層及び該第二誘電性層の部分を除去し; (h)該追加のフォトレジスト層の残りを除去し; (i)該トレンチの内壁及び床並びに該ビアの内壁及び床上にバリアメタルを
    ライニングし; (j)該トレンチ及びビアをフィルメタルで該バリアメタルのライニングに接
    触して充填すること、を含んでなる方法。
  23. 【請求項23】 更に、追加の第一誘電性層及びフィルメタル上に工程(a)
    〜(j)を少なくとも1回繰り返すことを含んでなる、請求項22記載の方法。
  24. 【請求項24】 第一の誘電性材料が無機であり、第二の誘電性材料が有機で
    ある、請求項22記載の方法。
  25. 【請求項25】 フィルメタルが、アルミニウム、アルミニウム合金、銅、銅
    合金、タンタル、タングステン、チタン及びそれらの混合物からなる群から選択
    される、請求項22記載の方法。
  26. 【請求項26】 誘電性層が、ケイ素を含有するポリマー、アルコキシシラン
    ポリマー、シルセスキオキサンポリマー、シロキサンポリマー、ポリ(アリーレ
    ンエーテル)、フッ素化ポリ(アリーレンエーテル)、ナノポーラスシリカ及び
    それらの組み合わせからなる群から選択される材料を含んでなる、請求項22記
    載の方法。
  27. 【請求項27】 基板が、ガリウム砒素、ゲルマニウム、ケイ素、シリコンゲ
    ルマニウム、ニオブ酸リチウム、ケイ素を含有する組成物又はそれらの組み合わ
    せを含んでなる、請求項22記載の方法。
  28. 【請求項28】 バリアメタルが、チタン、窒化チタン、タンタル及び窒化タ
    ンタルからなる群から選択される材料を含んでなる、請求項22記載の方法。
JP2001522585A 1999-09-08 2000-09-08 デュアルダマシンプロセスにおける低誘電率エッチストップ層 Withdrawn JP2003509847A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/391,721 US6498399B2 (en) 1999-09-08 1999-09-08 Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US09/391,721 1999-09-08
PCT/US2000/024770 WO2001018861A1 (en) 1999-09-08 2000-09-08 Low dielectric-constant etch stop layer in dual damascene process

Publications (1)

Publication Number Publication Date
JP2003509847A true JP2003509847A (ja) 2003-03-11

Family

ID=23547674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001522585A Withdrawn JP2003509847A (ja) 1999-09-08 2000-09-08 デュアルダマシンプロセスにおける低誘電率エッチストップ層

Country Status (6)

Country Link
US (1) US6498399B2 (ja)
JP (1) JP2003509847A (ja)
KR (1) KR20020037356A (ja)
CN (1) CN1387680A (ja)
AU (1) AU7128800A (ja)
WO (1) WO2001018861A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
JP2007516848A (ja) * 2003-12-24 2007-06-28 キャベンディッシュ・キネティックス・リミテッド デバイス収容方法および対応装置

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2399453B (en) * 2000-01-19 2004-11-03 Trikon Holdings Ltd Methods and apparatus for forming a film on a substrate
JP2002299337A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2002299441A (ja) * 2001-03-30 2002-10-11 Jsr Corp デュアルダマシン構造の形成方法
US6839808B2 (en) * 2001-07-06 2005-01-04 Juniper Networks, Inc. Processing cluster having multiple compute engines and shared tier one caches
US20030096090A1 (en) * 2001-10-22 2003-05-22 Boisvert Ronald Paul Etch-stop resins
US6734116B2 (en) * 2002-01-11 2004-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene method employing multi-layer etch stop layer
US6787466B2 (en) * 2002-02-15 2004-09-07 Applied Materials, Inc. High throughout process for the formation of a refractory metal nucleation layer
KR101051276B1 (ko) 2002-04-02 2011-07-22 다우 글로벌 테크놀로지스 엘엘씨 이중 다마신 배선의 패터닝을 위한 3층 마스킹 구조물
KR100482179B1 (ko) * 2002-12-16 2005-04-14 동부아남반도체 주식회사 반도체 소자 제조방법
US7387740B2 (en) * 2003-01-17 2008-06-17 Sutech Trading Limited Method of manufacturing metal cover with blind holes therein
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
JP2004342873A (ja) * 2003-05-16 2004-12-02 Tokyo Electron Ltd 半導体装置およびその製造方法
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7341941B2 (en) * 2005-08-19 2008-03-11 Texas Instruments Incorporated Methods to facilitate etch uniformity and selectivity
US7767579B2 (en) * 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US8119531B1 (en) * 2011-01-26 2012-02-21 International Business Machines Corporation Mask and etch process for pattern assembly
US10532490B2 (en) 2016-04-06 2020-01-14 Davis-Standard, Llc Plasticating apparatus screw having grooves of varying angles and depths

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JPH10189543A (ja) * 1996-12-26 1998-07-21 Sony Corp コンタクトホールの形成方法
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer
US6097095A (en) * 1999-06-09 2000-08-01 Alliedsignal Inc. Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
JP2007516848A (ja) * 2003-12-24 2007-06-28 キャベンディッシュ・キネティックス・リミテッド デバイス収容方法および対応装置
JP4658966B2 (ja) * 2003-12-24 2011-03-23 キャベンディッシュ・キネティックス・リミテッド マイクロメカニカル素子の収容方法およびマイクロメカニカル素子の形成方法

Also Published As

Publication number Publication date
CN1387680A (zh) 2002-12-25
US20020142577A1 (en) 2002-10-03
US6498399B2 (en) 2002-12-24
WO2001018861A1 (en) 2001-03-15
AU7128800A (en) 2001-04-10
KR20020037356A (ko) 2002-05-18

Similar Documents

Publication Publication Date Title
US6770975B2 (en) Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6583047B2 (en) Method for eliminating reaction between photoresist and OSG
US6831005B1 (en) Electron beam process during damascene processing
US6395607B1 (en) Integrated circuit fabrication method for self-aligned copper diffusion barrier
US8450854B2 (en) Interconnect structures with patternable low-k dielectrics and method of fabricating same
US9484248B2 (en) Patternable dielectric film structure with improved lithography and method of fabricating same
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US6589862B2 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6097095A (en) Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
US6452275B1 (en) Fabrication of integrated circuits with borderless vias
US6383912B1 (en) Fabrication method of integrated circuits with multiple low dielectric-constant intermetal dielectrics
US20030205815A1 (en) Fabrication method of integrated circuits with borderless vias and low dielectric constant inter-metal dielectrics
AU2002232816A1 (en) Method for eliminating reaction between photoresist and organosilicate glass

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20071204