JP2002141471A - 半導体集積回路設計方法と半導体集積回路 - Google Patents

半導体集積回路設計方法と半導体集積回路

Info

Publication number
JP2002141471A
JP2002141471A JP2000334041A JP2000334041A JP2002141471A JP 2002141471 A JP2002141471 A JP 2002141471A JP 2000334041 A JP2000334041 A JP 2000334041A JP 2000334041 A JP2000334041 A JP 2000334041A JP 2002141471 A JP2002141471 A JP 2002141471A
Authority
JP
Japan
Prior art keywords
delay
wiring
circuit
layout
delay time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000334041A
Other languages
English (en)
Other versions
JP3553010B2 (ja
Inventor
Tadayuki Kawai
忠行 河合
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2000334041A priority Critical patent/JP3553010B2/ja
Publication of JP2002141471A publication Critical patent/JP2002141471A/ja
Application granted granted Critical
Publication of JP3553010B2 publication Critical patent/JP3553010B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Logic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 製品動作を保証するため電源電圧および温度
の一定範囲での動作確認を行っているが、ワーストケー
ス以外の条件ではドライバの駆動能力が過大なものにな
っている。 【解決手段】 遅延部分19が遅延素子と配線遅延より
なり温度または電圧の変化に対応して切換信号を出力す
る検出回路1と、遅延部分23が前記検出回路内の動作
切換素子と配線遅延よりなり、それぞれ異なる駆動能力
を持つ複数のバッファーを有する出力回路2とを備え、
予め遅延素子4〜6の遅延時間をそれぞれ異なる時間に
設定するとともに、配線遅延20〜22および24〜2
6を配置配線後に遅延時間を各系統毎に計算し遅延時間
が均等になるよう調整する。電源電圧または温度の変化
とともに遅延時間が変動するのでフリップフロップ7〜
9によりクロックの立ち上がりエッジで観測し後段の出
力ドライバ10〜12から必要な駆動能力を持つものを
1〜複数個選択し入力信号を伝搬させる動的な自己切換
機能を備える。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、温度または電源電
圧の変動に応じてバッファーの駆動能力を自動的に切り
換える半導体集積回路に関し、特に、ハーフミクロン以
細のVLSI(超大規模集積回路)とその設計に関する
ものである。
【0002】
【従来の技術】半導体集積回路では、動作を保証するた
め動作電圧および動作温度に対して一定範囲での動作確
認を行っている。温度または電源電圧の変動に応じてバ
ッファーの駆動能力を自動的に切り換えて温度、電圧の
変化による駆動能力の変化を自動的に抑制するようにし
た半導体集積回路として特開平7−249739号公報
などに記載されたものが知られている。
【0003】具体的には、従来の半導体装置では図10
(a)に示すような出力切換回路を内蔵している。な
お、図面の構成上(3系統記載)同一セル列に並べて記
載されており、実際のレイアウトでは同一セル列に並ぶ
とは限らない。
【0004】検出回路1と出力回路2で構成されたこの
回路は、温度または電圧の変化を検出回路1において遅
延差で検出し、この出力で出力回路2の駆動能力を切り
換えている。3は判定基準信号、4〜6はそれぞれ異な
る遅延時間を持つ遅延素子、7〜9は出力ドライバ選択
用フリップフロップ、10〜12はそれぞれ異なる駆動
能力を持つ出力ドライバ、13は出力信号である。18
は判定基準信号用ドライバである。
【0005】図9において、14はワーストケース時の
温度および電圧における遅延時間を示し、15は通常時
の温度および電圧における遅延時間を示し、16はベス
トケース時の温度および電圧における遅延時間を示す。
【0006】このワーストケース14(電圧低,温度
高)での動作を保証するため各信号毎あるいはツリー状
に構成されたドライバの駆動能力を調整してワーストケ
ースにおいて動作マージンを持つよう設定しているた
め、ワーストケース以外の条件ではドライバの駆動能力
が過大なものになっており、温度または電源電圧の変動
に応じてバッファーの駆動能力を自動的に切り換えて温
度、電圧の変化による駆動能力の変化を自動的に抑制し
ている。
【0007】図10(b)は図10(a)をレイアウト
配置した平面図で、17はセル列を示しており、各ユニ
ットは最短距離で配線されている。
【0008】
【発明が解決しようとする課題】従来技術では、急速に
微細化とともに低電圧化と高速化が進むハーフミクロン
以細のVLSIにおいて配線による遅延がゲート遅延に
比べ大きく支配的であるにも拘わらず、図10(b)に
示すようにマスクレイアウト後の配置配線による遅延が
全く考慮されておらず配線遅延による誤動作を引き起こ
してしまう。また、予め選択した2箇所の条件でしか駆
動能力を切り換えることができない。
【0009】本発明は、温度または電源電圧の変動に応
じてバッファーの駆動能力を自動的に切り換えて温度、
電圧の変化による駆動能力の変化を自動的に抑制するこ
とができるとともに、ハーフミクロン以細のVLSIに
おいてもタイミングエラーを生じない確実な動作を期待
できる半導体集積回路設計方法と半導体集積回路を提供
することを目的とする。
【0010】
【課題を解決するための手段】本発明の請求項1記載の
半導体集積回路は、遅延時間が互いに異なる遅延素子を
有し温度または電圧の変化に対応して切換信号を出力す
る複数系統の検出回路と、それぞれ異なる駆動能力のバ
ッファーを有し前記検出回路からの切換信号に基づいて
使用するドライバが切り換えられる複数系統の出力回路
とを備え、前記検出回路と前記出力回路で構成される各
系統における配線遅延量の差が小さくなるように、最も
配線遅延量が大きな系統の配線遅延量に近づくように残
りの系統の配線レイアウトを設定した回路ブロックを有
することを特徴とする。
【0011】本発明の請求項2記載の半導体集積回路設
計方法は、遅延時間が互いに異なる遅延素子を有し温度
または電圧の変化に対応して切換信号を出力する複数系
統の検出回路と、それぞれ異なる駆動能力のバッファー
を有し前記検出回路からの切換信号に基づいて使用する
ドライバが切り換えられる複数系統の出力回路とを備
え、前記検出回路と前記出力回路で構成される各系統に
おける配線遅延量の差が小さくなるように、最も配線遅
延量が大きな系統の配線遅延量に近づくように残りの系
統の配線レイアウトを設定するに際し、前記検出回路と
出力回路とを系統毎にグルーピングしマスクレイアウト
上の同じセル列に集中的に配置し、前記配置配線による
遅延時間の調整を同一セル列内での入れ替えることによ
り行い配線面積を抑制することを特徴とする。
【0012】本発明の請求項3記載の半導体集積回路設
計方法は、マスクレイアウト前に配置結果を予め統計処
理された統計情報に基づき予測し配線の長さが均等とな
るよう予め用意した配線によるユニット遅延を持つセル
を配線遅延の調整量に応じて1〜複数個をネットリスト
中の当該ネットに挿入して配置配線することを特徴とす
る。
【0013】本発明の請求項4記載の半導体集積回路設
計方法は、マスクレイアウト前にネットリスト中から予
め統計処理された統計情報とセルライブラリによりタイ
ミングが厳しいネットを抽出し、ネットリスト中に、請
求項1記載の回路ブロックを自動挿入してタイミングエ
ラーを抑制することを特徴とする。
【0014】本発明の請求項5記載の半導体集積回路設
計方法は、遅延時間が互いに異なる遅延素子を有し温度
または電圧の変化に対応して切換信号を出力する複数系
統の検出回路と、それぞれ異なる駆動能力のバッファー
を有し前記検出回路からの切換信号に基づいて使用する
ドライバが切り換えられる複数系統の出力回路とを備
え、前記検出回路と前記出力回路で構成される各系統に
おける配線遅延量の差が小さくなるように、最も配線遅
延量が大きな系統の配線遅延量に近づくように残りの系
統の配線レイアウトを設定するに際し、階層レイアウト
のフロアプランの実施において、判定基準信号を発生す
る素子から前記検出回路の入力までの入力遅延を予めフ
ロアプラン情報から遅延時間を予測し事前に前記検出回
路の遅延部分から差し引くことで判定基準信号の入力遅
延を打ち消し判定範囲を一定とすることを特徴とする。
【0015】
【発明の実施の形態】以下、本発明の各実施の形態を図
1〜図8に基づいて説明する。 (実施の形態1)図1〜図4は本発明の(実施の形態
1)を示す。
【0016】なお、従来例を示す図9,図10と同一の
作用を成すものには同一の符号を付けて説明する。19
は温度または電圧の変化を検出するための遅延素子と配
線遅延で構成される遅延部分で、遅延素子はそれぞれ異
なる遅延時間を持つ遅延素子4〜6で構成され、配線遅
延は前段の遅延素子4〜6の出力から、後段に配置され
て温度または電圧の変化の判定結果を保持し後段の出力
回路10〜12を選択するフリップフロップ7〜9に至
る配線遅延20〜22とで構成されている。
【0017】23はフリップフロップ7〜9とこの出力
から出力回路10〜12の制御端子に至る配線遅延24
〜26とで構成される遅延部分である。この実施の形態
では、配線のレイアウトを調節して配線遅延20〜2
2,24〜26を最適化している。具体的には、図1
(b)に示すように配線27a,28aで示すように、
従来例を示す図10(b)の配線27b,28bに比べ
て適切な遅延量が得られるようレイアウトが変更されて
いる。
【0018】半導体集積回路の設計は、図3(a)に示
すようにチップレイアウトの一部のエリア29に図1の
回路を構成する場合、最短距離で配線すると図3(b)
(図10(b)と同じ )ようにレイアウトを決定した
後、CAD(コンピュータ支援による設計装置)を使用
して図4に示す処理フローの波線で囲んだルーチン30
でレイアウトを変更して最終的なレイアウトを決定して
半導体集積回路を製造する。
【0019】図4において、30aは回路の系統毎の配
線遅延を計算する配置配線工程、30bは回路の系統毎
の配線遅延量を計算する工程、30cは配線遅延の調整
量計算工程、30dは回路の系統毎の配線遅延が一致し
ているか判断する工程、30eはマスクレイアウト上か
ら配線を追加するための空き領域を探索する工程、30
fは空き領域内で配線を行い配線遅延を生成する工程、
30gは配線による遅延時間を差し引いた遅延時間とな
るよう遅延素子を置き替える遅延素子の遅延時間再設定
工程である。
【0020】上記の処理を更に詳しく説明する。まず、
図1(a)の判定基準信号3は温度または電圧の変動を
検出するために基準となる信号の入力であり、クロック
(CLK)を入力とした例で説明する。
【0021】図1(a)の遅延素子4〜6は通常動作条
件において図2(a)のタイムチャートとなるようにそ
の遅延量を予め設定しておく。例えば、CLKを80n
sとすると遅延素子4の遅延時間に30ns、遅延素子
5の遅延時間に60ns、遅延素子6の遅延時間に90
nsをそれぞれ設定する。
【0022】出力ドライバ選択用のフリップフロップ7
〜9は遅延素子4〜6の出力信号をクロックの立ち上が
りエッジで取り込んで出力ドライバ10〜11の状態を
制御する信号を発生する。図2(a)〜図2(c)では
フリップフロップ7〜9のデータ入力端子をそれぞれA
〜Cとしている。
【0023】出力ドライバ10〜12の駆動能力は、温
度または電圧の変動による遅延時間の変動がワースト条
件で例えば2倍、ベスト条件で例えば1/2になるとす
ると、出力ドライバ11が1倍、出力ドライバ10が
1.5倍、出力ドライバ12が0.5倍となるように設
定しておく。
【0024】この場合、図2(a)の通常条件でのタイ
ムチャートのようにフリップフロップ8の出力がHとな
り、出力ドライバ11がオン状態となり、駆動能力1倍
で入力信号を伝搬する。
【0025】次にワースト条件の時には、遅延素子4〜
6による遅延時間は遅延素子4が60ns、遅延素子5
が120ns、遅延素子6が180nsとなり、図2
(b)のようにフリップフロップ7および9の出力がH
となり、出力ドライバ10,12がオン状態となり、駆
動能力1.5+0.5=2倍で入力信号を伝搬する。
【0026】次にベスト条件の時には、遅延素子4〜6
による遅延時間が15ns、30ns、45nsとな
り、図2(c)のようにフリップフロップ9の出力がH
となり、出力ドライバ12がオン状態となり、駆動能力
0.5倍で入力信号を伝搬する。
【0027】回路構成上は以上の設定で動作するが、益
々微細化および高速化が進展するハーフミクロン以細の
VLSIでは、配線による遅延時間が回路内部の遅延時
間に比べて大きく、益々支配的なものになって来る。
【0028】そこで、図4のルーチン30により配置配
線による配線遅延を均等に制御しなければ動作しない。
ルーチン30を詳細に説明する。
【0029】図3(b)は図1(a)に記載の各素子の
マスクレイアウト後の配置配線例であり、図4の配置配
線工程30aを終えた状態である。この状態で、フリッ
プフロップ7〜8のデータ入力端子A,B,Cへ至る経
路に存在する配線遅延を配線遅延の計算工程30bで算
出し、一番大きな遅延時間に合わせるよう配線遅延の調
整量計算工程30cでそれぞれ算出する。
【0030】配線遅延一致判定工程30dでデータ入力
端子A,B,Cへ至る経路の配線遅延が等しくなってい
るかを判定し、等しくなければマスクレイアウト上の空
き領域探索工程30eで配線追加を行える領域を設定す
る。
【0031】具体的には、例えば配線に使用するそれぞ
れのレイヤのデザインルールから幅とセパレーションを
取り出し、次式を満たして残っている領域は配線が1本
以上通過できるため次式に従って配線レイヤをオーバー
サイジングする。
【0032】オーバーサイジング量 = 幅/2 +
セパレーション − 0.001 次に、空き領域での配線遅延生成工程30fで図1
(a)に示し配線遅延の調整が必要な既存配線の一部を
切断し前記空き領域に配線27a,28aを追加する。
【0033】もし、配線遅延の調整が不十分な場合には
配線遅延の計算工程30bで計算し工程30c〜30f
を配線遅延が一致するまで繰り返し行うことでより確実
に本発明回路の系統毎の遅延を調整させる。
【0034】この後、遅延素子の遅延時間再設定工程3
0gで配線遅延20〜22の配線よる遅延時間分を遅延
素子4〜6の遅延時間から差し引いた値を持つ遅延素子
にそれぞれ置き替える。予め遅延素子のセル枠および端
子位置を統一しておくことで他のパスに全く影響を及ぼ
さず設計値通りの制御ができる。
【0035】また、ツリー状の構成を持つネット(例え
ばクロック)の最終段のドライバを本発明の回路に置き
換え、フリップフロップから後段の出力ドライバに至る
配線遅延24〜26を系統間で一定時間の間隔を置き制
御信号が伝搬するように図4で処理して配線遅延24〜
26の配線遅延を設定することにより、タイミングエラ
ーの削減と同時にピーク時の消費電力を抑制しスイッチ
ングノイズを低減できる。
【0036】次に、系統毎にグルーピングしマスクレイ
アウト上の同じセル列に集中的に配置し、前記配置配線
による遅延時間の調整を同一セル列内での入れ替えるこ
とにより行い配線面積を抑制する点について説明する。
【0037】図5と図6は(実施の形態1)の図4に示
す工程30b〜30gの具体例の説明図を示す。図5
(a)は比較例の配線遅延調整前のレイアウト例で、セ
ル列を跨ってセルが配置されている。図5(a)におい
て31は遅延を調整する対象となる配線である。32は
配線を調整するためセルを移動する際に障害となる配線
の範囲を示している。
【0038】図5(b)は別の比較例の配線遅延調整後
のレイアウト例で、33は配線がもっとも混雑する部分
を示している。図6(a)は配線遅延調整前のレイアウ
ト例で、回路の系統毎に同一のセル列17に配置されて
いる。34cは配線を調整するためセルを移動する際に
障害となる配線の範囲である。
【0039】図6(b)は配線遅延調整後のレイアウト
例で、35は配線がもっとも混雑する部分を示してい
る。まず、図5(a)(b)に示す比較例では、配置配
線工程の際に回路全体をグルーピングしているため同じ
系統の回路(例えば図1(a)の4,7,10)がセル
列を跨って配置されてしまい、配線遅延を調整する際に
既存の配線が邪魔になり迂回するため配線遅延の調整前
より配線が2本分増える。このため、セル列間の間隔を
広げることができない場合には配線遅延の調整が不可能
となる。
【0040】これに対して、図6(a)(b)に示す実
施の形態では、図4の配置配線工程30aの配置の際に
回路全体をグルーピングして配置するのではなくて、回
路の系統毎(例えば図1(a)の4と7と10とを同じ
グループ)にグルーピングし同一のセル列17に配置す
る。
【0041】これにより、同じセル列での入れ替えでは
横方向の配線が既に存在するため移動による配線の増加
が最小に抑えられ配線遅延の調整前より図6(b)の3
5に示す通り部分的に1本分ずつ増えるに留まる。ま
た、既存配線の上を別の配線レイヤーにより配線するこ
とにより増加を防げる。
【0042】もし、配線遅延の調整が不十分な場合には
配線遅延の計算工程30bで計算し30c〜30fを配
線遅延が一致するまで繰り返し行うことでより確実に回
路の系統毎の遅延を調整することができる。
【0043】この後、遅延素子の遅延時間再設定工程3
0gで配線遅延20,21,22よる遅延時間分を遅延
素子4,5,6の遅延時間から差し引いた値を持つ遅延
素子にそれぞれ置き替える。予め遅延素子のセル枠およ
び端子位置を統一しておくことで他のパスに全く影響を
及ぼさず設計値通りの制御ができる。
【0044】次に、マスクレイアウト前に配置結果を予
め統計処理された統計情報に基づき予測し配線の長さが
均等となるよう予め用意した配線によるユニット遅延を
持つセルを配線遅延の調整量に応じて1〜複数個をネッ
トリスト中の当該ネットに挿入して配置配線する点につ
いて説明する。
【0045】図4において、36は本発明の回路を挿入
する対象を指定するクリティカルパス指定工程、37は
予め統計処理された統計情報で例えばWLM(ワイヤー
・ロード・モデル=出力端子に接続されている論理素子
数毎の負荷モデル)の統計情報あるいはCWLM(カス
タム・ワイヤー・ロード・モデル=対象データの出力端
子に接続されている論理素子数毎の負荷モデル)の統計
情報などである。38は工程37の統計情報を元に配線
遅延を予測する工程、39はネットリストへの遅延挿入
工程である。
【0046】図7は同実施の形態のレイアウト後の拡大
図で、遅延調整セル700,701は図1の27a,2
8aに相当する。700,701の中身を配線だけで構
成した場合には、、セル間を接続する配線と合わせて2
7a,28aと同一である。しかし、700,701の
中身を抵抗器とコンデンサとで代用し温度または電圧の
変化に対して配線と同一に作用させられる。
【0047】クリティカルパス指定工程36でピン名あ
るいはネット名で指定された回路を挿入する対象に対し
て工程37の統計情報を元に配線遅延の予測工程38に
おいて対象のネットに接続されている論理素子数などか
ら遅延値を回路の系統毎に計算し、ネットリストへの遅
延挿入工程39において回路の系統毎(例えば図1
(a)の4と20)に遅延値が均等になるようにネット
リスト中の当該箇所に予め用意したユニット単位(例え
ば 0.01ns,0.1ns,1ns単位)の遅延値
を持つ遅延調整セルをそれぞれ1〜複数個を挿入するこ
とによりレイアウトと同時に遅延値が均等となるレイア
ウトを得られる。
【0048】もし、配線遅延の調整が不十分な場合には
配線遅延の計算工程30bで計算し30c〜30fを配
線遅延が一致するまで繰り返し行うことでより確実に回
路の系統毎の遅延を調整する。
【0049】この後、遅延素子の遅延時間再設定工程3
0gで配線遅延20〜22よる遅延時間分を遅延素子4
〜6の遅延時間から差し引いた値を持つ遅延素子にそれ
ぞれ置き替える。予め遅延素子のセル枠および端子位置
を統一しておくことで他のパスに全く影響を及ぼさず設
計値通りの制御ができる。
【0050】次に、マスクレイアウト前にネットリスト
中から予め統計処理された統計情報とセルライブラリに
よりタイミングが厳しいネットを抽出し、ネットリスト
中に図1の回路を自動挿入することによりタイミングエ
ラーを抑制する点について説明する。
【0051】図4において40はネットリスト中の全パ
スのタイミングをチェックするタイミングチェック工
程、41は本発明の回路を挿入する対象をタイミングチ
ェックの結果から自動選択するクリティカルパス選択工
程、42はタイミングチェックに使用する論理セルのラ
イブラリであり、各セルのドライブ能力や入力負荷情報
等を予め登録してある。
【0052】タイミングチェック工程40で工程37の
統計情報とセルライブラリ42を元に静的なタイミング
チェックによりネットリスト中の全パスのタイミングを
計算し、クリティカルパス選択工程41で予め指定され
たネットおよび一定以上の遅延を持つネットあるいはセ
ットアップ時間またはホールド時間に対して一定時間以
下のマージンを持つネット等の条件で対象を自動的に選
択し、ネットリストへの遅延挿入工程39で回路の系統
毎(例えば図1(a)の4と20)に遅延値が均等にな
るようにネットリスト中の当該箇所に予め用意した配線
によるユニット単位(例えば 0.01ns,0.1n
s,1ns毎)の遅延値を持つ遅延調整セルを1〜複数
個挿入することによりタイミングが厳しい全ネットに対
してレイアウトと同時に回路の系統間で遅延値が均等と
なるレイアウトが得られる。
【0053】もし、配線遅延の調整が不十分な場合には
配線遅延の計算工程30bで計算し30c〜30fを配
線遅延が一致するまで繰り返し行うことでより確実に本
発明回路の系統毎の遅延を調整させる。
【0054】この後、遅延素子の遅延時間再設定工程3
0gで配線遅延20〜22よる遅延時間分を遅延素子4
〜6の遅延時間から差し引いた値を持つ遅延素子にそれ
ぞれ置き替える。予め遅延素子のセル枠および端子位置
を統一しておくことで他のパスに全く影響を及ぼさず設
計値通りの制御ができる。
【0055】次に、階層レイアウトのフロアプランを実
施する形態において、判定基準信号を発生する素子から
前記検出回路の入力までの入力遅延を予めフロアプラン
情報から遅延時間を予測し事前に前記検出回路の遅延部
分から差し引くことで判定基準信号の入力遅延を打ち消
し判定範囲を一定とする点について説明する。
【0056】図4において、43はチップのフロアプラ
ンを決める工程、44はチップのフロアプラン情報、4
5はフロアプラン情報44を元に配線遅延を予測する工
程である。
【0057】図8(a)はブロックのレイアウト例で、
46は図1(a)の回路47が形成されたブロックであ
る。図8(b)は階層レイアウト時のチップレイアウト
例で、48は他のブロックである。
【0058】図8(c)は図8(a)のブロックレイア
ウト時のタイムチャート(実線で示す)と図8(b)の
チップレイアウト時のタイムチャート(破線で示す)を
示している。
【0059】図8(b)のように配置配線の結果、外部
端子あるいは内部回路より出力される判定基準信号から
検出回路の入力までの遅延(以下、入力遅延と記す)が
ブロックのレイアウト時の見積りより大きい場合、前記
検出回路内の遅延時間に配置配線で予測される最大の遅
延時間を動作切換のマージンとして持たす必要がある。
【0060】例えばハーフミクロン以細のVLSIでは
大規模化に対応するため階層レイアウトが避けられなく
なって来ているが、フロアプラン上、当初見積りより大
きく異なる位置にブロックを配置せざるを得ない等の場
合、予めフロアプラン時に図4のフロアプラン情報44
の配置情報を出力し入力遅延の予測工程45において遅
延時間を予測し事前に検出回路内および出力回路の制御
信号に至る配置配線による遅延時間を各系統毎(例えば
図1(a)の4と20)に入力遅延を含めて計算し、図
4の配線遅延の予測工程38または配線遅延の計算工程
30bで、その調整量から入力遅延値分を予め差し引
く、あるいは検出回路内の遅延素子による遅延量から入
力遅延値分を差し引いた遅延値を持つ遅延セルに置き替
えることで動作切換のマージンが最小となり判定基準信
号の入力遅延を打ち消し判定の範囲を一定にできる。
【0061】もし、配線遅延の調整が不十分な場合には
配線遅延の計算工程30bで計算し30c〜30fを配
線遅延が一致するまで繰り返し行うことでより確実に回
路の系統毎の遅延を調整させる。
【0062】この後、遅延素子の遅延時間再設定工程3
0gで配線遅延20〜22よる遅延時間分を遅延素子4
〜6の遅延時間から差し引いた値を持つ遅延素子にそれ
ぞれ置き替える。予め遅延素子のセル枠および端子位置
を統一しておくことで他のパスに全く影響を及ぼさず設
計値通りの制御ができる。
【0063】なお、上記の実施の形態では、判定基準信
号をクロックとしたが、リングオシレータのような回路
中の信号に依存しない信号でも良い。なお、上記の実施
の形態では、判定基準信号をクロックとしたが、独立の
判定信号として外部から与える構成ても良い。このよう
に構成することで例えばLSIの動作開始時(またはL
SI中の通常スリープ状態で特殊な処理を行う時だけ動
作する特定の回路群の起動時)あるいはシステムで予め
設定した一定時間毎(例えば1時間毎、1秒毎など)に
判定信号を入力し温度または電源電圧の変動に対応して
内部動作を切り換えることができ、判定回路内の消費電
力を抑制できる。
【0064】なお、上記の実施の形態では、温度または
電源電圧の変動に対応して動作を切り換えるポイントお
よび出力ドライバの数を3としたが判定回路内の遅延部
分を系統間の遅延時間の間隔を狭め多数の段数とし、ス
ケーラブルに動作を切り換える構成としても良い。この
ように構成することで温度または電源電圧の変動に対し
てよりタイミングエラーに強く安定した動作ができる。
【0065】
【発明の効果】以上のように、本発明はハーフミクロン
以細のVLSIにおいてCADと組み合わせることによ
り配置配線による遅延を精度良く制御し温度または電源
電圧の変動を検出することにより、動作条件に応じてダ
イナミックかつスケーラブルに駆動能力を変化させるこ
とによりタイミングエラーを削減するとともに、ツリー
構造を持つ信号(例えばクロック)の最終段のドライバ
をチップ上の回路群毎に一定時間遅延させ後段に伝搬す
るように調整でき、また温度および電源電圧の変動によ
る遅延がワーストケース以外の時は駆動ドライバの能力
を下げ、ピーク時の消費電力を低減し電源電圧降下を抑
制することでスイチングノイズを削減することができ開
発のリードタイムの短縮とノイズの低減を図れる。
【図面の簡単な説明】
【図1】本発明の実施の形態の回路構成図とチップレイ
アウト図
【図2】同実施の形態の通常時とワースト時およびベス
ト時のタイムチャート図
【図3】同実施の形態のチップレイアウト説明図
【図4】同実施の形態の配線遅延の調整手段を示すフロ
ーチャート図
【図5】レイアウト後の比較例の拡大図
【図6】同実施の形態のレイアウト後の拡大図
【図7】同実施の形態のレイアウト後の拡大図
【図8】同実施例のブロックとチップレイアウトの説明
【図9】従来の半導体集積回路の遅延時間の特性図
【図10】従来の半導体集積回路の回路図とレイアウト
【符号の説明】
1 検出回路 2 出力回路 3 判定基準信号 4〜6 遅延素子 7〜9 出力ドライバ選択用フリップフロップ 10〜12 出力ドライバ 13 出力信号 17 レイアウト上のセル列 18 判定基準信号用ドライバ 19 検出回路の遅延部分 20〜22 配線遅延 23 動作切換の制御信号の遅延部分 24〜26 配線遅延 27a,28a 配線遅延の調整のため追加された配線 30a 配置配線工程 30b 配線遅延の計算工程 30c 配線遅延の調整量計算工程 30d 配線遅延一致判定工程 30e マスクレイアウト上の空き領域探索工程 30f 空き領域内での配線遅延生成工程 30g 遅延素子の遅延時間再設定工程 31 比較例における配線遅延の調整対象 32 比較例における配線遅延を調整する際、障害とな
る範囲 33 比較例における配線遅延の調整後、もっとも混雑
する範囲 34a,34b 配線遅延の調整対象 34c 配線遅延を調整する際に障害となる範囲 35 配線遅延の調整後にもっとも混雑する範囲 36 クリティカルパス指定工程 37 統計情報データベース 38 配線遅延の予測工程 39 ネットリストへの遅延挿入工程 40 タイミングチェック工程 41 クリティカルパス選択工程 42 セルライブラリ 43 フロアプラン工程 44 フロアプラン情報 45 入力遅延の予測工程 46 ブロックレイアウト
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H03K 19/0175 Fターム(参考) 5B046 AA08 BA05 BA06 JA03 KA06 5F038 CA03 CD09 DF07 DF17 DT12 EZ08 EZ09 EZ10 EZ20 5F064 BB19 BB26 BB33 BB40 DD02 DD14 DD39 EE02 EE03 EE08 EE14 EE15 EE16 EE47 FF09 FF36 HH06 HH09 HH10 HH12 5J056 AA04 AA39 BB28 BB40 EE15 FF01 FF08 HH00 HH03 KK00

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】遅延時間が互いに異なる遅延素子を有し温
    度または電圧の変化に対応して切換信号を出力する複数
    系統の検出回路と、 それぞれ異なる駆動能力のバッファーを有し前記検出回
    路からの切換信号に基づいて使用するドライバが切り換
    えられる複数系統の出力回路とを備え、 前記検出回路と前記出力回路で構成される各系統におけ
    る配線遅延量の差が小さくなるように、最も配線遅延量
    が大きな系統の配線遅延量に近づくように残りの系統の
    配線レイアウトを設定した回路ブロックを有する半導体
    集積回路。
  2. 【請求項2】遅延時間が互いに異なる遅延素子を有し温
    度または電圧の変化に対応して切換信号を出力する複数
    系統の検出回路と、 それぞれ異なる駆動能力のバッファーを有し前記検出回
    路からの切換信号に基づいて使用するドライバが切り換
    えられる複数系統の出力回路とを備え、前記検出回路と
    前記出力回路で構成される各系統における配線遅延量の
    差が小さくなるように、最も配線遅延量が大きな系統の
    配線遅延量に近づくように残りの系統の配線レイアウト
    を設定するに際し、 前記検出回路と出力回路とを系統毎にグルーピングしマ
    スクレイアウト上の同じセル列に集中的に配置し、前記
    配置配線による遅延時間の調整を同一セル列内での入れ
    替えることにより行い配線面積を抑制する半導体集積回
    路設計方法。
  3. 【請求項3】マスクレイアウト前に配置結果を予め統計
    処理された統計情報に基づき予測し配線の長さが均等と
    なるよう予め用意した配線によるユニット遅延を持つセ
    ルを配線遅延の調整量に応じて1〜複数個をネットリス
    ト中の当該ネットに挿入して配置配線する半導体集積回
    路設計方法。
  4. 【請求項4】マスクレイアウト前にネットリスト中から
    予め統計処理された統計情報とセルライブラリによりタ
    イミングが厳しいネットを抽出し、ネットリスト中に、
    請求項1記載の回路ブロックを自動挿入してタイミング
    エラーを抑制する半導体集積回路設計方法。
  5. 【請求項5】遅延時間が互いに異なる遅延素子を有し温
    度または電圧の変化に対応して切換信号を出力する複数
    系統の検出回路と、 それぞれ異なる駆動能力のバッファーを有し前記検出回
    路からの切換信号に基づいて使用するドライバが切り換
    えられる複数系統の出力回路とを備え、前記検出回路と
    前記出力回路で構成される各系統における配線遅延量の
    差が小さくなるように、最も配線遅延量が大きな系統の
    配線遅延量に近づくように残りの系統の配線レイアウト
    を設定するに際し、 階層レイアウトのフロアプランの実施において、判定基
    準信号を発生する素子から前記検出回路の入力までの入
    力遅延を予めフロアプラン情報から遅延時間を予測し事
    前に前記検出回路の遅延部分から差し引くことで判定基
    準信号の入力遅延を打ち消し判定範囲を一定とする半導
    体集積回路設計方法。
JP2000334041A 2000-11-01 2000-11-01 半導体集積回路設計方法 Expired - Fee Related JP3553010B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000334041A JP3553010B2 (ja) 2000-11-01 2000-11-01 半導体集積回路設計方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000334041A JP3553010B2 (ja) 2000-11-01 2000-11-01 半導体集積回路設計方法

Publications (2)

Publication Number Publication Date
JP2002141471A true JP2002141471A (ja) 2002-05-17
JP3553010B2 JP3553010B2 (ja) 2004-08-11

Family

ID=18810032

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000334041A Expired - Fee Related JP3553010B2 (ja) 2000-11-01 2000-11-01 半導体集積回路設計方法

Country Status (1)

Country Link
JP (1) JP3553010B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100595534B1 (ko) 2005-01-29 2006-07-03 엘지전자 주식회사 지연셀을 추가한 플립-플롭을 이용한 홀드 바이올레이션교정 방법
WO2012120792A1 (ja) * 2011-03-09 2012-09-13 パナソニック株式会社 三次元集積回路設計装置、三次元集積回路設計方法、プログラム
US8994405B1 (en) 2013-09-06 2015-03-31 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100595534B1 (ko) 2005-01-29 2006-07-03 엘지전자 주식회사 지연셀을 추가한 플립-플롭을 이용한 홀드 바이올레이션교정 방법
WO2012120792A1 (ja) * 2011-03-09 2012-09-13 パナソニック株式会社 三次元集積回路設計装置、三次元集積回路設計方法、プログラム
US8566762B2 (en) 2011-03-09 2013-10-22 Panasonic Corportion Three-dimensional integrated circuit design device, three-dimensional integrated circuit design, method, and program
JP5853139B2 (ja) * 2011-03-09 2016-02-09 パナソニックIpマネジメント株式会社 三次元集積回路設計装置、三次元集積回路設計方法、プログラム
US8994405B1 (en) 2013-09-06 2015-03-31 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device

Also Published As

Publication number Publication date
JP3553010B2 (ja) 2004-08-11

Similar Documents

Publication Publication Date Title
US5883808A (en) Logic circuit optimization apparatus and its method
JP4501728B2 (ja) クロストークエラー制御装置、クロストークエラー制御方法およびクロストークエラー制御プログラム
US6904572B2 (en) Method, apparatus and program for designing a semiconductor integrated circuit by adjusting loading of paths
US8316339B2 (en) Zone-based leakage power optimization
US6425115B1 (en) Area efficient delay circuits
TWI640883B (zh) 電腦可讀存儲介質及積體電路的電壓降和電遷移的分析方法
US8255851B1 (en) Method and system for timing design
KR20090077692A (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 프로그램 및반도체 장치의 제조 시스템
US20070079273A1 (en) Method and computer program for incremental placement and routing with nested shells
US20080129362A1 (en) Semiconductor device and method of designing semiconductor device
JPS64821B2 (ja)
US11574101B2 (en) Techniques for providing optimizations based on categories of slack in timing paths
US10699045B2 (en) Methods and apparatus for regulating the supply voltage of an integrated circuit
US10977415B2 (en) Integrated device and method of forming the same
US20020095643A1 (en) Method and apparatus for revising wiring of a circuit to prevent electro-migration
US8381149B2 (en) Timing analysis apparatus, timing analysis method, and timing analysis program
JP3553010B2 (ja) 半導体集積回路設計方法
US7191416B2 (en) System and method for modifying integrated circuit hold times
US8006208B2 (en) Reducing coupling between wires of an electronic circuit
JP2003281212A (ja) 自動配置配線方法、装置及びプログラム
US7509603B2 (en) Semiconductor integrated circuit and design method thereof
US20100257500A1 (en) System for placing elements of semiconductor integrated circuit, method of placing elements thereon, and program for placing elements
JPH10321725A (ja) 半導体集積回路の設計方法及び装置
JP4778339B2 (ja) 自動配置方法、装置、及びプログラム
JP2006261458A (ja) クロックツリー安定化装置、および半導体装置

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040330

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040427

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090514

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100514

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110514

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees