JP2002141348A - 成膜方法、半導体装置の製造方法、および成膜装置 - Google Patents

成膜方法、半導体装置の製造方法、および成膜装置

Info

Publication number
JP2002141348A
JP2002141348A JP2000322849A JP2000322849A JP2002141348A JP 2002141348 A JP2002141348 A JP 2002141348A JP 2000322849 A JP2000322849 A JP 2000322849A JP 2000322849 A JP2000322849 A JP 2000322849A JP 2002141348 A JP2002141348 A JP 2002141348A
Authority
JP
Japan
Prior art keywords
film
power
parallel plate
film forming
sif
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000322849A
Other languages
English (en)
Other versions
JP3712356B2 (ja
Inventor
Yoichi Suzuki
洋一 鈴木
Tsutomu Shimayama
努 島山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP2000322849A priority Critical patent/JP3712356B2/ja
Priority to US10/004,489 priority patent/US20020048969A1/en
Publication of JP2002141348A publication Critical patent/JP2002141348A/ja
Application granted granted Critical
Publication of JP3712356B2 publication Critical patent/JP3712356B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 膜質が安定なフッ素含有シリコン絶縁膜を形
成できる成膜方法および成膜装置、並びに半導体装置の
製造方法を提供する。 【解決手段】 成膜装置10は、反応チャンバ12内に
設けられた平行平板型電極16、22と、SiH4、S
iF4および酸素ソース物質を含むプロセスガスを反応
チャンバ12内に導入するためのガス供給源20、3
2、34、バルブ36、38、40、およびガス混合室
28と、プロセスガスのプラズマを生成するためのRF
電力を供給する電力源44と、を備える。この成膜装置
10では、電力源44は、平行平板型電極16、22に
1000W以上のRF電力を供給可能である。この装置
10において、SiH4、SiF4およびN2Oを含むプ
ロセスガスのプラズマを生成して、フッ素含有シリコン
酸化膜をウエハ14上に堆積する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、成膜方法、半導体
装置の製造方法、および成膜装置に関する。
【0002】
【従来の技術】集積回路の微細化が進むにつれて、配線
間隔も小さくなってきている。この微細な配線間隔を絶
縁物で埋めるために、高密度プラズマ(HDP)CVD装
置が必要である。
【0003】また、配線間隔の微細化にともなって、配
線間のキャパシタンスも大きくなっている。このキャパ
シタンスを低減するために、層間絶縁膜に比誘電率がよ
り小さい絶縁膜、つまり低誘電率膜を採用することが行
われている。このような低誘電率膜には、フッ素を含有
したシリコン絶縁膜が採用されている。
【0004】つまり、従来においては、小さな配線間を
埋めるために、HDP装置が必要であり、また、低誘電
率膜を形成するために、このための原料ガスとして有機
シラン系ガスが用いられてきた。
【0005】
【発明が解決しようとする課題】最近、微細LSIのた
めの配線にダマシン構造を適用することが着目されてい
る。ダマシン構造では、配線間を絶縁膜で埋めることが
ないので、高価なHDP装置を必ずしも用いる必要がな
い。例えば、平行平板型プラズマ装置を用いて層間絶縁
膜としてFSG膜を形成することが考えられる。このC
VD装置では、シリコン層間酸化膜を形成する際にTE
OSを原料ガスとして用いる。
【0006】しかしながら、平行平板型プラズマ装置を
用いて形成されたFSGは時間の経過にともなって膜質
が変化してしまうので、このFSG膜は安定性に欠け
る。
【0007】そこで、本発明の目的は、膜質が安定なフ
ッ素含有シリコン絶縁膜を形成できる成膜方法および成
膜装置、並びに半導体装置の製造方法を提供することと
した。
【0008】
【課題を解決するための手段】本発明に係わる成膜方法
は、平行平板型電極を有する半導体製造装置において基
板上にシリコン絶縁膜を形成する。この成膜方法は、
(1)SiH4、SiF4および酸素ソース物質を含むプロ
セスガスをプラズマ化して、フッ素を含むシリコン絶縁
膜を基板上に堆積する堆積ステップを備える。また、こ
の成膜方法は、(2)SiH4、SiF4および酸素ソース
物質を含むプロセスガスをチャンバ内に導入する導入ス
テップを備えることができる。
【0009】本発明は、基板上にダマシン構造の導電部
を有する半導体装置の製造方法に係わる。この半導体装
置の製造方法は、以下のステップ、(3)平行平板型電極
を有する半導体製造装置に導入されたSiH4、SiF4
および酸素ソース物質を含むプロセスガスをプラズマ化
して、フッ素を含むシリコン絶縁膜を基板上に堆積する
堆積ステップと、(4)シリコン絶縁膜にダマシン構造の
導電部を形成するダマシンステップと、を備える。
【0010】本発明に係わる半導体装置の製造方法で
は、ダマシンステップは、(4-1)シリコン絶縁膜に凹部
を形成するステップと、(4-2)凹部に導電材料を形成す
るステップと、を有する。
【0011】TEOSに比べたとき、SiH4およびS
iF4を含むプロセスガスは、結果として得られるシリ
コン絶縁膜を構成する元素を主要に含む。このため、シ
リコン絶縁膜中に炭素、水素が取り込まれる可能性が小
さい。また、SiF4はSi−F結合を含むので、シリ
コン絶縁膜中にフッ素が容易に取り込まれる。
【0012】ダマシン構造では導電部の間をシリコン絶
縁膜により埋め込むことがないので、平行平板型電極を
有する半導体製造装置を用いて形成されたシリコン絶縁
膜を利用できる。
【0013】本発明に係わる成膜方法および半導体装置
の製造方法では、平行平板型電極に印加されるRF電力
は1000W以上であることができる。発明者は、良好
なシリコン絶縁膜を得るためには、RFパワーを高くす
ることが好適であることを発見にした。大きなRFパワ
ーを加えると、SiH4およびSiF4を含むプロセスガ
スを十分に分解できる。発明者の実験によれば、RF電
力が1000W以上において、膜質の優れた成膜が可能
になった。
【0014】本発明に係わる成膜方法および半導体装置
の製造方法では、平行平板型電極に印加されるRF電力
は1400W以上であることができる。RF電力が14
00W以上において比誘電率の経時変化が小さい膜が得
られた。発明者の実験によれば、RFパワーが高くする
ことは、比誘電率の経時変化が小さくするために好適で
ある。
【0015】本発明に係わる成膜方法および半導体装置
の製造方法では、平行平板型電極に印加されるRF電力
は、4W/sccm以上であることができる。発明者
は、良好なシリコン絶縁膜を得るためには、単位流量当
たりのRFパワーもまた、膜特性に影響を与えることを
発見にした。発明者の実験によれば、この値は、シリコ
ン供給源である(SiH4+SiF4)の流量に関して4W
/sccm以上である。
【0016】本発明に係わる成膜方法および半導体装置
の製造方法では、SiH4に対するSiF4の流量比は1
より大きいことが好ましい。発明者の実験によれば、こ
の流量比は、シリコン絶縁膜のフッ素含有量に影響を与
えることを発見した。
【0017】本発明に係わる成膜方法および半導体装置
の製造方法では、平行平板電極に印加されるRF電力は
単一の周端数で変調されているようにしてもよい。発明
者は、単一の周端数のRF電力によって、プロセスガス
を十分に分解できることを発見した。
【0018】本発明に係わる成膜方法および半導体装置
の製造方法では、酸素ソース物質には、N2O、NO、
23、N25、NO3、N24およびNO2といった窒
素酸化物が含まれ、またCO、CO2およびH2Oといっ
た酸素化合物が含まれ、さらにはO2、O3をといった酸
素元素からなる物質も含まれる。
【0019】本発明に係わる成膜方法および半導体装置
の製造方法では、堆積ステップにおける反応チャンバ内
の圧力は666Pa以下であることができる。この圧力
は、シリコン絶縁膜の膜厚の面内均一性に影響があるこ
とを発見した。666Pa以下に反応チャンバ内の圧力
を保つことによって、実用的な均一性を得ることができ
る。また、反応チャンバ内の圧力が522Pa以下に保
たれると、さらに好適な結果である。
【0020】本発明に係わる成膜方法および半導体装置
の製造方法では、堆積ステップにおける成膜温度は48
0℃以下であることができる。発明者は、アルミニウム
とシリコンとが共晶合金を形成する温度より低い温度で
も、上記の成膜が可能であることを発見した。
【0021】本発明に係わる成膜方法および半導体装置
の製造方法において形成されたシリコン絶縁膜の比誘電
率が3.4以下であるものも得ることができた。
【0022】また、本発明に係わる半導体装置の製造方
法は、基板上にダマシン構造の導電部を有する。この半
導体装置の製造方法は、以下のステップ、(5)平行平板
型電極を有する半導体製造装置のチャンバ内に導入され
たSiH4、SiF4および酸素ソース物質を含むプロセ
スガスのプラズマを生成して、フッ素を含む第1のシリ
コン絶縁膜を基板上に堆積するステップと、(6)基板上
に第2のシリコン絶縁膜を堆積するステップと、(7)第
1および第2のシリコン絶縁膜にダマシン構造の導電部
を形成するステップと、を備える。
【0023】本発明に係わる半導体装置の製造方法で
は、ステップ(6)では、平行平板型電極を有する半導体
製造装置のチャンバ内に導入されたSiH4、SiF4
よび酸素ソース物質を含むプロセスガスのプラズマを生
成して、フッ素を含むシリコン絶縁膜を堆積することが
できる。
【0024】本発明に係わる半導体装置の製造方法で
は、ステップ(7)は、(7-1)第1および第2のシリコン絶
縁膜の各々に複数の凹部を形成するステップと、(7-2)
複数の凹部に導電部を形成するステップと、を有する。
【0025】本発明に係わる半導体装置の製造方法で
は、ステップ(6)に先立って、基板上にアルミニウムを
含む導電部を形成するステップを更に備えることができ
る。ステップ(6)における成膜温度は480℃以下であ
る。この温度によれば、シリコンとアルミニウムとから
なる共晶合金が形成されにくい。
【0026】本発明に係わる半導体装置の製造方法で
は、ステップ(6)の後にステップ(7)が行われ、さらにス
テップ(6)の後であって、且つステップ(7)に先立って、
窒素を含むシリコン絶縁膜を形成するステップを更に備
えることができる。シリコン絶縁膜を第1および第2の
シリコン絶縁膜の間に設ければ、第1および第2のシリ
コン絶縁膜の各々に形成される各凹部の深さを制御する
ことが容易になる。
【0027】また、本発明に係わる成膜装置は、(8)チ
ャンバ内に設けられた平行平板型電極と、(9)SiH4
SiF4および酸素ソース物質を含むプロセスガスをチ
ャンバ内に導入するための手段と、(10)プロセスガスの
プラズマを生成するためのRF電力を供給する電力源
と、を備える。この成膜装置では、電力源は、平行平板
型電極に1000W以上のRF電力を供給可能である。
【0028】上記の構成によって、本発明に係わる成膜
方法および半導体装置の製造方法において使用可能な成
膜装置が提供される。
【0029】本発明に係わる成膜装置では、平行平板電
極の間隔は0.5cmc以上1.75cm以下である。
発明者は、この間隔を小さくすると、膜質が向上するこ
とを発見した。
【0030】本発明に係わる成膜装置では、電力源は、
平行平板電極に印加されるRF電力を単一の周端数で変
調することができる。
【0031】さらに、本発明に係わる半導体集積回路装
置は、(11)複数の半導体能動素子と、(12)シリコン絶縁
膜と、(13)導電部と、を備える。複数の半導体能動素子
は、基板に設けられている。シリコン絶縁膜はフッ素を
含むと共に、複数の半導体能動素子上に設けられてい
る。 導電部は、複数の半導体能動素子を相互に接続す
るようにシリコン絶縁膜内に設けられている。この半導
体集積回路装置では、導電部はダマシン構造の配線を有
する。この半導体集積回路装置では、シリコン絶縁膜
は、平行平板型電極を有する半導体製造装置において、
SiH4、SiF4および酸素ソース物質を含むプロセス
ガスを用いて形成されている。
【0032】
【発明の実施の形態】本発明の上記の目的および他の目
的、特徴、並びに利点は、添付図面を参照して進められ
る本発明の好適な実施の形態の以下の詳細な記述からよ
り容易に明らかになる。可能な場合には、同一の部分に
は同一の符号を付して重複する説明を省略する。
【0033】(第1の実施の形態)図1は、本実施の形態
に係わるシリコン絶縁膜を形成する方法を実施できる化
学的気相成長(CVD)装置を示している。
【0034】CVD装置10は、所望の真空度に減圧可
能な処理チャンバ12を備える。処理チャンバ12内に
は、シリコンウエハといった被処理基板14を支持する
ための基板支持手段、例えばペデスタル16が設けられ
ている。ペデスタル16には、シリコンウエハ14を加
熱するために、セラミックスヒータといった加熱手段1
8が設けられている。加熱手段18は、システム全体を
制御するマイクロコンピュータ、メモリといった制御手
段20によって制御されている。このため、加熱手段1
8は、ペデスタル16の温度、つまりステージ温度が成
膜に好適な成膜温度に保たれるように制御する。これに
よって、被処理基板14の温度は、加熱手段18によっ
て制御される。
【0035】処理チャンバ12内には、ガス分配プレー
ト22が、ペデスタル16に対面するように設けられて
いる。ガス分配プレート22は、基板14に一様にガス
を供給するようにペデスタル16と平行に配置されてい
る。ガス分配プレート22は中空プレートであって、ペ
デスタル16と対面する面には、複数のガス供給孔24
が配置されている。ガス分配プレート22の内部空間に
は、配管26を介して処理チャンバ外部のガス混合室2
8から所定にプロセスガスが供給される。成膜に必要な
原料ガスおよびキャリアガスは、ガス混合室28におい
て予め均一に混合されている。本実施の形態では、シリ
コン絶縁膜、特にフッ素含有シリコン酸化膜(例えば、
FSG膜と呼ばれる膜がある)の形成を行うため、プロ
セスガス供給源30、32、24を備える。SiH4
ス供給源30、SiF4ガス供給源32、および酸素ソ
ース物質(例えば、N2O)供給源34は、それぞれ流量
調節バルブ36、38、40を介してガス混合室28に
接続されている。酸素ソース物質は、シリコン絶縁膜中
においてSi−O結合を形成する酸素を提供するための
酸素供給物質である。プロセスガス供給源は、さらに、
プラズマ生成のためのArといった不活性ガス供給源を
備えることができる。ガス流量調節バルブ36、38、
40は制御手段20によって制御できるので、各ガスの
流量が相互に関連するように調整される。ガス分配プレ
ート22は、アルミニウムといった導電性材料から形成
されている。
【0036】処理チャンバ12には、真空ポンプといっ
た真空排気手段42が接続されている。真空ポンプを動
作させると、処理チャンバ12内部が所望の真空度に減
圧可能になる。真空排気手段42もまた、制御手段20
によって制御される。
【0037】CVD装置10は、平行平板型電極を備え
る。この一対の電極は、ペデスタル16と、ガス分配プ
レート22とから構成される。この一対の電極の間隔
は、好ましくは0.5cm以上1.75m以下の範囲が
好適である。この一対の電極は、RFパワージェネレー
タといったRF電力発生手段44が接続されている。R
Fパワージェネレータは、1000W(好ましくは14
00W)以上2000W以下のRFパワーを一対の電極
に印加できる。この印加パワーの電力のオン/オフおよ
び大きさは、制御手段20によって制御できる。RFパ
ワージェネレータ44の周波数は、13.56MHzを
採用したけれども、これに限定されるものではない。周
波数は、3MHz以上30MHz以下の範囲を使用でき
る。
【0038】以上、説明したように装置を用いると、フ
ッ素含有シリコン絶縁膜を形成できる。このフッ素含有
シリコン絶縁膜はシリコンおよび酸素に加えて、少なく
ともフッ素を含む誘電膜である。
【0039】(第2の実施の形態)次に、図1および図2
(a)〜図2(d)を参照しながら、CVD装置10を用い
てシリコン酸化膜を基板上に形成する手順について説明
する。
【0040】シリコンウエハといった基板2の主面上に
は、層間絶縁膜3および金属配線4が堆積されている。
被処理体14は、基板2、層間絶縁膜3および金属配線
4からなる。加熱手段18によって、ステージ温度が3
00℃以上480℃以下の範囲のいずれかの温度になる
ように調整される。図2(a)に示されるように、被処理
体14をCVD装置10のペデスタル16上に配置す
る。
【0041】まず、フッ素含有のシリコン酸化膜(FS
G膜)5を被処理体14上に形成する。この成膜条件
は、図3の試行条件の欄に示されている。図3を参照す
ると、13.56MHzのRF電力パワーが1500
W、平行平板電極間距離が1cm、チャンバ内圧力49
3Pa(3.7torr)、N2Oガス流量が1500s
ccm、SiH4ガス流量が115sccm、およびS
iF4ガス流量が130sccmである。なお、scc
mは、standard cubic centimeter per minuteを示す。
【0042】CVD装置10において、引き続き、窒素
含有シリコン絶縁膜6をFSG膜5上に形成する。窒素
含有のシリコン絶縁膜は、FSG膜をエッチングするエ
ッチングガスに対してエッチング率が小さい膜であり、
エッチング停止膜として作用する。
【0043】続いて、CVD装置10において、FSG
膜7をシリコン絶縁膜6上に形成する。この成膜条件
は、図3の試行条件の欄に示されているものと同一のも
のを使用できるが、これに限定されるものではない。
【0044】次に、CVD装置10で、FSG膜7上に
窒素含有のシリコン絶縁膜8を形成する。窒素含有のシ
リコン絶縁膜8は、FSG膜をエッチングする際に反射
防止膜として作用する。なお、窒素含有のシリコン絶縁
膜6、8としては、Si34膜、SiN膜、SiON膜
といったシリコン窒化膜がある。
【0045】引き続いて、FSG膜5、窒素含有のシリ
コン絶縁膜6、FSG膜7、窒素含有のシリコン絶縁膜
8に凹部を形成する。まず、配線形状が反映されたパタ
ーンを窒素含有のシリコン絶縁膜8にフォトリソグラフ
ィ法およびドライエッチング法によって形成する。次い
で、パターン形成されたシリコン絶縁膜8をマスクにし
て、ドライエッチングによってFSG膜7に凹部9aを
形成する。このエッチングは、エッチング停止膜6に到
達するまで進行する。エッチング停止層6に到達する
と、エッチング速度が低くなり、実質的にエッチングが
進行しない。続いて、ビア孔の位置が反映されたパター
ンを窒素含有のシリコン絶縁膜6にフォトリソグラフィ
法およびドライエッチング法によって形成する。次い
で、パターン形成されたシリコン絶縁膜6をマスクにし
て、ドライエッチングによってFSG膜5に凹部9bを
形成する。このエッチングは、下地配線層4に到達する
まで進行する。
【0046】この後に、凹部9a、9bを金属材料で埋
め込み平坦化して、ダマシン導電部を形成する。これま
で説明した一連の製造ステップは、必要に応じて繰り返
し適用される。これによって多層配線が得られる。
【0047】なお、上記の成膜手順においてシリコン酸
化膜を形成するために無機シラン系ガスを採用している
ので、同一のCVDチャンバにおいて、シリコン酸化膜
の形成に引き続いて、無機シラン系ガスを用いて成膜さ
れる窒素含有シリコン絶縁膜を容易に形成できる。
【0048】発明者は、図3に示された試行条件だけで
なく、図3に示された変更範囲の条件において実験を行
った。
【0049】図4は、RFパワーをパラメータとしたと
きにSiFピーク強度と屈折率の変動との関係を示して
いる。また、図4は、RFパワー1400以上2000
Wまでの範囲において形成されたFSG膜に関する特性
を示している。横軸は、FT−IRスペクトルにおける
SiFピークをSiF/(SiF+SiO)の百分比とし
て示し、比誘電率もまた示している。縦軸は、クリーン
ルーム内に3日間放置の前後における屈折率の変化|n
after−nbefore|を示している。nbeforeは放置前の
屈折率であり、nafterは放置後の屈折率である。シン
ボル”◆”がRFパワー2000W、シンボル”●”が
RFパワー1800W、シンボル”▲”がRFパワー1
600W、シンボル”■”がRFパワー1400Wのデ
ータをそれぞれ示す。RFパワー2000Wでは、変化
率0.007未満であり、RFパワー1800Wでは、
0.0085未満であり。RFパワー1600Wでは、
0.010以下であり、RFパワー1400Wでは、
0.012未満である。図4によれば、RFパワーが大
きいほど、屈折率変化が小さい膜、つまり膜質が安定な
膜が得られた。
【0050】また、発明者は、RFパワーが1000W
以上において膜質の経時変化が小さいシリコン酸化膜が
得られることを発見した。
【0051】さらに、発明者は、RFパワーのみではな
く、SiH4ガスおよびSiF4ガスの合計流量に対する
印加RFパワーの比率も重要であることを発見した。こ
の値は、4W/sccm以上である。
【0052】さらにまた、上記の実験では、ウエハ1枚
当たりのRFパワーが1000W以上において好適な膜
質を得た。このとき、パワー密度は、パワー1000W
のとき3.18W/cm2である。パワー密度は、パワ
ー1400Wのとき4.46W/cm2であり、パワー
1600Wのとき5.10W/cm2であり、パワー1
800Wのとき5.73W/cm2であり、パワー20
00Wのとき6.37W/cm2である。
【0053】加えて、発明者は高RFパワーの下で成膜
する際には、SiF4の流量をSiH4の流量に対して大
きくすることが望ましいことを発見した。この条件は、
膜中のフッ素含有量を制御するために有効である。
【0054】図5は、図3に試行条件に掲げられた成膜
パラメータのいずれか1つを変化させたとき、SiFピ
ーク強度と屈折率の変動との関係を示している。横軸は
FT−IRスペクトルにおけるSiFピークをSiF/
(SiF+SiO)の百分比として示している。縦軸は、
クリーンルーム内に3日間放置した前後における屈折率
の変化|nafter−nbefore|を示している。
【0055】図5には、曲線C1〜C5が示されてい
る。曲線C1〜C5は、膜中のフッ素濃度を変化させる
ためにSiH4ガス流量に対してSiF4ガス流量を変化
させている。曲線C1は、SiH4ガス流量およびSi
4ガス流量以外の条件は試行条件と同一の条件を採用
している。曲線C2は、N2Oガス流量を3000sc
cmに変更した点を除いて、試行条件と同一の条件を採
用している。曲線C3は、チャンバ内の圧力を666P
a(5torr)に変更した点を除いて、試行条件と同一
の条件を採用している。曲線C4は、CVD装置10の
平行平板電極の間隔を1.75cmに変更した点を除い
て、試行条件と同一の条件を採用している。曲線C5
は、SiH4ガス、SiF4ガス、およびN2Oガスの各
流量をそれぞれ2倍に変更した点を除いて、試行条件と
同一の条件を採用している。
【0056】図5によれば、試行条件に対して、酸化剤
として作用するN2Oガス流量を増加させると膜質が改
善され、またチャンバ内の圧力を低くすると膜質が改善
される。特に、チャンバ内の圧力を低くすることは、膜
厚の面内均一性を改善するために有効である。図5によ
れば、CVD装置10の電極間距離は小さい方が膜質の
改善に好ましい傾向を示し、またプロセスガス全流量は
小さい方が膜質の改善に好ましい傾向を示している。
【0057】図6は、SiF4ガス流量と屈折率の変動
との関係を示している。シンボル”■”は成膜温度40
0℃における成膜データであり、シンボル”○”は成膜
温度480℃における成膜データである。横軸は、Si
4流量/(SiH4流量+SiF4流量)を百分比で示
し、縦軸は、FT−IRスペクトルにおけるSiFピー
クをSiF/(SiF+SiO)の百分比で示している。
流量比50%程度において、縦軸に示される百分比が2
%程度まで大きくなる。
【0058】図6によれば、SiF4流量の比率が大き
くなるにつれて、SiFピーク強度が大きくなってい
る。また、成膜温度に関する差はあまりなく、本実施の
形態における成膜条件は、成膜温度に関して広いプロセ
スウインドウを有している。
【0059】図7は、SiFピーク強度と、酸化膜の比
誘電率との関係を示している。横軸は、FT−IRスペ
クトルにおけるSiFピークをSiF/(SiF+Si
O)の百分比で示している。縦軸は、シリコン酸化膜の
比誘電率を示している。
【0060】図7によれば、SiFピークが大きくなる
につれて、シリコン酸化膜の比誘電率も小さくなってい
る。これは、膜中に取り込まれたフッ素原子が膜の誘電
率を低減するために有効に作用していることを示してい
る。成膜温度に関しては、480℃の成膜に比べて40
0℃における成膜の方が低誘電率になる傾向にある。
【0061】図8は、シリコン酸化膜の比誘電率と屈折
率との関係を示している。横軸は、シリコン酸化膜の比
誘電率を示している。縦軸は、シリコン酸化膜の屈折率
を示している。図8によれば、シリコン酸化膜の比誘電
率が小さくなるにつれて、シリコン酸化膜の屈折率も小
さくなっている。
【0062】図9は、本実施の形態に係わるシランFS
G膜と、TEOS・FSG膜との吸水率の特性を示して
いる。吸水量は、FT−IRにおける (SiOH+HO
H)/SiOピークを百分比で表されている。成膜温度
400℃、440℃、および480℃において成膜され
たシランFSG膜に関して、クリーンルーム内に1週
間、2週間、それぞれ放置した前後における吸水量の変
動が示されている。また、成膜温度480℃において成
膜されたTEOS・FSG膜に関して、クリーンルーム
内に1週間、放置した前後における吸水量の変動が示さ
れている。
【0063】図9によれば、シランFSG膜では吸水量
の変動がせいぜい1%程度にあるのに対して、TEOS
・FSG膜に関しては吸水量の変動がほぼ2.5%であ
る。シランFSG膜の特性が、TEOS・FSG膜の特
性に対して圧倒的に優れている。
【0064】発明者は、実験結果を総合的に判断して好
適な成膜条件を RFパワー:1500W 成膜温度:400℃ 電極間間隔:0.1cm チャンバ内圧力:533Pa(4.0torr) N2Oガス流量:2500sccm SiH4ガス流量:60sccm SiF4ガス流量:200sccm のように決定した。
【0065】この条件にて達成された膜特性は、 成膜レート:420(nm/min) 膜厚の面内均一性:3.5% 膜応力:−0.7×1010N/m2 (−0.7×109
yne/cm2) 屈折率:1.42 比誘電率(as deposition):3.4 を示している。
【0066】このように優れた膜質が得られた理由とし
て、発明者は、個人的には、シランFSG膜を構成する
原子Si、F、Oが緻密なネットワークを形成している
からであると考えており、また、従来のTEOS・FS
G膜では、シリコン酸化膜を構成する原子Si、F、O
に加えて、C、HがシランFSG膜に比べて相対的に多
く含まれているので、ネットワークが粗になっていると
考えている。本実施の形態における成膜では、3.5%
フッ素濃度において密度2.1×103kg/m3(2.
1g/cm3)を示す緻密な膜が得られた。一方、TEO
S・FSG膜では、3.5%フッ素濃度において密度
1.8×103kg/m3(1.8g/cm3)であった。
【0067】また、発明者は、個人的には、以下のよう
に推定している。本実施の形態では、Si、F、Oの供
給源として、TEOSのように炭素C、多量の水素Hを
含まない無機シラン系ガスを採用した。このため、膜中
に不純物が取り込まれにくい。また、RFパワーを十分
に供給することによってSiF4およびSiH4を十分に
分解している。故に、SiF2といったフラグメントが
膜中に取り込まれる可能性が小さくなり、またSiH4
においてSiHの結合が十分に切断される。したがっ
て、緻密な膜が形成され、これによって吸水率が低減さ
れる。
【0068】(第3の実施の形態)引き続く説明におい
て、MOSデバイスといった半導体能動デバイスを有す
る半導体集積回路に本発明を適用した場合について説明
するけれども、本発明は、このようなデバイスに限定さ
れることはない。
【0069】図10〜図14は、第3の実施の形態を示
す工程断面図である。
【0070】図10を参照すると、基板102は、P型
高濃度ウエハ104上にP型エピタキシャル層106を
有する。基板102には、NチャネルMOS型デバイス
110およびPチャネルMOS型デバイス120が形成
されている。
【0071】Nチャネルデバイス110は、P型エピタ
キシャル層106の表層に形成される。Nウエル108
は、Pチャネル型デバイス120を形成するための領域
を含むように形成される。素子分離領域130a、13
0b、130cは、Nチャネルデバイス110およびP
チャネルデバイス120のそれぞれを分離するように形
成される。これらの素子分離領域130a〜130cに
囲まれた領域は、デバイス形成領域となる。
【0072】次いで、ゲート絶縁膜132がデバイス形
成領域に形成される。ゲート電極134a〜134e
が、ゲート絶縁膜132上に形成される。ゲート電極1
34a、130b直下の領域118、128には、しき
い値を制御するための不純物が導入されている。N型ソ
ース拡散領域112およびN型ドレイン拡散領域114
が、ゲート電極134aと自己整合的に形成される。ま
た、P型ソース拡散領域122およびP型ドレイン拡散
領域124が、ゲート電極134bに自己整合的に形成
される。なお、N型ソース拡散領域112に隣接してP
型拡散領域116が設けられており、P型ソース拡散領
域122に隣接してP型拡散領域126が設けられてい
る。いる。
【0073】続いて、基板2上には、Si34膜といっ
た第1のシリコン窒化膜136が形成される。第1のシ
リコン窒化膜136は、セルフアラインコンタクト形成
に用いられるエッチング停止膜として利用される。
【0074】次いで、第1のシリコン酸化膜138が、
第1のシリコン窒化膜136上に形成される。第1のシ
リコン酸化膜138は、例えばCVD法を用いて500
nm以上1000nm以下の厚さに形成されるFSG膜
であってもよい。この後、コンタクト孔が形成される。
コンタクト孔は、第1のシリコン酸化膜138および第
1のシリコン窒化膜136を貫通し基板102の表面お
よびゲート電極に到達するように設けられる。コンタク
ト孔内には、タングステン(W)プラグといった埋め込み
プラグ140が形成される。タングステンの埋め込みに
先だって、TiW膜をコンタクト孔の底面および側面に
形成するようにしてもよい。TiW膜はスパッタリング
法で形成されることができ、またWプラグはCVD法で
形成されることができる。埋め込みプラグ140を形成
した後に、CMP法を用いてシリコン酸化膜138およ
び埋め込みプラグ140を平坦化する。
【0075】平坦化されたシリコン酸化膜138および
埋め込みプラグ140上に第1の配線層142を形成す
る。第1の配線層142は、例えば、スパッタリング
法、CVD法、スパッタリング法を用いて形成されたT
iW膜、W膜、TiW膜の3層を有する。また、第1の
配線層142は、これらの膜を配線形状にパターン形成
することによって得られる。第1の配線層142は、例
えば、500nm以上1000nm以下の厚さを有す
る。
【0076】第1の配線層142およびシリコン酸化膜
138上には、第2にシリコン酸化膜143を堆積す
る。第2にシリコン酸化膜143は、第1の配線層14
2間を十分に埋め込み可能な成膜装置を用いる。第2に
シリコン酸化膜143の成膜が完了した後に、第1の配
線層142および第2にシリコン酸化膜143を平坦化
する。
【0077】図11を参照しながら、さらに上層の配線
層の形成を説明する。第2のシリコン酸化膜143およ
び第1の配線層142上には、第3のシリコン酸化膜1
62、埋め込みプラグ166b、および第2の配線層1
66aを形成する。第3のシリコン酸化膜162は、例
えばCVD法を用いて500nm以上2000nm以下
の厚さに形成されるFSG膜である。
【0078】本実施の形態では、デュアルダマシン法を
用いて配線およびビアプラグを一括して形成する。な
お、これら配線およびビアプラグの形成のために、シン
グルダマシン法も適用できる。
【0079】まず、第3のシリコン酸化膜162には、
フォトリソグラフィック法およびドライエッチング法を
利用して、凹部164aを形成する。凹部164aに
は、第2の配線層166aが形成される。凹部164a
は、この配線層166aの厚さおよび幅を反映した形状
を有する。次いで、フォトリソグラフィック法およびド
ライエッチング法を利用して、凹部164bを形成す
る。凹部164bは、第1の配線層142と第2の配線
層166aとを電気的に接続する接続ビアプラグ166
bのために設けられている。このため、凹部164b
は、凹部164aの底面から第1の配線層142の上面
に到達するような深さで形成される。なお、第2のシリ
コン酸化膜162は、凹部164aおよび凹部164b
との境界を示す位置に設けられたシリコン窒化膜によっ
て2層に分割されていてもよい。これによって、それぞ
れの凹部の深さに対する制御性が増す。
【0080】図12を参照すると、凹部164a、16
4bが同じ工程において導電材料で埋め込まれている。
この埋め込みは以下の順序で行われる。ます、第1の導
電層166c、例えばTaN膜またはTaSiN膜とい
った拡散障壁層または密着層を全面に形成する。第1の
導電層166cは、例えばスパッタリング法を用いて3
0nm以上100nm以下程度の厚さで堆積される。こ
れによって、凹部164a、164bの底面および側面
には、第1の導電層166cが形成される。次いで、銅
(Cu)といった導電体で凹部164a、164bを埋め
込み、第2の導電層166a、166bを形成する。C
uの導電体は、例えばスパッタリング法を用いて50n
m以上100nm以下程度の厚さのシード層を形成した
後に、電解メッキ法より1μm以上5μm以下程度の厚
さに形成される。導電体の厚さは、凹部166a、16
6bを埋め込む程度の厚さに加えて、後の工程において
平坦化を行うことができる程度の厚さであることが好ま
しい。上記の説明から明らかなように、第2の配線層1
66aおよび接続ビアプラグ166bは同一の工程で形
成される第1の導電部材166である。
【0081】第3のシリコン酸化膜162および第1の
導電部材166は、例えばCMP法といった平坦化法を
利用して、図12に示されるように平坦化される。平坦
化された第3のシリコン酸化膜62および第1の導電部
材166上には、薄いシリコン窒化膜167が形成され
ることができる。
【0082】引き続いて、第4のシリコン酸化膜168
a内に第2の導電部材170aが、シングルダマシン法
によって形成される。まず、第4のシリコン酸化膜16
8aが、シリコン窒化膜167上に形成される。第4の
シリコン酸化膜168aは、既に説明された第4のシリ
コン酸化膜162と同様にFSG膜であることができ
る。次いで、第4のシリコン酸化膜168aには、第2
の導電部材170aが設けられるべき領域に凹部169
aが形成される。凹部169aは、フォトリソグラフィ
ック法およびドライエッチング法を用いて、導電体16
6aに到達するまでシリコン酸化膜168aおよびシリ
コン窒化膜167をエッチングにより除去することによ
って形成される。凹部169aには、第13図に示すよ
うに、第1の導電部材166と同様な製造プロセスで第
2の導電部材170aが形成される。第4のシリコン酸
化膜168aおよび第2の導電部材170aは、CMP
法といった平坦化法を利用して平坦化される。
【0083】図13においては、続いて、第5のシリコ
ン酸化膜168b内に第3の導電部材170bがシング
ルダマシン法によって形成される。第3の導電部材17
0bは、凹部169bを導電材料で埋め込むことによっ
て形成される。この埋め込みは、既に説明された第2の
導電部材170aと同様な製造プロセスによって実現さ
れるので、その詳細な説明を省略する。しかしながら、
そのような製造プロセスに限定されるものではなく、異
なる製造プロセスで形成されることができる。
【0084】図14参照すると、平坦化された第5のシ
リコン酸化膜168および第2の導電部材170が示さ
れている。これらの表面上には、第3のシリコン窒化膜
172が形成されている。第3のシリコン窒化膜172
は、シリコン窒化膜 (プラズマSiN膜)、プラズマシ
リコン酸化膜(p−SiO膜)およびプラズマシリコン酸
化窒化膜(p−SiON膜)といったパッシベーション膜
である。
【0085】好適な実施の形態において本発明の原理を
図示し説明してきたが、そのような原理から逸脱するこ
となく、その構成およびその詳細において本発明を変更
できることは、当業者によって認識される。例えば、こ
れまでの説明は、SiH4ガス、SiF4ガス、およびN
2Oガスを用いる成膜について行われてきたが、このプ
ロセスガスには、これらに限定されるものではなく、S
iH4に代えてジシランを含む無機シラン系化合物を適
用でき、SiF4に代えてSiとFとの結合を含む無機
化合物、また例えばCF4、C26も適用できる。酸素
供給源物質としては、例示的に示されるN2O、NO、
23、N25、NO3、N24およびNO2といった窒
素酸化物を使用でき、また例示的に示されるCO、CO
2およびH 2Oといった酸素化合物を用いることができ、
さらにはO2、O3を使用できる。また、ダマシン構造に
は、シングルダマシン構造およびデュアルダマシン構造
を少なくとも含み、本発明は、配線といった導電体間に
絶縁膜の埋め込みを必要としない半導体集積回路装置の
製造に適用できる。
【0086】
【発明の効果】以上詳細に説明したように、本発明に係
わる成膜方法では、平行平板型電極を有する半導体製造
装置において基板上にシリコン絶縁膜を形成する。この
成膜方法は、SiH4、SiF4および酸素ソース物質を
含むプロセスガスをプラズマ化してフッ素を含むシリコ
ン絶縁膜を基板上に堆積する。SiH4およびSiF4
含むプロセスガスは、TEOSに比べて、シリコン絶縁
膜を構成する元素を主要に含む。このため、シリコン絶
縁膜中に炭素、水素が取り込まれる可能性が小さい。ま
た、SiF4はSi−F結合を含むので、シリコン絶縁
膜中にフッ素が容易に取り込まれる。
【0087】このような成膜方法は、例えば、チャンバ
内に設けられた平行平板型電極を有する成膜装置におい
て実施される。その成膜装置は、SiH4、SiF4およ
び酸素ソース物質を含むプロセスガスをチャンバ内に導
入するための手段と、プロセスガスのプラズマを生成す
るためのRF電力を供給する電力源とを備える。この電
力源は、平行平板型電極に1000W以上のRF電力を
供給可能である。
【0088】また、本発明に係わる半導体装置の製造方
法では、ダマシン構造の配線を形成するために、上記の
ように形成されるフッ素を含むシリコン絶縁膜を用いて
いる。
【0089】したがって、膜質が安定なフッ素含有シリ
コン絶縁膜を形成できる成膜方法および成膜装置、並び
に半導体装置の製造方法が提供された。
【図面の簡単な説明】
【図1】図1は、成膜装置の概略図である。
【図2】図2(a)〜図2(d)は、成膜工程を示す図面で
ある。
【図3】図3は、成膜条件を示した図面である。
【図4】図4は、FSG膜の特性を示した図面である。
【図5】図5は、FSG膜の特性を示した図面である。
【図6】図6は、SiF4の流量と、Si−Fピークと
の関係を示した図面である。
【図7】図7は、Si−Fピークと、比誘電率との関係
を示した図面である。
【図8】図8は、比誘電率と、屈折率との関係を示した
図面である。
【図9】図9は、膜の吸水特性を示した図面である。
【図10】図10は、第3の実施の形態の示す工程断面
図である。
【図11】図11は、第3の実施の形態の示す工程断面
図である。
【図12】図12は、第3の実施の形態の示す工程断面
図である。
【図13】図13は、第3の実施の形態の示す工程断面
図である。
【図14】図14は、第3の実施の形態の示す工程断面
図である。
【符号の説明】
10…CVD装置、12…処理チャンバ、14…シリコ
ンウエハ、16…ペデスタル、18…加熱手段、20…
制御手段、22…ガス分配プレート、24…ガス供給
孔、26…配管、28…ガス混合室、30…SiH4
ス供給源、32…SiF4ガス供給源、34…N2Oガス
供給源、36、38、40…流量調節バルブ、42…真
空排気手段 102…基板、104…P型高濃度ウエハ、106…P
型エピタキシャル層、108…Nウエル、110…Nチ
ャネル型MOSデバイス、112…N型ソース拡散層領
域、114…N型ドレイン拡散層領域、120…Pチャ
ネル型MOSデバイス、122…P型ソース拡散層領
域、124…P型ドレイン拡散層領域、30a、30
b、30c…素子分離領域、132…ゲート絶縁膜、1
34a〜34e…ゲート電極、136…第1のシリコン
窒化膜、138…第1のシリコン酸化膜、140…埋め
込みプラグ、142…第1の配線層、162…第3のシ
リコン酸化膜、164a、164b…凹部、166a…
第2の配線層、166b…接続ビアプラグ、166c…
第1の導電層、168a…第4のシリコン酸化膜、17
0a…第2の導電部材(導電ビア)、168b…第5のシ
リコン酸化膜、170b…第3の導電部材、172…パ
ッシベーション膜
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/90 P (72)発明者 鈴木 洋一 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 (72)発明者 島山 努 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 Fターム(参考) 4K030 AA04 AA06 AA14 AA24 BA24 BA44 BB12 CA04 CA12 FA03 HA01 JA10 JA16 LA02 LA15 5F033 HH07 HH11 HH19 HH23 HH30 HH32 JJ01 JJ07 JJ11 JJ19 JJ23 JJ30 JJ32 KK01 KK07 KK11 KK19 KK23 KK30 KK32 MM01 MM02 MM08 MM12 MM13 NN06 NN07 NN40 PP06 PP15 PP27 PP33 QQ04 QQ09 QQ11 QQ24 QQ25 QQ28 QQ37 QQ48 RR04 RR06 RR08 RR11 SS02 SS11 SS15 TT02 TT08 WW01 WW03 WW05 WW06 WW07 XX01 XX15 XX24 5F045 AA08 AB32 AC01 AC02 AC11 AD07 AD08 AE21 BB16 CB05 DC63 DP03 DQ10 EH05 EH13 5F058 BA20 BC02 BC04 BC20 BF07 BF23 BF29 BF37 BF39 BG01 BJ02

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 平行平板型電極を有する半導体製造装置
    において基板上にシリコン絶縁膜を形成する成膜方法で
    あって、 SiH4、SiF4および酸素ソース物質を含むプロセス
    ガスをプラズマ化して、フッ素を含むシリコン絶縁膜を
    基板上に堆積する堆積ステップを備える成膜方法。
  2. 【請求項2】 SiH4、SiF4および酸素ソース物質
    を含むプロセスガスをチャンバ内に導入する導入ステッ
    プを更に備える請求項1に記載の成膜方法。
  3. 【請求項3】 前記平行平板型電極に印加されるRF電
    力は1000W以上である、請求項1に記載の成膜方
    法。
  4. 【請求項4】 前記平行平板型電極に印加されるRF電
    力は1400W以上である、請求項1に記載の成膜方
    法。
  5. 【請求項5】 前記酸素ソース物質には、N2O、N
    O、N23、N25、NO3、N24およびNO2のうち
    の少なくとも一つの物質が含まれる、請求項1〜請求項
    4のいずれかに記載の成膜方法。
  6. 【請求項6】 前記酸素ソース物質には、O2およびO3
    のうちの少なくとも一つの物質が含まれる、請求項1〜
    請求項4のいずれかに記載の成膜方法。
  7. 【請求項7】 前記酸素ソース物質には、CO、CO2
    およびH2Oのうちの少なくとも一つの物質が含まれ
    る、請求項1〜請求項4のいずれかに記載の成膜方法。
  8. 【請求項8】 前記平行平板型電極に印加されるRF電
    力は4W/sccm以上である、請求項1〜請求項7の
    いずれかに記載の成膜方法。
  9. 【請求項9】 前記SiH4に対する前記SiF4の流量
    比は1より大きい、請求項1〜請求項8のいずれかに記
    載の成膜方法。
  10. 【請求項10】 前記平行平板電極に印加されるRF電
    力は単一の周端数で変調されている、請求項1〜請求項
    9のいずれかに記載の成膜方法。
  11. 【請求項11】 前記堆積ステップにおける前記反応チ
    ャンバ内の圧力は666Pa以下である、請求項1〜請
    求項10のいずれかに記載の成膜方法。
  12. 【請求項12】 前記堆積ステップにおける成膜温度は
    480℃以下である、請求項1〜請求項11のいずれか
    に記載の成膜方法。
  13. 【請求項13】 基板上にダマシン構造の導電部を有す
    る半導体装置の製造方法であって、 平行平板型電極を有する半導体製造装置のチャンバ内に
    導入されたSiH4、SiF4および酸素ソース物質を含
    むプロセスガスをプラズマ化して、フッ素を含むシリコ
    ン絶縁膜を基板上に堆積する堆積ステップと、 前記シリコン絶縁膜にダマシン構造の導電部を形成する
    ダマシンステップと、を備える半導体装置の製造方法。
  14. 【請求項14】 前記ダマシンステップは、 前記シリコン絶縁膜に凹部を形成するステップと、 前記凹部に導電材料を形成するステップと、を有する請
    求項13に記載の半導体装置の製造方法。
  15. 【請求項15】 前記平行平板型電極に印加されるRF
    電力は1000W以上である、請求項13または請求項
    14に記載の半導体装置の製造方法。
  16. 【請求項16】 前記平行平板型電極に印加されるRF
    電力は1400W以上である、請求項13〜請求項15
    のいずれかに記載の半導体装置の製造方法。
  17. 【請求項17】 前記平行平板型電極に印加されるRF
    電力は4W/sccm以上である、請求項13〜請求項
    16のいずれかに記載の半導体装置の製造方法。
  18. 【請求項18】 前記SiH4に対する前記SiF4の流
    量比は1より大きい、請求項13〜請求項17のいずれ
    かに記載の半導体装置の製造方法。
  19. 【請求項19】 チャンバ内に設けられた平行平板型電
    極と、 SiH4、SiF4および酸素ソース物質を含むプロセス
    ガスを前記チャンバ内に導入するための手段と、 前記プロセスガスのプラズマを生成するためのRF電力
    を供給する電力源と、を備え、 前記電力源は、前記平行平板型電極に1000W以上の
    RF電力を供給可能である、成膜装置。
  20. 【請求項20】 前記平行平板型電極の間隔は0.5c
    m以上1.75cm以下である、請求項19に記載の成
    膜装置。
JP2000322849A 2000-10-23 2000-10-23 成膜方法および半導体装置の製造方法 Expired - Fee Related JP3712356B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000322849A JP3712356B2 (ja) 2000-10-23 2000-10-23 成膜方法および半導体装置の製造方法
US10/004,489 US20020048969A1 (en) 2000-10-23 2001-10-23 Method of forming film, method of manufacturing semiconductor device, and film forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000322849A JP3712356B2 (ja) 2000-10-23 2000-10-23 成膜方法および半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2002141348A true JP2002141348A (ja) 2002-05-17
JP3712356B2 JP3712356B2 (ja) 2005-11-02

Family

ID=18800648

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000322849A Expired - Fee Related JP3712356B2 (ja) 2000-10-23 2000-10-23 成膜方法および半導体装置の製造方法

Country Status (2)

Country Link
US (1) US20020048969A1 (ja)
JP (1) JP3712356B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7037855B2 (en) 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film
JP2009295956A (ja) * 2008-05-08 2009-12-17 Sharp Corp 固体撮像素子およびその製造方法、電子情報機器
KR20210076563A (ko) * 2019-12-16 2021-06-24 주식회사 원익아이피에스 박막의 형성 방법

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
JPH10125685A (ja) * 1996-10-16 1998-05-15 Casio Comput Co Ltd 突起電極およびその形成方法
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US6127285A (en) * 1997-02-28 2000-10-03 Dallas Instruments Incorporated Interlevel dielectrics with reduced dielectric constant
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6503818B1 (en) * 1999-04-02 2003-01-07 Taiwan Semiconductor Manufacturing Company Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material
US6255207B1 (en) * 1999-06-21 2001-07-03 Taiwan Semiconductor Manufacturing Company Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7037855B2 (en) 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film
JP2009295956A (ja) * 2008-05-08 2009-12-17 Sharp Corp 固体撮像素子およびその製造方法、電子情報機器
KR20210076563A (ko) * 2019-12-16 2021-06-24 주식회사 원익아이피에스 박막의 형성 방법
KR102573721B1 (ko) 2019-12-16 2023-09-04 주식회사 원익아이피에스 박막의 형성 방법

Also Published As

Publication number Publication date
US20020048969A1 (en) 2002-04-25
JP3712356B2 (ja) 2005-11-02

Similar Documents

Publication Publication Date Title
JP3712356B2 (ja) 成膜方法および半導体装置の製造方法
US6417092B1 (en) Low dielectric constant etch stop films
TWI402887B (zh) 用以整合具有改良可靠度之超低k介電質之結構與方法
US6803310B2 (en) Method for forming a plug metal layer
US8241991B2 (en) Method for forming interconnect structure having airgap
US7163889B2 (en) Film for copper diffusion barrier
US6908848B2 (en) Method for forming an electrical interconnection providing improved surface morphology of tungsten
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
CN102237272B (zh) 半导体装置和半导体装置制造方法
US20050009320A1 (en) Method of forming silicon carbide films
US6753258B1 (en) Integration scheme for dual damascene structure
US9177918B2 (en) Apparatus and methods for low k dielectric layers
JP4168397B2 (ja) 高アスペクト比の半導体デバイス用のボロンドープ窒化チタン層
US5472825A (en) Metal interconnect fabrication with dual plasma silicon dioxide deposition and etchback
US20030042606A1 (en) Method of forming a conductive contact
US20100216305A1 (en) Method for fabricating semiconductor device
US7351653B2 (en) Method for damascene process
EP0909461B1 (en) Method for simplifying the manufacture of an interlayer dielectric stack
US7199019B2 (en) Method for forming tungsten contact plug
KR100745066B1 (ko) 반도체 소자의 금속배선 형성 방법
KR20020032709A (ko) 반도체 소자의 금속 배선 형성 방법
KR100603703B1 (ko) 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
US6852373B1 (en) Method for depositing a silicon-containing dielectric material on copper
KR20060029762A (ko) 반도체 장치의 박막 형성 방법
JPH053170A (ja) ブランケツトタングステンプラグ形成法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050418

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050719

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050809

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050816

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090826

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100826

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110826

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110826

Year of fee payment: 6

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120826

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130826

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees