JP2001244324A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device

Info

Publication number
JP2001244324A
JP2001244324A JP2000057175A JP2000057175A JP2001244324A JP 2001244324 A JP2001244324 A JP 2001244324A JP 2000057175 A JP2000057175 A JP 2000057175A JP 2000057175 A JP2000057175 A JP 2000057175A JP 2001244324 A JP2001244324 A JP 2001244324A
Authority
JP
Japan
Prior art keywords
trench
substrate
semiconductor device
region
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000057175A
Other languages
Japanese (ja)
Inventor
Yasuhiro Takeda
安弘 武田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sanyo Electric Co Ltd
Original Assignee
Sanyo Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sanyo Electric Co Ltd filed Critical Sanyo Electric Co Ltd
Priority to JP2000057175A priority Critical patent/JP2001244324A/en
Publication of JP2001244324A publication Critical patent/JP2001244324A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To improve reliability of a device by surely rounding the shape a trench corner. SOLUTION: A method for manufacturing a semiconductor device with a separating method of trench elements includes a step of; 1) forming a mask 3 for trench formation on a silicon substrate surface 1; 2) a step of converting a surface of trench formation area to amorphous structure 5 by ion implantation of Ge through the mask; 3) a step of forming a trench 6 on the silicon substrate 1; 4) a step of oxidizing a trench wall surface; and 5) and a step of forming an element separation film by filling the trench with an oxide film.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、半導体装置の製
造方法に係り、詳しくは半導体装置の素子分離方法に関
するものであり、特に従来の選択酸化法(LOCOS
法)に代わるトレンチ素子分離法(Shallow T
rench Isolation法:以下STI法とい
う)に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly to a method of isolating a semiconductor device, and more particularly to a conventional selective oxidation method (LOCOS).
Element isolation method (Shallow T)
(Rench Isolation method: hereinafter referred to as STI method).

【0002】[0002]

【従来の技術】近年、半導体装置の高密度化、高集積化
にともなって、素子の微細化が進められている。素子を
微細化し高密度・高集積化するためには、素子自体の微
細化と同時に素子分離領域の微細化が重要になってきて
いる。
2. Description of the Related Art In recent years, with the increase in the density and integration of semiconductor devices, miniaturization of elements has been promoted. In order to miniaturize the element and achieve high density and high integration, it is important to miniaturize the element isolation region at the same time as the element itself.

【0003】従来の素子分離技術には選択酸化法(LO
COS法)が用いられてきた。LOCOS法を用いる
と、リソグラフィ及びエッチングによる加工限界の微細
なパターンを形成しても、横方向への酸化が進み、素子
分離領域の幅が広がってしまうという点と、微細な分離
領域を形成すると、酸化が進まず素子分離が不完全にな
るという問題が生じてきている。以上のように、LOC
OS法による素子分離では、分離幅を小さくすることが
限界になりつつある。また、素子分離部分の凹凸によ
り、凹凸部分での微細なパターン加工が困難に成りつつ
ある。これらの問題点から、新しい素子分離技術が模索
されており、最近では、LOCOS法に代わってSTI
法による素子分離技術が検討されている。
[0003] Conventional element isolation techniques include a selective oxidation method (LO
COS method) has been used. When the LOCOS method is used, even when a fine pattern with a processing limit of lithography and etching is formed, oxidation in the lateral direction progresses and the width of the element isolation region is widened. However, there is a problem that oxidation does not proceed and element isolation becomes incomplete. As described above, LOC
In element isolation by the OS method, reduction of the isolation width is becoming a limit. In addition, it is becoming difficult to process a fine pattern in the uneven portion due to the unevenness in the element isolation portion. Because of these problems, a new device isolation technology has been sought. Recently, STI has been used instead of the LOCOS method.
An element isolation technique by the method has been studied.

【0004】例えば、特開平9−8118号公報に開示
されているSTI法を図7(a)ないし図7(c)に基
づいて説明する。
For example, the STI method disclosed in Japanese Patent Application Laid-Open No. 9-8118 will be described with reference to FIGS. 7 (a) to 7 (c).

【0005】(1)単結晶シリコン基板51上に、パッ
ド酸化膜としてのシリコン酸化膜52、シリコン窒化膜
53、ポリシリコン膜54及びシリコン酸化膜55を順
次形成した後、リソグラフィ工程により、これらの膜か
ら半導体基板51にかけてトレンチ56を形成する(図
7(a)参照)。
(1) A silicon oxide film 52 as a pad oxide film, a silicon nitride film 53, a polysilicon film 54 and a silicon oxide film 55 are sequentially formed on a single crystal silicon substrate 51, and then these are formed by a lithography process. A trench 56 is formed from the film to the semiconductor substrate 51 (see FIG. 7A).

【0006】(2)トレンチ56内及び基板51上に、
素子分離用絶縁膜としてのBPSG膜57を堆積した
後、BPSG膜57を熱処理してリフローさせ、BPS
G膜57の表面を平坦化する(図7(b)参照)。
(2) In the trench 56 and on the substrate 51,
After depositing a BPSG film 57 as an insulating film for element isolation, the BPSG film 57 is heat-treated and reflowed.
The surface of the G film 57 is planarized (see FIG. 7B).

【0007】(3)BPSG膜57、シリコン酸化膜5
5、ポリシリコン膜54及びシリコン窒化膜53を順次
エッチバックして、最終的に半導体基板51のトレンチ
56にBPSG膜(素子分離用絶縁膜)57を埋め込む
(図7(c)参照)。
(3) BPSG film 57, silicon oxide film 5
5. The polysilicon film 54 and the silicon nitride film 53 are sequentially etched back, and finally a BPSG film (element isolation insulating film) 57 is buried in the trench 56 of the semiconductor substrate 51 (see FIG. 7C).

【0008】このSTI法による素子分離膜の形成方法
は、上記のように、半導体基板51上にトレンチ(溝)
56を形成し、その内部に絶縁物を埋めこむ工程を経
る。STI法における最小素子分離幅は、リソグラフィ
やエッチングの加工限界と同じ程度まで微細化が可能と
なる。
In the method of forming an element isolation film by the STI method, a trench (groove) is formed on a semiconductor substrate 51 as described above.
The step of forming 56 and burying an insulator therein is performed. The minimum element isolation width in the STI method can be reduced to the same level as the processing limit of lithography or etching.

【0009】トレンチ素子分離法によって素子分離を行
った場合、このトレンチのコーナーが鋭いとプロセス中
のストレスによりシリコン基板に欠陥が入ったり、接合
リークが増大する問題がある。特に基板表面側のコーナ
ーはこれが鋭い場合、この上に形成されるゲート酸化膜
の信頼性が劣化したり、ゲート電極からの電界が集中し
て逆狭チャネル効果がおこるなどのトランジスタの特性
変動が発生する問題があった。
When element isolation is performed by the trench element isolation method, if the corners of the trench are sharp, there is a problem that a stress in the process causes a defect in the silicon substrate and a junction leak increases. In particular, if the corner on the substrate surface side is sharp, transistor characteristic fluctuations such as the reliability of the gate oxide film formed on top of this corner being degraded and the reverse narrow channel effect occurring due to the concentration of the electric field from the gate electrode being caused. There was a problem that occurred.

【0010】[0010]

【発明が解決しようとする課題】これらの問題を解決す
るため、トレンチ形成後のシリコン基板の表面を酸化し
てコーナーを丸める対策が取られる場合、高温の酸化雰
囲気で酸化したり、HClなどを添加した雰囲気で酸化
されていた。
In order to solve these problems, if measures are taken to round the corners by oxidizing the surface of the silicon substrate after the formation of the trench, oxidation in a high-temperature oxidizing atmosphere or removal of HCl or the like is required. It was oxidized in the added atmosphere.

【0011】トレンチのコーナーを丸めるためには高温
やHClなどを添加した酸化雰囲気が必要であるが、こ
れらの場合、酸化速度も増大するためその制御性に問題
があった。
In order to round the corners of the trench, an oxidizing atmosphere to which a high temperature or HCl is added is required. In these cases, however, there is a problem in controllability since the oxidation rate is increased.

【0012】一方、トレンチ素子分離法によって素子分
離を行った場合、基板不純物がイオン注入後、過渡増速
拡散することにより、トレンチのコーナー部分や側壁部
分の基板不純物濃度がその他の領域に対して低くなる。
このためトランジスタの閾値電圧がトランジスタ幅が狭
くなるに従い低下する逆狭チャネル効果が現れる。
On the other hand, when element isolation is performed by the trench element isolation method, the impurity concentration of the substrate impurity at the corners and sidewalls of the trench is reduced with respect to other regions by transiently accelerated diffusion of the substrate impurity after ion implantation. Lower.
Therefore, an inverse narrow channel effect appears in which the threshold voltage of the transistor decreases as the transistor width decreases.

【0013】これらの問題を解決するため、トレンチ形
成後、この側壁に基板不純物を斜めイオン注入し、不純
物濃度の低下を抑える対策がとられる。しかし、この場
合パターン方向によって未注入領域を作らないように、
注入方向を回転させる必要があるため注入時間が長くな
る問題がある。
In order to solve these problems, after forming the trench, a substrate impurity is obliquely ion-implanted into the side wall to prevent the impurity concentration from decreasing. However, in this case, in order not to create an unimplanted area depending on the pattern direction,
There is a problem that the injection time becomes long because the injection direction needs to be rotated.

【0014】この発明は、上述した従来の問題点を解消
するためになされたものにして、トレンチのコーナーの
形状を確実に丸め、デバイスの信頼性を向上させること
を目的とする。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-mentioned conventional problems, and has as its object to improve the reliability of a device by reliably rounding the shape of a corner of a trench.

【0015】[0015]

【課題を解決するための手段】この発明は、トレンチ素
子分離法を用いた半導体装置の製造方法において、シリ
コン基板表面にトレンチ形成用マスクを形成する工程
と、このマスクを用いてトレンチ形成領域の表面をアモ
ルファス化する工程と、シリコン基板にトレンチを形成
する工程と、トレンチ壁面を酸化する工程と、トレンチ
を酸化膜で埋め戻し素子分離膜を形成する工程と、を含
むことを特徴とする。
According to the present invention, in a method of manufacturing a semiconductor device using a trench element isolation method, a step of forming a trench forming mask on a surface of a silicon substrate and a step of forming a trench forming region using the mask are performed. The method includes a step of amorphizing a surface, a step of forming a trench in a silicon substrate, a step of oxidizing a trench wall surface, and a step of backfilling the trench with an oxide film to form an element isolation film.

【0016】トレンチ形成用マスクを用いて、シリコン
(Si)又はゲルマニウム(Ge)をイオン注入し、ト
レンチ形成領域の表面をアモルファス化するように構成
することができる。
Using a trench forming mask, silicon (Si) or germanium (Ge) may be ion-implanted to make the surface of the trench forming region amorphous.

【0017】アモルファス化領域と結晶領域のエッチン
グ速度の差によって、トレンチのエッチング時にこの角
を丸めるように構成することができる。
The corner can be rounded at the time of etching the trench due to the difference in the etching rate between the amorphous region and the crystalline region.

【0018】また、アモルファス化領域と結晶領域の酸
化速度の差によって、トレンチのエッチング後の再酸化
時にこの角を丸めるように構成することができる。
Further, the corner can be rounded at the time of re-oxidation after the etching of the trench due to the difference in the oxidation rate between the amorphous region and the crystalline region.

【0019】上記のように、トレンチのコーナー部を丸
めたい領域をあらかじめSi、Ge等のイオン注入によ
りアモルファス化を行うと、この領域は結晶状態のシリ
コン基板と比較して酸化速度やエッチング速度が増大す
る。このため、酸化やエッチングなどの方法で効率良く
コーナーを丸めることができる。
As described above, when the region where the corner of the trench is to be rounded is made amorphous by ion implantation of Si, Ge, or the like in advance, this region has a lower oxidation rate and etching rate than the crystalline silicon substrate. Increase. Therefore, corners can be efficiently rounded by a method such as oxidation or etching.

【0020】また、この発明は、アモルファス化された
領域に基板と同一タイプの不純物をイオン注入するよう
に構成すればよい。
Further, the present invention may be configured so that an impurity of the same type as that of the substrate is ion-implanted into the amorphous region.

【0021】上記のように、アモルファス化された領域
に、基板と同一タイプの不純物を注入することで、トレ
ンチ形成後の熱処理に、プリアモルファス領域に多数発
生する格子間シリコンによる増速拡散で、トレンチのコ
ーナー部や側壁領域に基板不純物が拡散され、トランジ
スタの逆狭チャネル効果が抑制できる。
As described above, by implanting impurities of the same type as the substrate into the amorphized region, the heat treatment after the formation of the trench allows enhanced diffusion by interstitial silicon generated in the pre-amorphous region in large numbers. Substrate impurities are diffused into the corners and side wall regions of the trench, so that the inverse narrow channel effect of the transistor can be suppressed.

【0022】また、この発明は、トレンチ形成用マスク
を用いて、基板と同一タイプの不純物をイオン注入し、
トレンチ形成領域の表面をアモルファス化するように構
成すればよい。
Further, according to the present invention, an impurity of the same type as the substrate is ion-implanted by using a trench forming mask,
What is necessary is just to comprise so that the surface of a trench formation area may be made amorphous.

【0023】上記のように、基板のアモルファス化を基
板と同一タイプの不純物を注入することで行うことによ
り、コーナーを丸めるための工程と増速拡散効果を得る
ための工程が同時に行うことになり、工程数を削減する
ことができる。
As described above, by amorphizing the substrate by injecting impurities of the same type as the substrate, the step for rounding the corner and the step for obtaining the enhanced diffusion effect are performed simultaneously. , The number of steps can be reduced.

【0024】[0024]

【発明の実施の形態】(第1の実施形態)この発明の実
施形態について図に基づいて説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS (First Embodiment) An embodiment of the present invention will be described with reference to the drawings.

【0025】図1はこの発明の半導体装置の断面図を示
し、図2(a)から図4(j)はこの発明の半導体装置
の製造方法を工程別に示す断面図である。
FIG. 1 is a cross-sectional view of a semiconductor device of the present invention, and FIGS. 2A to 4J are cross-sectional views showing steps of a method of manufacturing a semiconductor device of the present invention.

【0026】図1において、1はシリコン基板、10は
トレンチ(溝)6に埋め込まれたSTI法によって形成
された素子分離絶縁膜、7は、トレンチ6の壁面に設け
られた酸化膜、8は丸められたコーナー部を示してい
る。以下にこの発明の半導体装置の製造方法について説
明する。
In FIG. 1, reference numeral 1 denotes a silicon substrate, 10 denotes an element isolation insulating film buried in a trench (groove) 6 formed by the STI method, 7 denotes an oxide film provided on the wall surface of the trench 6, and 8 denotes It shows a rounded corner. Hereinafter, a method for manufacturing a semiconductor device of the present invention will be described.

【0027】工程1(図2(a)参照):p型単結晶シ
リコン基板1上に、例えば、950℃ドライ酸素による
熱酸化法を用いてシリコン酸化膜2(膜厚10〜150
nm)を形成した後、その上にCVD法(減圧CVD
法、プラズマCVD法、高密度プラズマCVD法又は常
圧CVD法)を用いて、シリコン窒化膜3(200n
m)を形成する。
Step 1 (see FIG. 2A): A silicon oxide film 2 (film thickness 10 to 150) is formed on a p-type single crystal silicon substrate 1 by using, for example, a thermal oxidation method using dry oxygen at 950 ° C.
nm), and a CVD method (low-pressure CVD) is formed thereon.
Silicon nitride film 3 (200 n) using a CVD method, a plasma CVD method, a high-density plasma CVD method, or a normal pressure CVD method.
m).

【0028】工程2(図2(b)参照):素子分離領域
に対応させて、フォトリソグラフィ技術を用いて形成し
たレジストをマスクとして、シリコン窒化膜3、シリコ
ン酸化膜2をエッチングして、トレンチ形成用のハード
マスクを形成する。このエッチングは、例えば、Cl2
を主体としたガスを用いて、RIEエッチングにより行
う。エッチング終了後、レジストは除去される。
Step 2 (see FIG. 2B): The silicon nitride film 3 and the silicon oxide film 2 are etched by using a resist formed by photolithography as a mask corresponding to the element isolation region to form a trench. A hard mask for forming is formed. This etching is performed, for example, with Cl 2
Is performed by RIE etching using a gas mainly composed of After completion of the etching, the resist is removed.

【0029】工程3(図2(c)参照):シリコン窒化
膜3をマスクとして開口したSTIの形成領域に、ゲル
マニウム(Ge)を、例えば20keVでドーズ量5×
10 14/cm2程度イオン注入し、このシリコン基板1
の表面にアモルファス化層5を形成する。このイオン注
入により、基板の深さ方向のみならず基板の横方向にも
深さ方向に対して40%程度アモルファス化領域は広が
る。
Step 3 (see FIG. 2C): silicon nitride
Gel is formed in the STI formation region opened using the film 3 as a mask.
Manganese (Ge), for example, at 20 keV and a dose of 5 ×
10 14/ CmTwoIon implantation is performed to this silicon substrate 1
Amorphized layer 5 is formed on the surface of. This ion injection
Not only in the depth direction of the substrate but also in the lateral direction of the substrate
Amorphous area is wide about 40% in the depth direction.
You.

【0030】工程4(図2(d)参照):シリコン窒化
膜5をマスクとして、例えば、HBr及び酸素(02
等のガスを用いたRIEによりシリコン基板1に深さ3
50nm程度の溝6を形成する。
Step 4 (see FIG. 2D): Using the silicon nitride film 5 as a mask, for example, HBr and oxygen (O 2 )
RIE using a gas such as
A groove 6 of about 50 nm is formed.

【0031】工程5(図3(e)参照):溝形成後のシ
リコン基板1を例えば950℃ドライ酸素によって約1
5nm酸化して、酸化膜7を形成する。このとき、図中
8に示すSTIのコーナー部分はプリアモルファス化に
よって酸化速度が向上しているため、酸化が進み角が丸
くなる。
Step 5 (see FIG. 3E): The silicon substrate 1 after the formation of the groove is subjected to, for example, about 950 ° C. dry oxygen for about 1 hour.
Oxidation is performed by 5 nm to form oxide film 7. At this time, since the oxidation rate of the corner portion of the STI shown in FIG. 8 is improved by the pre-amorphization, the oxidation progresses and the corner becomes round.

【0032】工程6(図3(f)参照):溝6を例えば
HDP−CVD法により2酸化シリコン(SiO2膜)
9を200nm程度堆積し、埋め戻す。
Step 6 (see FIG. 3F): The groove 6 is formed by silicon dioxide (SiO 2 film) by, for example, HDP-CVD.
9 is deposited to a thickness of about 200 nm and backfilled.

【0033】工程7(図3(g)参照):CMP法を用
いてシリコン窒化膜3上に堆積している絶縁膜9を除去
し、シリコン窒化膜3の上面がすべて露出させる。この
際、絶縁膜3はCMPによって膜厚が減少する。なお、
CMP法の代わりに異方性全面エッチバックを行って平
坦化させてもよい。このエッチバックを用いると、シリ
コン酸化膜9とシリコン窒化膜3とのエッチングレート
の違いにより、シリコン窒化膜3がエッチングストッパ
となって、エッチバックはシリコン窒化膜3が露出した
時点で終了させる。
Step 7 (see FIG. 3G): The insulating film 9 deposited on the silicon nitride film 3 is removed by using the CMP method, and the entire upper surface of the silicon nitride film 3 is exposed. At this time, the thickness of the insulating film 3 is reduced by the CMP. In addition,
Instead of the CMP method, anisotropic overall etch-back may be performed to planarize. When this etch back is used, the silicon nitride film 3 serves as an etching stopper due to a difference in etching rate between the silicon oxide film 9 and the silicon nitride film 3, and the etch back is terminated when the silicon nitride film 3 is exposed.

【0034】工程8(図3(h)参照):160℃に加
熱したリン酸を用いて、シリコン窒化膜33を選択的に
除去する。熱リン酸のシリコン窒化膜とシリコン酸化膜
に対するエッチングレートは、温度によって変化する
が、シリコン窒化膜の方が30〜40倍程度速い。絶縁
膜2と素子分離膜7が露出した状態で、絶縁膜2を除去
する。
Step 8 (see FIG. 3H): The silicon nitride film 33 is selectively removed using phosphoric acid heated to 160 ° C. The etching rate of hot phosphoric acid for the silicon nitride film and the silicon oxide film changes depending on the temperature, but the silicon nitride film is about 30 to 40 times faster. With the insulating film 2 and the element isolation film 7 exposed, the insulating film 2 is removed.

【0035】工程9(図4(i)参照):絶縁膜2を除
去したシリコン基板1表面のトランジスタ形成領域に、
ゲート酸化膜11を形成する。
Step 9 (see FIG. 4 (i)): In the transistor forming region on the surface of the silicon substrate 1 from which the insulating film 2 has been removed,
A gate oxide film 11 is formed.

【0036】工程11(図4(j)参照):ゲート酸化
膜11上にドープドポリシリコンなどの導電層12を形
成する。導電層12のパターニングを行ってゲート電極
を形成する。
Step 11 (see FIG. 4 (j)): A conductive layer 12 such as doped polysilicon is formed on the gate oxide film 11. The gate electrode is formed by patterning the conductive layer 12.

【0037】このように形成されたトランジスタはトレ
ンチのコーナー部分を制御よく丸めることができるた
め、この部分に形成されるゲート酸化膜の信頼性劣化な
どが生じず、高品質、高信頼の半導体装置が提供でき
る。
In the transistor thus formed, since the corner portion of the trench can be rounded with good control, the reliability of the gate oxide film formed in this portion does not deteriorate, and the semiconductor device of high quality and high reliability can be obtained. Can be provided.

【0038】(第2の実施形態)次に、この発明の第2
の実施形態につき、図5に従い説明する。なお、第1の
実施形態と同じ工程については、説明の重複を避けるた
めに、ここでは、説明を省略する。
(Second Embodiment) Next, a second embodiment of the present invention will be described.
The embodiment will be described with reference to FIG. Note that the description of the same steps as those in the first embodiment is omitted here to avoid duplication of description.

【0039】前記した工程1から工程3に示した同じ工
程に基づいて、シリコン窒化膜3をマスクとして開口し
たSTIの形成領域に、ゲルマニウム(Ge)を、例え
ば20keVでドーズ量5×1014/cm2程度イオン
注入し、このシリコン基板1の表面にアモルファス化層
5を形成する。
[0039] Based on the same process shown from step 1 described above in step 3, the forming region of the STI that opens a silicon nitride film 3 as a mask, germanium (Ge), for example a dose in the 20keV 5 × 10 14 / Ion implantation of about 2 cm 2 is performed to form an amorphous layer 5 on the surface of the silicon substrate 1.

【0040】工程4(図5(a)参照):例えばCl2
を主体としたガスを用いたRIEによりシリコン基板1
に深さ350nm程度の溝を形成する。このとき、図中
8に示すSTIコーナー部分はアモルファス化によって
エッチング速度が向上しているため横方向にもエッチン
グが進み角が丸くなる。
Step 4 (see FIG. 5A): For example, Cl 2
Substrate 1 by RIE using a gas mainly composed of
Then, a groove having a depth of about 350 nm is formed. At this time, since the etching rate of the STI corner portion shown in FIG. 8 is increased by the amorphization, the etching proceeds in the lateral direction and the corner becomes round.

【0041】工程5(図5(b)参照):溝形成後のシ
リコン基板1を例えば950℃ドライ酸素によって約1
5nm酸化し、酸化膜7を形成する。
Step 5 (refer to FIG. 5B): The silicon substrate 1 after the formation of the groove is subjected to, for example, 950 ° C. dry oxygen for about 1 hour.
Oxide is performed by 5 nm to form an oxide film 7.

【0042】工程6以降は第1の実施形態の工程6以降
と同じ工程により、素子分離領域10の形成、ゲート絶
縁膜の形成、導電層の形成が行われ、半導体装置が形成
される。
After step 6, the same steps as those of the first embodiment are performed to form the element isolation region 10, the gate insulating film, and the conductive layer, thereby completing the semiconductor device.

【0043】このように第2の実施形態により形成され
たトランジスタはトレンチのコーナー部分を制御よく丸
めることができるため、この部分に形成されるゲート酸
化膜の信頼性劣化などが生じず、高品質、高信頼の半導
体装置が提供できる。
In the transistor formed according to the second embodiment, since the corner of the trench can be rounded with good control, the reliability of the gate oxide film formed in this portion does not deteriorate, and high quality can be obtained. A highly reliable semiconductor device can be provided.

【0044】(第3の実施形態)次に、この発明の第3
の実施形態につき、図6に従い説明する。なお、第1の
実施形態と同じ工程については、説明の重複を避けるた
めに、ここでは、説明を省略する。
(Third Embodiment) Next, a third embodiment of the present invention will be described.
Will be described with reference to FIG. Note that the description of the same steps as those in the first embodiment is omitted here to avoid duplication of description.

【0045】前記した工程1から工程3に示した同じ工
程に基づいて、シリコン窒化膜3をマスクとして開口し
たSTIの形成領域に、ゲルマニウム(Ge)を、例え
ば20keVでドーズ量5×1014/cm2程度イオン
注入し、このシリコン基板1の表面にアモルファス化層
5を形成する。
[0045] Based on the same process shown from step 1 described above in step 3, the forming region of the STI that opens a silicon nitride film 3 as a mask, germanium (Ge), for example a dose in the 20keV 5 × 10 14 / Ion implantation of about 2 cm 2 is performed to form an amorphous layer 5 on the surface of the silicon substrate 1.

【0046】工程3a(図6(a)参照):アモルファ
ス化層5を形成した後、基板不純物イオンをイオン注入
する。p型基板の場合は、例えば、ボロン(B)を10
keVでドーズ量1×1014/cm2程度イオン注入
し、n型基板の場合は、燐(P)を30keVでドーズ
量1×1014/cm2程度イオン注入する。このとき、
横方向の注入領域は、深さ方向の約30%に広がる。
Step 3a (see FIG. 6A): After forming the amorphized layer 5, substrate impurity ions are implanted. In the case of a p-type substrate, for example, boron (B) is
At a keV, a dose of about 1 × 10 14 / cm 2 is implanted. In the case of an n-type substrate, a phosphorus (P) is implanted at a dose of about 1 × 10 14 / cm 2 at 30 keV. At this time,
The lateral implantation area extends approximately 30% of the depth.

【0047】工程4(図6(b)参照):シリコン窒化
膜5をマスクとして、例えば、HBr及び酸素(02
等のガスを用いたRIEによりシリコン基板1に深さ3
50nm程度の溝6を形成する。このとき、溝のコーナ
ー部には基板不純物が導入されているアモルファス化領
域5’が残存している。
Step 4 (see FIG. 6B): Using the silicon nitride film 5 as a mask, for example, HBr and oxygen (O 2 )
RIE using a gas such as
A groove 6 of about 50 nm is formed. At this time, an amorphous region 5 ′ into which the substrate impurity is introduced remains at the corner of the groove.

【0048】工程5(図6(c)参照):溝形成後のシ
リコン基板1を例えば950℃ドライ酸素によって約1
5nm酸化して、酸化膜7’を形成する。このとき、ラ
ンプアップ時の700℃程度の熱により、工程3aで注
入した基板不純物がプリアモルファス工程で発生した格
子間シリコンによる過渡増速拡散でSTI側壁や基板表
面に拡散し、この領域の不純物濃度を増大させる。ま
た、図中8に示すSTIのコーナー部分はプリアモルフ
ァス化によって酸化速度が向上しているため、酸化が進
み角が丸くなる。
Step 5 (see FIG. 6 (c)): The silicon substrate 1 after the formation of the groove is subjected to, for example, 950.degree.
Oxidation is performed by 5 nm to form an oxide film 7 '. At this time, due to the heat of about 700 ° C. at the time of ramp-up, the substrate impurities implanted in the step 3a diffuse into the STI side wall and the substrate surface by transient enhanced diffusion by interstitial silicon generated in the pre-amorphous step, and the impurities in this region Increase concentration. Further, since the oxidation rate of the corner portion of the STI shown in FIG. 8 is improved by pre-amorphization, the oxidation proceeds and the corner becomes round.

【0049】工程6以降は第1の実施形態の工程6以降
と同じ工程により、素子分離領域10の形成、ゲート絶
縁膜の形成、導電層の形成が行われ、半導体装置が形成
される。
In step 6 and subsequent steps, the element isolation region 10, the gate insulating film, and the conductive layer are formed by the same steps as those in step 1 of the first embodiment, and a semiconductor device is formed.

【0050】このように第3の実施形態により形成され
たトランジスタは、STIのコーナー部分の基板不純物
濃度の低下による逆狭チャネル効果の発生が抑制でき
る。また、トレンチのコーナー部分を制御よく丸めるこ
とができるため、この部分に形成されるゲート酸化膜の
信頼性劣化などが生じず、高品質、高信頼の半導体装置
が提供できる。
In the transistor formed according to the third embodiment as described above, it is possible to suppress the occurrence of the inverse narrow channel effect due to the decrease in the substrate impurity concentration at the corner of the STI. Further, since the corner portion of the trench can be rounded with good control, the reliability of the gate oxide film formed in this portion does not deteriorate, and a high-quality and high-reliability semiconductor device can be provided.

【0051】上記した実施形態においては、Geをイオ
ン注入することにより、基板表面をアモルファス化して
いるが、シリコン(Si)原子をイオン注入して、アモ
ルファス化しても良い。
In the above-described embodiment, Ge is ion-implanted to make the substrate surface amorphous. However, silicon (Si) atoms may be ion-implanted to make amorphous.

【0052】また、上記第3の実施形態においては、ア
モルファス化層5を形成した後、基板と同一タイプの不
純物をイオン注入しているが、トレンチ形成用マスクを
用いて、基板と同一タイプの不純物をイオン注入し、ト
レンチ形成領域の表面をアモルファス化するように構成
し、基板表面のアモルファス化と基板への不純物の導入
を同時に行うように構成してもよい。このときイオン注
入する不純物は、基板がn型であれば、砒素やアンチモ
ンを用い、基板がp型であれば、インジウムを用いれ
ば、アモルファス化が容易に行える。
In the third embodiment, after the amorphous layer 5 is formed, the same type of impurity as that of the substrate is ion-implanted. However, the same type of impurity as that of the substrate is formed by using a trench forming mask. Impurities may be ion-implanted and the surface of the trench formation region may be made amorphous, and the substrate surface may be made amorphous and the impurities may be introduced into the substrate simultaneously. At this time, the impurity to be ion-implanted can be easily made amorphous by using arsenic or antimony if the substrate is n-type and by using indium if the substrate is p-type.

【0053】上記のように、基板のアモルファス化を基
板と同一タイプの不純物を注入することで行うことによ
り、コーナーを丸めるための工程と増速拡散効果を得る
ための工程が同時に行うことになり、工程数を削減する
ことができる。
As described above, by amorphizing the substrate by injecting impurities of the same type as the substrate, the step for rounding the corner and the step for obtaining the enhanced diffusion effect are performed simultaneously. , The number of steps can be reduced.

【0054】[0054]

【発明の効果】この発明によれば、STIのコーナー部
分を制御性良く丸めることができるため、この部分に形
成されるゲート酸化膜の信頼性劣化などの問題が起こら
ない高品質、高信頼性の半導体装置を実現することがで
きる。
According to the present invention, since the corner portion of the STI can be rounded with good controllability, high quality and high reliability can be achieved without causing a problem such as deterioration in reliability of a gate oxide film formed in this portion. Semiconductor device can be realized.

【図面の簡単な説明】[Brief description of the drawings]

【図1】この発明の半導体装置の断面図である。FIG. 1 is a sectional view of a semiconductor device according to the present invention.

【図2】この発明の半導体装置の第1の実施形態にかか
る製造方法を工程別に示す断面図である。
FIG. 2 is a cross-sectional view showing a method of manufacturing the semiconductor device according to the first embodiment of the present invention step by step.

【図3】この発明の半導体装置の第1の実施形態にかか
る製造方法を工程別に示す断面図である。
FIG. 3 is a cross-sectional view showing a method of manufacturing the semiconductor device according to the first embodiment of the present invention step by step.

【図4】この発明の半導体装置の第1の実施形態にかか
る製造方法を工程別に示す断面図である。
FIG. 4 is a cross-sectional view illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention step by step.

【図5】この発明の半導体装置の第2の実施形態にかか
る製造方法を工程別に示す断面図である。
FIG. 5 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to a second embodiment of the present invention for each process.

【図6】この発明の半導体装置の第3の実施形態にかか
る製造方法を工程別に示す断面図である。
FIG. 6 is a sectional view illustrating a method of manufacturing a semiconductor device according to a third embodiment of the present invention for each process.

【図7】従来の半導体装置の製造方法を工程別に示す断
面図である。
FIG. 7 is a cross-sectional view showing a conventional method for manufacturing a semiconductor device for each process.

【符号の説明】[Explanation of symbols]

1 シリコン基板、 2 シリコン酸化膜 3 シリコン窒化膜 5 アモルファス化層 6 溝(トレンチ) 7 酸化膜 DESCRIPTION OF SYMBOLS 1 Silicon substrate, 2 Silicon oxide film 3 Silicon nitride film 5 Amorphization layer 6 Groove (trench) 7 Oxide film

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 トレンチ素子分離法を用いた半導体装置
の製造方法において、シリコン基板表面にトレンチ形成
用マスクを形成する工程と、このマスクを用いてトレン
チ形成領域の表面をアモルファス化する工程と、シリコ
ン基板にトレンチを形成する工程と、トレンチ壁面を酸
化する工程と、トレンチを酸化膜で埋め戻し素子分離膜
を形成する工程と、を含むことを特徴とする半導体装置
の製造方法。
In a method of manufacturing a semiconductor device using a trench element isolation method, a step of forming a trench forming mask on a surface of a silicon substrate, and a step of using the mask to amorphize the surface of a trench forming region. A method for manufacturing a semiconductor device, comprising: a step of forming a trench in a silicon substrate; a step of oxidizing a trench wall surface; and a step of back-filling the trench with an oxide film to form an element isolation film.
【請求項2】 トレンチ形成用マスクを用いて、シリコ
ン(Si)又はゲルマニウム(Ge)をイオン注入し、
トレンチ形成領域の表面をアモルファス化することを特
徴とする請求項1に記載の半導体装置の製造方法。
2. Using a trench forming mask, ion-implant silicon (Si) or germanium (Ge),
2. The method according to claim 1, wherein the surface of the trench formation region is made amorphous.
【請求項3】 アモルファス化された領域に基板と同一
タイプの不純物をイオン注入することを特徴とする請求
項1又は2に記載の半導体装置の製造方法。
3. The method according to claim 1, wherein an impurity of the same type as the substrate is ion-implanted into the amorphous region.
【請求項4】 トレンチ形成用マスクを用いて、基板と
同一タイプの不純物をイオン注入し、トレンチ形成領域
の表面をアモルファス化することを特徴とする請求項1
に記載の半導体装置の製造方法。
4. The surface of a trench formation region is made amorphous by ion-implanting an impurity of the same type as that of a substrate using a trench formation mask.
13. The method for manufacturing a semiconductor device according to item 5.
【請求項5】 アモルファス化領域と結晶領域のエッチ
ング速度の差によって、トレンチのエッチング時にこの
角を丸めることを特徴とする請求項1ないし4のいずれ
かに記載の半導体装置の製造方法。
5. The method of manufacturing a semiconductor device according to claim 1, wherein the corner is rounded at the time of etching the trench, based on a difference in etching rate between the amorphous region and the crystalline region.
【請求項6】 アモルファス化領域と結晶領域の酸化速
度の差によって、トレンチのエッチング後の再酸化時に
この角を丸めることを特徴とする請求項1又は2に記載
の半導体装置の製造方法。
6. The method of manufacturing a semiconductor device according to claim 1, wherein the corner is rounded at the time of re-oxidation after the etching of the trench, due to a difference in oxidation rate between the amorphous region and the crystalline region.
JP2000057175A 2000-03-02 2000-03-02 Method for manufacturing semiconductor device Pending JP2001244324A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000057175A JP2001244324A (en) 2000-03-02 2000-03-02 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000057175A JP2001244324A (en) 2000-03-02 2000-03-02 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2001244324A true JP2001244324A (en) 2001-09-07

Family

ID=18578011

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000057175A Pending JP2001244324A (en) 2000-03-02 2000-03-02 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2001244324A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005026665A (en) * 2003-06-30 2005-01-27 Hynix Semiconductor Inc Method for manufacturing flash memory element
KR100831260B1 (en) 2006-12-29 2008-05-22 동부일렉트로닉스 주식회사 Method for forming corner rounding in trench isolation
JP2011109106A (en) * 2009-11-20 2011-06-02 Taiwan Semiconductor Manufacturing Co Ltd Integrated circuit structure and method of manufacturing integrated circuit
CN105336608A (en) * 2014-05-28 2016-02-17 中芯国际集成电路制造(上海)有限公司 Formation method of MOS transistor

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005026665A (en) * 2003-06-30 2005-01-27 Hynix Semiconductor Inc Method for manufacturing flash memory element
JP4615880B2 (en) * 2003-06-30 2011-01-19 株式会社ハイニックスセミコンダクター Method for manufacturing flash memory device
KR100831260B1 (en) 2006-12-29 2008-05-22 동부일렉트로닉스 주식회사 Method for forming corner rounding in trench isolation
JP2011109106A (en) * 2009-11-20 2011-06-02 Taiwan Semiconductor Manufacturing Co Ltd Integrated circuit structure and method of manufacturing integrated circuit
US8941153B2 (en) 2009-11-20 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin heights
US9425102B2 (en) 2009-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin heights
US9711412B2 (en) 2009-11-20 2017-07-18 Taiwan Semiconductor Munufacturing Company, Ltd. FinFETs with different fin heights
CN105336608A (en) * 2014-05-28 2016-02-17 中芯国际集成电路制造(上海)有限公司 Formation method of MOS transistor

Similar Documents

Publication Publication Date Title
KR100290505B1 (en) Manufacturing Method of Field Effect Transistor Using SOI Substrate
KR100862816B1 (en) Semiconductor device and method of manufacturing the same
US6326272B1 (en) Method for forming self-aligned elevated transistor
JP3058112B2 (en) Semiconductor device and manufacturing method thereof
KR20010025030A (en) Method of manufacturing a mis field-effect transistor
KR20030021905A (en) Semiconductor device on SOI(silicon on insulator) structure) and method for manufacturing the same
JPH0348656B2 (en)
JP2001332615A (en) Manufacturing method for semiconductor element
JPH11145273A (en) Manufacture of semiconductor device
JP2001244324A (en) Method for manufacturing semiconductor device
KR20060042460A (en) Method for manufacturing a transistor having a recess channel
JP4039854B2 (en) Manufacturing method of semiconductor device
KR19980081139A (en) Method of forming a CMOS circuit device
JP2000208612A (en) Production of semiconductor device having trench element isolating region
KR100597462B1 (en) Method for fabricating transistor of semiconductor device
JPH1140662A (en) Manufacture of semiconductor device
JP3608999B2 (en) Manufacturing method of semiconductor device
KR100724473B1 (en) Method for forming source/drain isolated by silicon oxide
JP3601334B2 (en) Method of manufacturing semiconductor device having trench element isolation region
JP2000150870A (en) Semiconductor device and its manufacture
JP2783200B2 (en) Method for manufacturing semiconductor device
KR101051801B1 (en) Transistor of semiconductor device and manufacturing method thereof
KR100517348B1 (en) Method for Fabricating semiconductor
JP2001237307A (en) Manufacturing method of semiconductor device
KR20020002918A (en) Method for fabricating transistor of semiconductor memory device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040506

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041005