JPH11145273A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH11145273A
JPH11145273A JP30623997A JP30623997A JPH11145273A JP H11145273 A JPH11145273 A JP H11145273A JP 30623997 A JP30623997 A JP 30623997A JP 30623997 A JP30623997 A JP 30623997A JP H11145273 A JPH11145273 A JP H11145273A
Authority
JP
Japan
Prior art keywords
oxide film
insulating film
film
forming
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP30623997A
Other languages
Japanese (ja)
Inventor
Hiroyuki Ota
裕之 大田
Hirotaka Takatsuka
弘隆 高塚
Kenji Kiuchi
謙二 木内
Motomori Miyajima
基守 宮嶋
Takashi Sakuma
崇 佐久間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP30623997A priority Critical patent/JPH11145273A/en
Publication of JPH11145273A publication Critical patent/JPH11145273A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To stably obtain a structure wherein abnormality of transistor characteristic is not generated by side-etching a first insulation film below a second insulation film which becomes a stopper, selectively exposing and removing a corner part of a semiconductor substrate and rounding a corner part by thermally oxidizing the substrate. SOLUTION: A silicon board 1 is etched by using a silicon oxide film 4 as a mask and a groove 7 is formed. When silicon oxide films 2, 4 are etched by hydrofluoric acid, the silicon oxide film 2 is side-etched and a second opening part 6a is formed. A surface of an exposed part of the silicon substrate 1 is etched by using a silicon nitride film 3 as a mask and a corner of a shoulder part and a bottom part of the groove 7 are removed. Thereafter, a shoulder part can be thereby rounded readily by carrying out thermal oxidation. Accordingly, field concentration at a shoulder part is relaxed, transistor characteristic is stabilized, and high performance and high density of a semiconductor device are realized.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、より詳しくは、素子分離領域を有する半導
体装置の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device having an element isolation region.

【0002】[0002]

【従来の技術】半導体装置における素子分離方法の1つ
として、LOCOS(LOCal Oxidation of Silicon)と
呼ばれる技術がある。この技術は、シリコン窒化膜を酸
化防止マスクに用いてシリコン基板の表面の所定部分を
選択的に熱酸化し、これにより形成された酸化膜を素子
分離領域とするものである。その素子分離領域に形成さ
れる酸化膜は、一般にフィールド酸化膜と呼ばれてい
る。
2. Description of the Related Art As one of element isolation methods in a semiconductor device, there is a technique called LOCOS (LOCal Oxidation of Silicon). In this technique, a predetermined portion of the surface of a silicon substrate is selectively thermally oxidized using a silicon nitride film as an oxidation prevention mask, and an oxide film formed thereby is used as an element isolation region. The oxide film formed in the element isolation region is generally called a field oxide film.

【0003】しかし、LOCOS法による素子分離に
は、次のような2つの問題がある。その1つは、バーズ
ビークと呼ばれるものである。これは、LOCOS法に
よってシリコン基板を熱酸化すると、酸化防止マスクの
縁から酸素が入ってシリコン基板表面の酸化膜が酸化防
止マスクの下に食い込むことによるものであり、その食
い込んだ部分の断面形状が鳥の嘴状に形成されることか
らバーズビークと呼ばれている。
However, there are the following two problems in element isolation by the LOCOS method. One of them is called bird's beak. This is because, when the silicon substrate is thermally oxidized by the LOCOS method, oxygen enters from the edge of the antioxidant mask and the oxide film on the surface of the silicon substrate bites under the antioxidant mask. Is called a bird's beak because it is shaped like a bird's beak.

【0004】このバーズビークはフィールド酸化膜領域
を広げることになるので、素子分離領域が狭まるという
問題が生じる。もう1つは、シニング(THINNING)効果
と呼ばれる現象であり、素子分離領域の幅が狭くなるに
つれてフィールド酸化膜の膜厚が薄くなるという現象で
ある。これは、シリコン基板の素子分離領域に酸素を供
給するための酸化防止マスクの開口部の寸法を狭くする
と、開口部を通して供給される酸素量が少なくなること
によって生じる。このため、素子分離が完全でなくなる
という問題がある。
Since the bird's beak enlarges the field oxide film region, there arises a problem that the element isolation region is narrowed. The other is a phenomenon called a thinning (THINNING) effect, in which the field oxide film becomes thinner as the width of the element isolation region becomes narrower. This is caused by reducing the amount of oxygen supplied through the opening when the size of the opening of the antioxidant mask for supplying oxygen to the element isolation region of the silicon substrate is reduced. For this reason, there is a problem that element isolation is not perfect.

【0005】このようにフィールド酸化膜が薄くなって
くると、寄生MOSトランジスタのチャネルの形成を防
止するためにフィールド酸化膜直下に導入する不純物の
導入の効果が無くなることもある。これらの問題は、従
来より知られていたが、素子の寸法が大きい場合にはバ
ーズビーク、シニングによる影響は少なかった。
As described above, when the thickness of the field oxide film is reduced, the effect of introducing impurities introduced immediately below the field oxide film in order to prevent the formation of the channel of the parasitic MOS transistor may be lost. These problems have been conventionally known, but when the element size is large, the influence of bird's beak and thinning is small.

【0006】ところが、半導体装置の微細化に伴い、素
子だけでなく、素子分離領域も微細化されるようになる
と、これらの問題点が顕在化してきた。バーズビーク
は、素子の微細化に合わせて小さくすることが困難なの
で、バーズビークが素子形成領域を浸食して素子形成領
域の寸法を縮小化する割合が大きくなる。また、素子分
離領域の幅を1μm以下にすると、シニング効果も顕著
に表れ、幅の狭い素子分離領域が、幅の広い素子分離領
域に比べてフィールド酸化膜の膜厚が半分以下になる場
合もある。
However, these problems have become apparent as not only elements but also element isolation regions have been miniaturized with the miniaturization of semiconductor devices. Since it is difficult to reduce the size of the bird's beak in accordance with the miniaturization of the element, the rate of the bird's beak eroding the element formation region and reducing the size of the element formation region increases. When the width of the element isolation region is set to 1 μm or less, a thinning effect is also remarkably exhibited, and the thickness of the field oxide film in a narrow element isolation region becomes less than half of that in a wide element isolation region. is there.

【0007】このような問題が生じない素子分離構造と
して、シリコン基板に溝(trench)を形成してその中に
絶縁物又は多結晶シリコンを埋め込むトレンチアイソレ
ーションが知られている。この方法は、従来、深い素子
分離を必要とするバイポーラトランジスタLSIに適用
されてきたが、バーズビーク、シニングがともに生じな
いことから、MOSトランジスタLSIへの適用も進ん
でいる。
As an element isolation structure which does not cause such a problem, there is known a trench isolation in which a trench is formed in a silicon substrate and an insulator or polycrystalline silicon is embedded therein. This method has been conventionally applied to a bipolar transistor LSI requiring deep element isolation. However, since neither bird's beak nor thinning occurs, application to a MOS transistor LSI is also progressing.

【0008】MOSトランジスタLSIでは、バイポー
ラトランジスタLSIほどの深い素子分離は必要としな
いために、トレンチアイソレーションの中でも、深さ1
μm程度の比較的浅い溝で素子分離を行うSTI(Shal
low Trench Isolation)と呼ばれる構造が用いられてい
る。次に、STIを用いた素子分離方法について、図1
5と図16を用いて説明する。
The MOS transistor LSI does not require the element isolation as deep as the bipolar transistor LSI.
STI (Shal) that performs element isolation with a relatively shallow groove of about μm
A structure called low Trench Isolation) is used. Next, an element isolation method using STI will be described with reference to FIG.
5 and FIG.

【0009】まず、図15Aに示すように、シリコン基
板101 上に厚さ10nmの第一の熱酸化膜102 を形成した
後に、CVD法により全面に厚さ150nmのシリコン窒
化膜103 を形成する。続いて、レジストマスク104 の窓
105 により素子分離領域Sを確定する。その後に、図1
5Bに示すように、窓105 の下のシリコン窒化膜103 、
第一の熱酸化膜102 をエッチングして開口部103aを形成
するとともに、その下のシリコン基板101 に0.5μm
程度の深さの溝106 をRIE(Reactive Ion Etching)
法によって形成する。
First, as shown in FIG. 15A, after a first thermal oxide film 102 having a thickness of 10 nm is formed on a silicon substrate 101, a silicon nitride film 103 having a thickness of 150 nm is formed on the entire surface by a CVD method. Then, the window of the resist mask 104
By 105, the element isolation region S is determined. After that, FIG.
5B, the silicon nitride film 103 under the window 105,
The first thermal oxide film 102 is etched to form an opening 103a, and a 0.5 μm
RIE (Reactive Ion Etching) of the groove 106 with a depth of about
It is formed by a method.

【0010】次に、図15Cに示すように、レジストマ
スク104 を剥離した後に、溝106 の内壁を熱酸化して、
厚さ5nmの第二の熱酸化膜107 を形成する。そして、C
VD法により全面に厚さ1μmのシリコン酸化膜108 を
形成して、溝106 の中をそのシリコン酸化膜108 によっ
て充填する。適当な熱処理を施した後に、図15Dに示
すように、CMP(Chemical Mechanical Polishing) 又
はRIEによってシリコン窒化膜103 上のシリコン酸化
膜108 を除去して、そのシリコン酸化膜108 を溝106 の
内部とその上にだけ残すようにする。この場合、シリコ
ン窒化膜103 をストッパ層として機能させる。
Next, as shown in FIG. 15C, after the resist mask 104 is removed, the inner wall of the groove 106 is thermally oxidized,
A second thermal oxide film 107 having a thickness of 5 nm is formed. And C
A silicon oxide film 108 having a thickness of 1 μm is formed on the entire surface by the VD method, and the trench 106 is filled with the silicon oxide film 108. After performing an appropriate heat treatment, as shown in FIG. 15D, the silicon oxide film 108 on the silicon nitride film 103 is removed by CMP (Chemical Mechanical Polishing) or RIE, and the silicon oxide film 108 is Leave only on it. In this case, the silicon nitride film 103 functions as a stopper layer.

【0011】その後に、図16Eに示すように、リン酸
を用いてシリコン窒化膜103 を除去する。次いで、シリ
コン基板101 上の第一の熱酸化膜102 をフッ酸によって
除去する。次に、シリコン基板101 の表面を熱酸化して
全面に第三の熱酸化膜(不図示)を形成した後に、シリ
コン基板101 の一部に不純物をイオン注入し、さらに不
純物を活性化してウェル(不図示)を形成した後に、第
三の熱酸化膜をフッ酸で除去する。
Then, as shown in FIG. 16E, the silicon nitride film 103 is removed using phosphoric acid. Next, the first thermal oxide film 102 on the silicon substrate 101 is removed with hydrofluoric acid. Next, after the surface of the silicon substrate 101 is thermally oxidized to form a third thermal oxide film (not shown) on the entire surface, impurities are ion-implanted into a part of the silicon substrate 101, and the impurities are activated to form a well. After forming (not shown), the third thermal oxide film is removed with hydrofluoric acid.

【0012】その後に、図16Fに示すように、シリコ
ン基板101 の素子形成領域の表面を熱酸化してゲート酸
化膜109 を形成した後に、ゲート酸化膜109 の上にゲー
ト電極110 を形成し、次いで、ゲート電極110 の両側
(紙面に垂直方向)のシリコン基板101 にソース、ドレ
インとなる不純物拡散層111 を形成する。
Thereafter, as shown in FIG. 16F, after the surface of the element formation region of the silicon substrate 101 is thermally oxidized to form a gate oxide film 109, a gate electrode 110 is formed on the gate oxide film 109. Next, an impurity diffusion layer 111 serving as a source and a drain is formed on the silicon substrate 101 on both sides of the gate electrode 110 (in a direction perpendicular to the paper surface).

【0013】[0013]

【発明が解決しようとする課題】ところで、溝106 内を
シリコン酸化膜108 で充填し、シリコン窒化膜103 を除
去した後に、上記したようなフッ酸処理を複数回行う
と、溝106 内に埋設したシリコン酸化膜108 のうちシリ
コン基板101 から突出した部分がフッ酸によって等方的
にエッチングされる。このようにシリコン酸化膜108 が
等方的なエッチングを受けると、溝106 に埋め込まれた
シリコン酸化膜108 には図17Aに示すような凹部121
が形成される。
By the way, after the trench 106 is filled with a silicon oxide film 108 and the silicon nitride film 103 is removed, the above-described hydrofluoric acid treatment is performed a plurality of times to bury the trench 106 in the trench 106. The portion of the silicon oxide film 108 protruding from the silicon substrate 101 is isotropically etched by hydrofluoric acid. When the silicon oxide film 108 is isotropically etched as described above, the silicon oxide film 108 buried in the groove 106 has concave portions 121 as shown in FIG.
Is formed.

【0014】そのような凹部121 は素子形成領域と素子
分離領域Sの間に形成されるので、その凹部121 から溝
106 の上縁(肩部)の部分が露出してしまう。したがっ
て、その素子分離領域Sを跨いで形成されたゲート電極
110 に電圧が印加されると、図17Bに示すように、そ
の溝106 の縁部の肩部が角部を有するため、電界Eがそ
の肩部に集中する。
Since such a recess 121 is formed between the element formation region and the element isolation region S, the groove 121
The upper edge (shoulder) of 106 is exposed. Therefore, the gate electrode formed over the element isolation region S
When a voltage is applied to 110, as shown in FIG. 17B, the shoulder at the edge of the groove 106 has a corner, so that the electric field E concentrates on the shoulder.

【0015】これにより、ゲート電圧が低い状態でも溝
106 の肩部近傍のシリコン基板101を通してリーク電流
が流れやすくなる。すなわち、閾値の低い寄生トランジ
スタが形成されたと等しい状態になり、MOSトランジ
スタは図18に示すような特性となる。このように寄生
MOSトランジスタによって閾値電圧が低下し、ゲート
電極−ドレイン電流特性のカーブに「こぶ」が現れる現
象をハンプ(hump)、またはキンク(kink)と呼ぶ。
Thus, even if the gate voltage is low, the groove
Leakage current easily flows through the silicon substrate 101 near the shoulder of 106. That is, the state is the same as when a parasitic transistor having a low threshold is formed, and the MOS transistor has characteristics as shown in FIG. The phenomenon in which the threshold voltage is reduced by the parasitic MOS transistor and a "bump" appears on the curve of the gate electrode-drain current characteristic is called "hump" or "kink".

【0016】そして、この寄生トランジスタのリーク電
流を低減するために、溝106 の肩部にイオン注入を行う
ことが、B. DAVARI ET AL., IEDM 1988 PP.92-95に提案
されている。しかし、この方法では、溝106 の肩部だけ
でなくその周辺にまで不純物が拡がるので素子形成領域
を狭くしてしまう。また、その他の方法としては、溝10
6 の肩部を熱酸化して丸めることにより、その部分での
電界集中を低減することが、提案されている。しかし、
溝106 の肩部を丸めるためには、1200℃近い高温酸
化が必要になり、そのような温度では大口径の半導体ウ
ェーハが反り易くなってしまう。
In order to reduce the leakage current of the parasitic transistor, it is proposed in B. DAVARI ET AL., IEDM 1988, PP.92-95, to perform ion implantation at the shoulder of the groove 106. However, according to this method, the impurity spreads not only to the shoulder of the groove 106 but also to the periphery thereof, so that the element formation region is narrowed. Also, as another method, the groove 10
It has been proposed to reduce the electric field concentration at the shoulder by thermally oxidizing and rounding the shoulder. But,
In order to round the shoulder of the groove 106, high-temperature oxidation near 1200 ° C. is required, and at such a temperature, a large-diameter semiconductor wafer is easily warped.

【0017】その他の方法として、CMPとRIEのス
トッパ層として、シリコン窒化膜103 の代わりに多結晶
シリコン膜を用い、その多結晶シリコン膜をそのままゲ
ート電極として用いる方法が、C.Chen et al., IEDM 19
96 PP.837-840 において発表されている。しかし、この
方法ではゲート電極及びゲート酸化膜を通してウェル形
成用の不純物イオン注入を行う必要があるために、ゲー
ト酸化膜がダメージを受けてしまう。
As another method, a method in which a polycrystalline silicon film is used as a stopper layer for CMP and RIE instead of the silicon nitride film 103 and the polycrystalline silicon film is used as it is as a gate electrode is disclosed in C. Chen et al. , IEDM 19
96 Published in PP.837-840. However, in this method, it is necessary to implant impurity ions for forming a well through the gate electrode and the gate oxide film, so that the gate oxide film is damaged.

【0018】また、図17Aに示すシリコン酸化膜108
のシリコン基板101 から突出した部分の側面に絶縁性サ
イドウォールを形成し、この絶縁性サイドウォールによ
り凹部121 を埋め込む方法が、PIERRE C. FAZAN ET A
L., IEDM 1993, PP.57-60 に記載されている。しかし、
この方法では、サイドウォールを形成する際に、絶縁膜
成長のバラツキと、この絶縁膜のエッチバック工程のバ
ラツキを抑えることが必要であり、制御性良くサイドウ
ォールを形成することが難しい。さらに、ゲート酸化膜
を形成する前に、エッチバックを行ってサイドウォール
を形成するため、エッチバック時のイオン照射によって
シリコン基板の表面が荒れるのでゲート酸化膜への影響
も懸念される。
The silicon oxide film 108 shown in FIG.
A method of forming an insulating sidewall on the side surface of a portion protruding from the silicon substrate 101 and filling the recess 121 with the insulating sidewall is described in PIERRE C. FAZAN ET A
L., IEDM 1993, PP.57-60. But,
In this method, when forming the sidewall, it is necessary to suppress the variation in the growth of the insulating film and the variation in the etch-back process of the insulating film, and it is difficult to form the sidewall with good controllability. Further, since the sidewall is formed by performing etch back before forming the gate oxide film, the surface of the silicon substrate is roughened by ion irradiation at the time of etch back, so that the influence on the gate oxide film is also concerned.

【0019】本発明の目的は、良好なトランジスタ特性
が得られ、半導体ウェハの欠陥を防止することができる
半導体装置の製造方法を提供することにある。
An object of the present invention is to provide a method of manufacturing a semiconductor device which can obtain good transistor characteristics and can prevent defects in a semiconductor wafer.

【0020】[0020]

【課題を解決するための手段】上記した課題は、図1乃
至図5に示すように、半導体基板上に第1の絶縁膜を形
成する工程と、該第1の絶縁膜上に、該第1の絶縁膜と
はエッチング特性が異なる第2の絶縁膜を形成する工程
と、該第2の絶縁膜に第1の開口部を形成して素子分離
領域を画定する工程と、該第1の開口部を介して該第1
の絶縁膜をエッチングする工程と、該第1の開口部を介
して前記半導体基板に溝を形成する工程と、前記第2の
絶縁膜をマスクにして、前記第1の絶縁膜に該第1の開
口部よりも開口径が大きい第2の開口部を形成する工程
と、該第2の開口部を有する該第1の絶縁膜をマスクに
して、前記半導体基板をエッチングする工程と、前記溝
内を埋め込んで、前記第2の絶縁膜上に延在する埋め込
み膜を形成する工程と、該第2の絶縁膜をストッパとし
て、該第2の絶縁膜上の該埋め込み膜を除去する工程
と、該第2の絶縁膜を除去する工程とを有することを特
徴とする半導体装置の製造方法によって解決することが
できる。
SUMMARY OF THE INVENTION As shown in FIGS. 1 to 5, the above-mentioned problem is solved by forming a first insulating film on a semiconductor substrate and forming the first insulating film on the first insulating film. Forming a second insulating film having an etching characteristic different from that of the first insulating film; forming a first opening in the second insulating film to define an element isolation region; The first through an opening
Etching the insulating film, forming a groove in the semiconductor substrate through the first opening, and forming the first insulating film on the first insulating film by using the second insulating film as a mask. Forming a second opening having an opening diameter larger than that of the opening, etching the semiconductor substrate using the first insulating film having the second opening as a mask, Forming a buried film extending on the second insulating film by burying the inside, and removing the buried film on the second insulating film using the second insulating film as a stopper. And a step of removing the second insulating film.

【0021】すなわち、本発明によれば、ストッパとな
る第2の絶縁膜(窒化膜)の下の第1の絶縁膜(酸化
膜)をサイドエッチングし、半導体基板の角部を選択的
に露出して、基板エッチングによりその角部を除去し、
さらに、基板を熱酸化することで角部を容易に丸め込む
ことができるので、その後に活性領域の肩が露出した場
合においても、肩部での電界集中を起きにくくすること
ができ、ハンプ等のトランジスタ特性異常の生じない構
造を、安定して得ることが可能となる。
That is, according to the present invention, the first insulating film (oxide film) under the second insulating film (nitride film) serving as a stopper is side-etched to selectively expose the corners of the semiconductor substrate. Then, the corner is removed by etching the substrate,
Furthermore, since the corners can be easily rounded by thermally oxidizing the substrate, even when the shoulders of the active region are subsequently exposed, electric field concentration at the shoulders can be made less likely to occur, such as a hump. It is possible to stably obtain a structure that does not cause abnormal transistor characteristics.

【0022】[0022]

【発明の実施の形態】以下、図面を参照しつつ、本発明
の実施形態について説明する。 [第1実施形態]本発明の第1実施形態は、図1乃至図
6に示される。図中、参照番号1はp型シリコン基板、
2はパッド酸化膜、3はストッパ層、4はシリコン酸化
膜、5はレジストマスク、6は第1の開口部、6aは第
2の開口部、7は溝、8は基板保護層、9はシリコン酸
化膜、9aはシリコン酸化膜の突出部、10はウェル、
11はゲート絶縁膜、12はゲート電極、13,14は
不純物拡散層を示している。
Embodiments of the present invention will be described below with reference to the drawings. [First Embodiment] A first embodiment of the present invention is shown in FIGS. In the figure, reference numeral 1 is a p-type silicon substrate,
2 is a pad oxide film, 3 is a stopper layer, 4 is a silicon oxide film, 5 is a resist mask, 6 is a first opening, 6a is a second opening, 7 is a groove, 8 is a substrate protective layer, 9 is A silicon oxide film, 9a is a protrusion of the silicon oxide film, 10 is a well,
11 denotes a gate insulating film, 12 denotes a gate electrode, and 13 and 14 denote impurity diffusion layers.

【0023】図1A乃至図5Kは、本実施形態による半
導体装置の製造方法を説明する工程断面図、図6は、本
発明の半導体装置の製造工程を経て形成されたMOSト
ランジスタを示す断面図である。以下、図面を参照し
て、第1実施形態の半導体装置の製造方法について説明
する。図1Aを参照して、p型シリコン基板1の主面を
熱酸化して、その主面上にパッド酸化膜となる厚さ5〜
50nmのシリコン酸化膜2を形成する。次いで、CVD
法により全面に、ストッパ層となる厚さ40〜150nm
のシリコン窒化膜3を形成した後、750〜800℃程
度の条件でCVD法により全面に、マスク層となる厚さ
50〜150nmのシリコン酸化膜4を形成する。
1A to 5K are sectional views for explaining the method for fabricating the semiconductor device according to the present embodiment, and FIG. 6 is a sectional view showing a MOS transistor formed through the process for fabricating the semiconductor device according to the present invention. is there. Hereinafter, a method for manufacturing the semiconductor device of the first embodiment will be described with reference to the drawings. Referring to FIG. 1A, the main surface of p-type silicon substrate 1 is thermally oxidized to form a pad oxide film having a thickness of 5 to 5 on the main surface.
A 50 nm silicon oxide film 2 is formed. Then, CVD
Thickness of 40 to 150 nm to be a stopper layer
After the silicon nitride film 3 is formed, a silicon oxide film 4 having a thickness of 50 to 150 nm serving as a mask layer is formed on the entire surface by CVD at about 750 to 800 ° C.

【0024】図1Bを参照して、全面にフォトレジスト
を塗布して、露光,現像処理等を行い、素子分離領域X
に窓5aを有するレジストマスク5を形成する。その素
子分離領域Xの窓5aの幅は、例えば1μm以下であ
り、半導体素子の微細化が進むにつれて0.2μm又は
それ以下と小さくなる。次いで、レジストマスク5をマ
スクとして、CF4 とCHF3 とArの混合ガス、また
はCF4 とArの混合ガスを用いたRIEにより、シリ
コン酸化膜4、シリコン窒化膜3、シリコン酸化膜2を
エッチングして窓5aの下に第1の開口部6を形成す
る。次いで、レジストマスク5を除去する。
Referring to FIG. 1B, a photoresist is applied to the entire surface, and exposure, development, and the like are performed.
Then, a resist mask 5 having a window 5a is formed. The width of the window 5a in the element isolation region X is, for example, 1 μm or less, and decreases to 0.2 μm or less as the miniaturization of the semiconductor element progresses. Then, using the resist mask 5 as a mask, the silicon oxide film 4, the silicon nitride film 3, and the silicon oxide film 2 are etched by RIE using a mixed gas of CF4, CHF3, and Ar or a mixed gas of CF4 and Ar to form a window. A first opening 6 is formed below 5a. Next, the resist mask 5 is removed.

【0025】図1Cを参照して、シリコン酸化膜4をマ
スクとして、HBrとO2 の混合ガス、HBrとCF4
とO2 の混合ガス、またはCl2 とO2 の混合ガスを用
いたRIEにより、シリコン基板1をエッチングして深
さ0.3〜0.5μmの溝7を形成する。この際、反応
ガスとしてO2 を加えることにより、溝7の側面が傾斜
するので、その傾斜により溝7の肩部(上縁部)にかか
る電界集中を緩和させることができる。
Referring to FIG. 1C, using a silicon oxide film 4 as a mask, a mixed gas of HBr and O 2, HBr and CF 4
The silicon substrate 1 is etched by RIE using a mixed gas of O.sub.2 and O.sub.2 or a mixed gas of Cl.sub.2 and O.sub.2 to form a groove 7 having a depth of 0.3 to 0.5 .mu.m. At this time, the side surface of the groove 7 is inclined by adding O2 as a reaction gas, so that the electric field concentration on the shoulder (upper edge) of the groove 7 can be reduced by the inclination.

【0026】図2Dを参照して、フッ酸により、シリコ
ン酸化膜2,4を10〜50nmエッチングする。この
際、シリコン窒化膜3はほとんどエッチングされないた
め、シリコン窒化膜3がオーバーハングした状態とな
る。また、シリコン酸化膜2は、サイドエッチングされ
ることにより、第2の開口部6aが形成される。なお、
シリコン酸化膜2のサイドエッチング量は、シリコン窒
化膜3がリフトオフしない量であればよく、最小活性領
域幅の45%程度以下のエッチング量であることが必要
である。例えば、最小活性領域幅が200nmの場合には
90nmまでのサイドエッチングが許容範囲である。
Referring to FIG. 2D, the silicon oxide films 2 and 4 are etched by 10 to 50 nm using hydrofluoric acid. At this time, since the silicon nitride film 3 is hardly etched, the silicon nitride film 3 is in an overhang state. The silicon oxide film 2 is side-etched to form a second opening 6a. In addition,
The amount of side etching of the silicon oxide film 2 may be such that the silicon nitride film 3 is not lifted off, and it is necessary that the etching amount is about 45% or less of the minimum active region width. For example, when the minimum active region width is 200 nm, side etching up to 90 nm is an allowable range.

【0027】図2Eを参照して、シリコン窒化膜3をマ
スクとして、O2 /CF4 (流量比0.5〜5)、圧力
1torr以下好ましくは700〜750mtorr を用いたC
DE(Chemical Dry Etching)法により、露出した部分
のシリコン基板1表面を30nm程度エッチングするとと
もに、溝7の肩部および底部の角を除去する。本発明で
は、この溝7の肩部の角をエッチング(CDE法)によ
り除去することを特徴とするものであり、そのエッチン
グにおいては、シリコン酸化膜2がサイドエッチされて
いることが極めて重要である。
Referring to FIG. 2E, using silicon nitride film 3 as a mask, C 2 / CF 4 (flow ratio 0.5 to 5), pressure 1 torr or less, preferably 700 to 750 mtorr.
The exposed surface of the silicon substrate 1 is etched by about 30 nm by the DE (Chemical Dry Etching) method, and the shoulder and the bottom corner of the groove 7 are removed. The present invention is characterized in that the corner of the shoulder of the groove 7 is removed by etching (CDE method). In the etching, it is extremely important that the silicon oxide film 2 is side-etched. is there.

【0028】図7は、シリコン酸化膜2、シリコン窒化
膜3、シリコン窒化膜4をマスクとしてシリコン基板を
エッチングして溝7を形成し、その後、シリコン酸化膜
2、シリコン窒化膜3、シリコン酸化膜4を除去した状
態を示している。その後、上記CDE法により、シリコ
ン基板1をエッチングすると点線で示したように、溝7
の底部では丸まったが、肩部では丸まらなかった。この
理由は、まだ詳細には解明していないが、肩部では通常
に等方性エッチングが行われ、底部ではデポジションが
起こり、エッチング速度が遅くなったためではないかと
考えられる。
FIG. 7 shows that the silicon substrate is etched using the silicon oxide film 2, the silicon nitride film 3, and the silicon nitride film 4 as a mask to form a groove 7, and then the silicon oxide film 2, the silicon nitride film 3, The state where the film 4 is removed is shown. Thereafter, when the silicon substrate 1 is etched by the CDE method, as shown by a dotted line,
At the bottom, but not at the shoulders. Although the reason for this has not been elucidated in detail, it is considered that isotropic etching is usually performed on the shoulder and deposition occurs on the bottom, and the etching rate is reduced.

【0029】さらに、本発明者等は、図1Cに示したよ
うに、溝7を形成後、シリコン酸化膜2をサイドエッチ
ングすることなく、CDE法によりシリコン基板1をエ
ッチングすることも試みた。しかし、図7の点線で示し
たのと同様の形状しか得られなかった。すなわち、シリ
コン酸化膜2をサイドエッチングすることにより、溝7
の肩部周辺のシリコン基板を選択的に露出させることが
できたので、その結果、肩部の角をエッチングすること
ができ、その後の熱酸化によって、容易に肩部を丸め込
むことができたものと考えられる。
Further, as shown in FIG. 1C, the present inventors also tried to etch the silicon substrate 1 by CDE without forming the silicon oxide film 2 after the formation of the groove 7. However, only the same shape as shown by the dotted line in FIG. 7 was obtained. That is, the side surfaces of the silicon oxide film 2 are etched to form the grooves 7.
The silicon substrate around the shoulder was selectively exposed, so that the corner of the shoulder could be etched, and the shoulder could be easily rounded by subsequent thermal oxidation. it is conceivable that.

【0030】図3Fを参照して、900〜1050℃程
度の熱酸化により、シリコン基板1の溝7の内壁面に厚
さ5〜50nmのシリコン酸化膜(基板保護層)8を形成
し、これにより溝7の内面をシリコン酸化膜8で覆う。
図3Gを参照して、SiH4 と酸素の混合ガスを用いる
か、或いはTEOSとオゾンの混合ガスを用いたCVD
法により、厚さ0.6〜1μm程度のシリコン酸化膜9
を成長して、シリコン酸化膜9によってシリコン窒化膜
3およびシリコン酸化膜4を覆うとともに、溝7の中に
埋め込むようにする。
Referring to FIG. 3F, a silicon oxide film (substrate protection layer) 8 having a thickness of 5 to 50 nm is formed on the inner wall surface of groove 7 of silicon substrate 1 by thermal oxidation at about 900 to 1050 ° C. Covers the inner surface of groove 7 with silicon oxide film 8.
Referring to FIG. 3G, CVD using a mixed gas of SiH4 and oxygen or a mixed gas of TEOS and ozone is used.
Silicon oxide film 9 having a thickness of about 0.6 to 1 μm
Is grown so that the silicon oxide film 9 covers the silicon nitride film 3 and the silicon oxide film 4 and is buried in the trench 7.

【0031】なお、必要に応じて、シリコン酸化膜9の
成長の後に、約1000℃のアニールによってシリコン
酸化膜9を緻密化する。図4Hを参照して、シリコン窒
化膜3をストッパとして、シリコン酸化膜9及びシリコ
ン酸化膜4をCMPにより研磨することにより、シリコ
ン窒化膜3の上のシリコン酸化膜4,9を除去する。
If necessary, after the growth of the silicon oxide film 9, the silicon oxide film 9 is densified by annealing at about 1000 ° C. Referring to FIG. 4H, silicon oxide films 9 and 4 are polished by CMP using silicon nitride film 3 as a stopper, whereby silicon oxide films 4 and 9 on silicon nitride film 3 are removed.

【0032】その研磨は、回転する上下の定盤(不図
示)の間にシリコン基板1を挟んで行われる。上下の定
盤の回転速度をそれぞれ20rpm 、上下の定盤間の圧力
を5PSI、バックプレッシャーを5PSIとし、研磨
剤としてコロイダルシリカを主成分としたスラリー又は
酸化セリウム系スラリーを用いる。このような条件では
シリコン窒化膜3のエッチングレートが小さくてこれが
研磨の終点となり、この研磨を終えた状態ではシリコン
酸化膜9がシリコン窒化膜3の開口部6と溝7の中にの
み残ることになる。
The polishing is performed with the silicon substrate 1 interposed between the rotating upper and lower platens (not shown). The rotation speed of the upper and lower platens is 20 rpm, the pressure between the upper and lower platens is 5 PSI, the back pressure is 5 PSI, and a slurry containing colloidal silica as a main component or a cerium oxide slurry is used as an abrasive. Under such conditions, the etching rate of the silicon nitride film 3 is small and this is the end point of polishing. When the polishing is completed, the silicon oxide film 9 remains only in the opening 6 and the groove 7 of the silicon nitride film 3. become.

【0033】なお、上記した素子分離構造を構成するシ
リコン酸化膜9をシリコン窒化膜3の上から除去する際
に、CMPを用いたがCF4 とArの混合ガスを使用す
るRIEを適用してもよい。図4Iを参照して、熱リン
酸溶液によってシリコン窒化膜3を除去すると、溝7を
埋め込んでいるシリコン酸化膜9の一部がシリコン基板
1上に突起9aとし現れる。この突起9aの形状は、シ
リコン窒化膜3の形状とほぼ一致するため、突起9aの
端部近傍直下には、開口上部の角が丸まったシリコン基
板1と、熱酸化膜9とが存在している。
When removing the silicon oxide film 9 constituting the above-mentioned element isolation structure from the silicon nitride film 3, CMP is used, but RIE using a mixed gas of CF4 and Ar is also applicable. Good. Referring to FIG. 4I, when the silicon nitride film 3 is removed with a hot phosphoric acid solution, a part of the silicon oxide film 9 filling the trench 7 appears on the silicon substrate 1 as a protrusion 9a. Since the shape of the projection 9a substantially matches the shape of the silicon nitride film 3, the silicon substrate 1 with a rounded upper corner and the thermal oxide film 9 are present immediately below the vicinity of the end of the projection 9a. I have.

【0034】なお、シリコン窒化膜3のエッチングは、
熱リン酸によるウェットエッチングの他に、CF4 、C
HF3 、HBr、SF6 、02 、Arのガスを任意に選
択するドライエッチングであってもよい。図5Jを参照
して、シリコン基板1上に残ったシリコン酸化膜2を希
釈フッ酸によって除去し、さらに、シリコン基板1の表
面を熱酸化して犠牲酸化膜(不図示)を成長し、シリコ
ン基板1にイオン注入により一導電型のウェル10を形
成した後に、犠牲酸化膜を希釈フッ酸によって除去す
る。
The etching of the silicon nitride film 3 is performed as follows.
In addition to wet etching with hot phosphoric acid, CF4, C
Dry etching in which HF3, HBr, SF6, 02 or Ar gas is arbitrarily selected may be used. Referring to FIG. 5J, silicon oxide film 2 remaining on silicon substrate 1 is removed with diluted hydrofluoric acid, and the surface of silicon substrate 1 is thermally oxidized to grow a sacrificial oxide film (not shown). After a well 10 of one conductivity type is formed in the substrate 1 by ion implantation, the sacrificial oxide film is removed by dilute hydrofluoric acid.

【0035】そのような2回のフッ酸処理によってシリ
コン酸化膜9の突起9aは、縮小化して従来のような凹
部が形成されることとなるが、これは、CVD法により
形成したシリコン酸化膜9に比べて、熱酸化法により形
成したシリコン酸化膜8の方が膜質が緻密なためエッチ
ングレートが遅いと考えられるからである。しかし、図
2Eおよび図2Fの工程において、溝7の角部がすでに
丸められているため、この角部にゲート絶縁膜およびゲ
ート電極が形成されても、角部における電界集中を抑え
ることができる。
The protrusion 9a of the silicon oxide film 9 is reduced in size by the two hydrofluoric acid treatments to form a recess as in the prior art. This is because the silicon oxide film formed by the CVD method is formed. This is because the etching rate of the silicon oxide film 8 formed by the thermal oxidation method is slower than that of the silicon oxide film 9 because the film quality is denser. However, in the steps of FIGS. 2E and 2F, since the corners of the groove 7 are already rounded, the electric field concentration at the corners can be suppressed even if the gate insulating film and the gate electrode are formed at these corners. .

【0036】これにより、溝7内に埋め込まれたシリコ
ン酸化膜9によって素子分離構造が完成する。図5Kを
参照して、シリコン基板1の表面を熱酸化して、厚さ5
nmのゲート酸化膜(ゲート絶縁膜)11を形成し、次い
で、素子形成領域Yから素子分離領域Xにかけてゲート
電極12を形成した後に、シリコン基板1内の不純物と
反対導電型の不純物をゲート電極12の両側にイオン注
入してソース、ドレインとなる不純物拡散層13,14
を形成する。これにより図6に示すMOSトランジスタ
の形成工程が終了する。
Thus, the element isolation structure is completed by the silicon oxide film 9 buried in the trench 7. Referring to FIG. 5K, the surface of silicon substrate 1 is thermally oxidized to a thickness of 5
After forming a gate oxide film (gate insulating film) 11 nm and a gate electrode 12 from the element formation region Y to the element isolation region X, an impurity of the opposite conductivity type to the impurity in the silicon substrate 1 is formed on the gate electrode. The impurity diffusion layers 13 and 14 serving as a source and a drain are implanted into both sides of the
To form Thus, the step of forming the MOS transistor shown in FIG. 6 is completed.

【0037】不純物拡散層13,14を形成するために
シリコン基板1にイオン注入される不純物として、ウェ
ル10がn型の場合にはp型不純物(ホウ素等)であ
り、又はウェル10がp型の場合にはn型不純物(燐、
砒素等)である。本発明によれば、半導体基板に溝を形
成した後で、その溝を埋め込む酸化膜を形成する前に、
ストッパとなるシリコン窒化膜の下の酸化膜をサイドエ
ッチングし、露出しているシリコン基板の角部を丸める
処理を行うことで、肩部における電界集中を起きにくく
することができ、閾値の低い寄生トランジスタが形成さ
れるのを防止することができる。
The impurity to be ion-implanted into the silicon substrate 1 to form the impurity diffusion layers 13 and 14 is a p-type impurity (boron or the like) when the well 10 is an n-type, or a p-type impurity In the case of n-type impurities (phosphorus,
Arsenic, etc.). According to the present invention, after forming a groove in a semiconductor substrate, and before forming an oxide film filling the groove,
By performing a process of side-etching the oxide film under the silicon nitride film serving as a stopper and rounding off the exposed corners of the silicon substrate, electric field concentration at the shoulder portion is less likely to occur, and a low threshold parasitic is generated. The formation of a transistor can be prevented.

【0038】[第2の実施形態]第1実施形態では、シ
リコン窒化膜3上のシリコン酸化膜4は、シリコン基板
1をエッチングして溝7を形成する際のマスクとして用
いるものであるが、レジスト層で代用してもよく、この
場合シリコン窒化膜3上のシリコン酸化膜4の成長は省
略してもよい。
Second Embodiment In the first embodiment, the silicon oxide film 4 on the silicon nitride film 3 is used as a mask when the silicon substrate 1 is etched to form the trench 7. A resist layer may be used instead. In this case, the growth of the silicon oxide film 4 on the silicon nitride film 3 may be omitted.

【0039】以下、第2実施形態について図面を参照し
つつ、具体的に説明する。第2実施形態は図8A乃至図
10Gに示される。図中、同一符号は同一のものを示す
ものとし、図1A乃至図6と対応する工程についてはそ
の説明を省略する。図8A乃至図10Gは、本実施形態
による半導体装置の製造方法を説明する工程断面図であ
る。以下、図面を参照して、第2実施形態の半導体装置
の製造方法について説明する。
Hereinafter, the second embodiment will be described in detail with reference to the drawings. A second embodiment is shown in FIGS. 8A to 10G. In the drawings, the same reference numerals denote the same components, and a description of the steps corresponding to FIGS. 1A to 6 will be omitted. 8A to 10G are sectional views for explaining the method for fabricating the semiconductor device according to the present embodiment. Hereinafter, a method for manufacturing the semiconductor device of the second embodiment will be described with reference to the drawings.

【0040】図8Aを参照して、p型シリコン基板1の
主面を熱酸化して、シリコン基板1上にパッド酸化膜と
なる厚さ10nmのシリコン酸化膜2を形成する。次い
で、CVD法により全面に、ストッパ層となる厚さ40
〜150nmのシリコン窒化膜3を形成した後、全面にフ
ォトレジストを塗布する。図8Bを参照して、第1実施
形態において説明したのと同様に、レジストマスク5を
形成する。次いで、レジストマスク5をマスクとして、
CF4 とCHF3とAr、またはCF4 とArの混合ガ
スを用いたRIEにより、シリコン窒化膜3、シリコン
酸化膜2をエッチングして窓5aの下に開口部6を形成
する。
Referring to FIG. 8A, the main surface of p-type silicon substrate 1 is thermally oxidized to form a 10 nm-thick silicon oxide film 2 serving as a pad oxide film on silicon substrate 1. Next, a thickness of 40 to serve as a stopper layer is formed on the entire surface by CVD.
After forming a silicon nitride film 3 of about 150 nm, a photoresist is applied to the entire surface. Referring to FIG. 8B, a resist mask 5 is formed in the same manner as described in the first embodiment. Next, using the resist mask 5 as a mask,
The opening 6 is formed below the window 5a by etching the silicon nitride film 3 and the silicon oxide film 2 by RIE using a mixed gas of CF4 and CHF3 and Ar or a mixed gas of CF4 and Ar.

【0041】図8Cを参照して、レジストマスク5をマ
スクとして、HBrとO2 の混合ガス、HBrとCF4
とO2 の混合ガス、またはCl2 とO2 の混合ガスを用
いたRIEにより、シリコン基板1をエッチングして深
さ0.3〜0.5μmの溝7を形成する。その後、レジ
ストマスク5を除去する。図9Dを参照して、フッ酸に
より、シリコン酸化膜2を10〜50nmエッチングす
る。この際、シリコン窒化膜3はほとんどエッチングさ
れないため、シリコン窒化膜3がオーバーハングした状
態となる。また、シリコン酸化膜2は、サイドエッチン
グされることにより、開口部6aが形成される。図9E
を参照して、第1実施形態において説明したのと同様
に、CDE法により、露出した部分のシリコン基板1表
面をエッチングし、溝7の肩部および底部の角を除去す
る。図10Fを参照して、900℃程度の熱酸化によ
り、シリコン基板1の溝7の内壁面に厚さ5nmのシリコ
ン酸化膜(基板保護層)8を形成し、これにより溝7の
内面をシリコン酸化膜8で覆う。
Referring to FIG. 8C, using a resist mask 5 as a mask, a mixed gas of HBr and O 2, HBr and CF 4
The silicon substrate 1 is etched by RIE using a mixed gas of O.sub.2 and O.sub.2 or a mixed gas of Cl.sub.2 and O.sub.2 to form a groove 7 having a depth of 0.3 to 0.5 .mu.m. After that, the resist mask 5 is removed. Referring to FIG. 9D, silicon oxide film 2 is etched by 10 to 50 nm with hydrofluoric acid. At this time, since the silicon nitride film 3 is hardly etched, the silicon nitride film 3 is in an overhang state. The silicon oxide film 2 is side-etched to form an opening 6a. FIG. 9E
In the same manner as described in the first embodiment, the exposed portion of the surface of the silicon substrate 1 is etched by CDE to remove the shoulder and the corner of the bottom of the groove 7. Referring to FIG. 10F, a silicon oxide film (substrate protection layer) 8 having a thickness of 5 nm is formed on the inner wall surface of groove 7 of silicon substrate 1 by thermal oxidation at about 900 ° C., thereby forming the inner surface of groove 7 with silicon. Cover with oxide film 8.

【0042】図10Gを参照して、SiH4 と酸素の混
合ガスを用いるか、或いはTEOSとオゾンの混合ガス
を用いたCVD法により、厚さ0.6〜1μm程度のシ
リコン酸化膜9を成長して、シリコン酸化膜9によって
シリコン窒化膜3を覆うとともに、溝7の中に埋め込む
ようにする。なお、シリコン酸化膜9の成長の後に、約
1000℃のアニールによってシリコン酸化膜9を緻密
化する。
Referring to FIG. 10G, a silicon oxide film 9 having a thickness of about 0.6 to 1 μm is grown by a CVD method using a mixed gas of SiH 4 and oxygen or a mixed gas of TEOS and ozone. Thus, the silicon nitride film 3 is covered with the silicon oxide film 9 and buried in the trench 7. After the growth of the silicon oxide film 9, the silicon oxide film 9 is densified by annealing at about 1000.degree.

【0043】以下、第1実施例における図4H乃至図5
Kと同様の工程を経て、半導体装置を製造する。本実施
形態によれば、ストッパとなるシリコン窒化膜3のパタ
ーニングに用いるレジストマスク5を用いて、シリコン
基板に溝7を形成するので、シリコン窒化膜3の上にシ
リコン酸化膜を形成する必要がなく、シリコン酸化膜を
形成し、さらにエッチングを行うという工程を削減する
ことができる。
FIGS. 4H to 5 show the first embodiment.
Through the same steps as in K, a semiconductor device is manufactured. According to the present embodiment, since the groove 7 is formed in the silicon substrate using the resist mask 5 used for patterning the silicon nitride film 3 serving as a stopper, it is necessary to form a silicon oxide film on the silicon nitride film 3. In addition, the steps of forming a silicon oxide film and performing etching can be reduced.

【0044】[第3の実施形態]第1,2実施形態で
は、シリコン基板1に溝7を形成した後に、シリコン酸
化膜2のサイドエッチングを行い、さらに、基板の角部
を丸める処理を行ったが、本発明はこれに限定されるも
のではなく、シリコン酸化膜2のサイドエッチング後に
溝7を形成してから、基板の角部を丸める処理を行って
もよい。
[Third Embodiment] In the first and second embodiments, after the groove 7 is formed in the silicon substrate 1, the silicon oxide film 2 is side-etched, and further, the corner of the substrate is rounded. However, the present invention is not limited to this. After the groove 7 is formed after the side etching of the silicon oxide film 2, a process of rounding the corner of the substrate may be performed.

【0045】以下、シリコン酸化膜2のサイドエッチン
グ後に溝7を形成してから、基板の角部を丸める処理を
行う方法について図面を参照しつつ、具体的に説明す
る。本発明の第3実施形態は図11乃至図12に示され
る。図中、同一符号は同一のものを示すものとし、図1
A乃至図6と対応する工程についてはその説明を省略す
る。
Hereinafter, a method of forming the groove 7 after the side etching of the silicon oxide film 2 and then rounding the corner of the substrate will be specifically described with reference to the drawings. A third embodiment of the present invention is shown in FIGS. In the drawings, the same reference numerals denote the same components, and FIG.
The description of the steps corresponding to A to FIG. 6 is omitted.

【0046】図11A乃至図12Dは、本実施形態によ
る半導体装置の製造方法を説明する工程断面図である。
以下、図面を参照して、第3実施形態の半導体装置の製
造方法について説明する。図11Aを参照して、p型シ
リコン基板1の主面上にパッド酸化膜となるシリコン酸
化膜2、ストッパ層となるシリコン窒化膜3、マスク層
となるシリコン酸化膜4、素子分離領域Xに窓5aを有
するレジストマスク5を順次形成し、レジストマスク5
をマスクとして、RIEにより、シリコン酸化膜4、シ
リコン窒化膜3、シリコン酸化膜2をエッチングして、
図1Bに示したのと同様の形状を得る。
11A to 12D are process sectional views for explaining the method for fabricating the semiconductor device according to the present embodiment.
Hereinafter, a method for manufacturing the semiconductor device of the third embodiment will be described with reference to the drawings. Referring to FIG. 11A, a silicon oxide film 2 serving as a pad oxide film, a silicon nitride film 3 serving as a stopper layer, a silicon oxide film 4 serving as a mask layer, and an element isolation region X are formed on a main surface of a p-type silicon substrate 1. A resist mask 5 having windows 5a is sequentially formed, and the resist mask 5
The silicon oxide film 4, the silicon nitride film 3, and the silicon oxide film 2 are etched by RIE using
A shape similar to that shown in FIG. 1B is obtained.

【0047】図11Bを参照して、レジストマスク5を
除去し、フッ酸またはNF3 ,NH3 等を用いたドライ
エッチングにより、等方的にシリコン酸化膜2を10〜
50nmエッチングする。この際、シリコン窒化膜3はほ
とんどエッチングされないため、シリコン窒化膜3がオ
ーバーハングした状態となる。また、シリコン酸化膜2
は、サイドエッチングされることにより、開口部6aが
形成される。
Referring to FIG. 11B, resist mask 5 is removed, and silicon oxide film 2 is isotropically etched by dry etching using hydrofluoric acid or NF3 or NH3.
Etch 50 nm. At this time, since the silicon nitride film 3 is hardly etched, the silicon nitride film 3 is in an overhang state. Also, the silicon oxide film 2
The opening 6a is formed by side etching.

【0048】図12Cを参照して、シリコン窒化膜3を
マスクとして、HBrとO2 の混合ガス又はCl2 とO
2 の混合ガスを用いたRIEにより、シリコン基板1を
エッチングして深さ0.5μmの溝7を形成する。図1
2Dを参照して、シリコン酸化膜2をマスクとして、O
2 /CF4 (流量比5)を用いたCDE法により、露出
した部分のシリコン基板1表面を30nm程度エッチング
し、溝7の肩部および底部の角を除去する。以下、第1
実施例における図4H乃至図5Kと同様の工程を経て、
半導体装置を製造する。
Referring to FIG. 12C, a mixed gas of HBr and O2 or a mixed gas of Cl2 and O2 is
The silicon substrate 1 is etched by RIE using the mixed gas of No. 2 to form a groove 7 having a depth of 0.5 μm. FIG.
2D, the silicon oxide film 2 is used as a mask and O
The exposed portion of the surface of the silicon substrate 1 is etched by about 30 nm by the CDE method using 2 / CF4 (flow rate ratio 5) to remove the shoulder and the corner of the bottom of the groove 7. Hereinafter, the first
Through the same steps as in FIGS. 4H to 5K in the embodiment,
A semiconductor device is manufactured.

【0049】[第4の実施形態]第3実施形態では、シ
リコン酸化膜2のサイドエッチング後に、シリコン窒化
膜3上のシリコン酸化膜4をマスクとして溝7を形成し
てから、基板の角部を丸める処理を行ったが、溝7を形
成する際のマスクとして、シリコン窒化膜3で代用して
もよく、この場合シリコン窒化膜3上のシリコン酸化膜
4の成長は省略してもよい。
Fourth Embodiment In the third embodiment, after the silicon oxide film 2 is side-etched, a groove 7 is formed using the silicon oxide film 4 on the silicon nitride film 3 as a mask, Was rounded off, but the silicon nitride film 3 may be used as a mask when forming the groove 7, and in this case, the growth of the silicon oxide film 4 on the silicon nitride film 3 may be omitted.

【0050】本発明の第4実施形態は図13乃至図14
に示される。図中、同一符号は同一のものを示すものと
し、図1A乃至図6と対応する工程についてはその説明
を省略する。図13A乃至図14Dは、本実施形態によ
る半導体装置の製造方法を説明する工程断面図である。
以下、図面を参照して、第4実施形態の半導体装置の製
造方法について説明する。
FIG. 13 and FIG. 14 show a fourth embodiment of the present invention.
Is shown in In the drawings, the same reference numerals denote the same components, and a description of the steps corresponding to FIGS. 1A to 6 will be omitted. FIGS. 13A to 14D are process cross-sectional views illustrating the method for manufacturing the semiconductor device according to the present embodiment.
Hereinafter, a method for manufacturing the semiconductor device of the fourth embodiment will be described with reference to the drawings.

【0051】図13Aを参照して、p型シリコン基板1
の主面を熱酸化して、シリコン基板1上にパッド酸化膜
となる厚さ10nmのシリコン酸化膜2を形成する。次い
で、CVD法により全面に、ストッパ層となる厚さ40
〜150nmのシリコン窒化膜3を形成した後、全面にフ
ォトレジストを塗布する。次いで、フォトレジストに対
して、露光,現像処理を行い、素子分離領域Xに窓5a
を有するレジストマスク5を形成し、レジストマスク5
をマスクとして、CF4 とArの混合ガスを用いたRI
Eにより、シリコン窒化膜3をエッチングして窓5aの
下に開口部6を形成する。
Referring to FIG. 13A, p-type silicon substrate 1
Is thermally oxidized to form a 10 nm thick silicon oxide film 2 serving as a pad oxide film on the silicon substrate 1. Next, a thickness of 40 to serve as a stopper layer is formed on the entire surface by CVD.
After forming a silicon nitride film 3 of about 150 nm, a photoresist is applied to the entire surface. Next, the photoresist is exposed and developed, and a window 5a is formed in the element isolation region X.
Forming a resist mask 5 having
Using a mixed gas of CF4 and Ar as a mask
By E, the silicon nitride film 3 is etched to form an opening 6 below the window 5a.

【0052】図13Bを参照して、レジストマスク5を
除去した後、フッ酸により、シリコン酸化膜2を10〜
50nmエッチングする。この際、シリコン窒化膜3はほ
とんどエッチングされないため、シリコン窒化膜がオー
バーハングした状態となる。また、シリコン酸化膜2
は、サイドエッチングされることにより、開口部6aが
形成される。
Referring to FIG. 13B, after the resist mask 5 is removed, the silicon oxide film 2 is
Etch 50 nm. At this time, since the silicon nitride film 3 is hardly etched, the silicon nitride film is in an overhang state. Also, the silicon oxide film 2
The opening 6a is formed by side etching.

【0053】図14Cを参照して、HBrとO2 の混合
ガス又はCl2 とO2 の混合ガスを用いたRIEによ
り、シリコン基板1をエッチングして深さ0.5μmの
溝7を形成する。図14Dを参照して、シリコン酸化膜
2をマスクとして、O2 /CF4 を用いたCDE法によ
り、露出した部分のシリコン基板1表面を30nm程度エ
ッチングし、溝7の肩部および底部の角を除去する。
Referring to FIG. 14C, the silicon substrate 1 is etched by RIE using a mixed gas of HBr and O 2 or a mixed gas of Cl 2 and O 2 to form a groove 7 having a depth of 0.5 μm. Referring to FIG. 14D, using the silicon oxide film 2 as a mask, the exposed surface of the silicon substrate 1 is etched by about 30 nm by CDE using O2 / CF4 to remove the shoulder and bottom corners of the groove 7. I do.

【0054】以下、第1実施形態における図4H乃至図
5Kと同様の工程を経て、半導体装置を製造する。以上
第1実施形態から第4実施形態に沿って本発明を説明し
たが、本発明はこれらに制限されるものではない。した
がって、例えば、種々の変更、改良、組み合わせ等が可
能なことは当業者に自明であろう。
Hereinafter, a semiconductor device is manufactured through the same steps as in FIGS. 4H to 5K in the first embodiment. Although the present invention has been described along the first to fourth embodiments, the present invention is not limited to these. Therefore, it will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like can be made.

【0055】[0055]

【発明の効果】以上説明したように本発明によれば、半
導体基板に形成した溝の肩部を容易に丸めこむことがで
き、肩部での電界集中を起きにくくして、トランジスタ
特性を安定させることができ、半導体装置の高性能化・
高密度化に寄与するところが大きい。
As described above, according to the present invention, the shoulder of the groove formed in the semiconductor substrate can be easily rounded, electric field concentration at the shoulder hardly occurs, and the transistor characteristics are stabilized. To improve the performance of semiconductor devices.
It greatly contributes to high density.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1実施形態を説明する半導体装置の
工程断面図(その1)である。
FIG. 1 is a process cross-sectional view (part 1) of a semiconductor device illustrating a first embodiment of the present invention;

【図2】本発明の第1実施形態を説明する半導体装置の
工程断面図(その2)である。
FIG. 2 is a process cross-sectional view (part 2) of the semiconductor device illustrating the first embodiment of the present invention;

【図3】本発明の第1実施形態を説明する半導体装置の
工程断面図(その3)である。
FIG. 3 is a process sectional view (part 3) of the semiconductor device for explaining the first embodiment of the present invention;

【図4】本発明の第1実施形態を説明する半導体装置の
工程断面図(その4)である。
FIG. 4 is a process sectional view (No. 4) of the semiconductor device for explaining the first embodiment of the present invention;

【図5】本発明の第1実施形態を説明する半導体装置の
工程断面図(その5)である。
FIG. 5 is a process sectional view (part 5) of the semiconductor device for explaining the first embodiment of the present invention;

【図6】本発明の半導体装置の製造工程を経て形成され
たMOSトランジスタを示す断面図である。
FIG. 6 is a cross-sectional view showing a MOS transistor formed through a manufacturing process of the semiconductor device of the present invention.

【図7】CDE法によりシリコン基板をエッチングした
状態を説明する断面図である。
FIG. 7 is a cross-sectional view illustrating a state where a silicon substrate is etched by a CDE method.

【図8】本発明の第2実施形態を説明する半導体装置の
工程断面図(その1)である。
FIG. 8 is a process sectional view (part 1) of a semiconductor device illustrating a second embodiment of the present invention.

【図9】本発明の第2実施形態を説明する半導体装置の
工程断面図(その2)である。
FIG. 9 is a process sectional view (part 2) of the semiconductor device for explaining the second embodiment of the present invention;

【図10】本発明の第2実施形態を説明する半導体装置
の工程断面図(その3)である。
FIG. 10 is a process sectional view (part 3) of the semiconductor device for explaining the second embodiment of the present invention;

【図11】本発明の第3実施形態を説明する半導体装置
の工程断面図(その1)である。
FIG. 11 is a process cross-sectional view (part 1) of a semiconductor device illustrating a third embodiment of the present invention.

【図12】本発明の第3実施形態を説明する半導体装置
の工程断面図(その2)である。
FIG. 12 is a process sectional view (part 2) of the semiconductor device for explaining the third embodiment of the present invention.

【図13】本発明の第4実施形態を説明する半導体装置
の工程断面図(その1)である。
FIG. 13 is a process sectional view (part 1) of a semiconductor device for explaining a fourth embodiment of the present invention.

【図14】本発明の第4実施形態を説明する半導体装置
の工程断面図(その2)である。
FIG. 14 is a process sectional view (part 2) of the semiconductor device for explaining the fourth embodiment of the present invention;

【図15】従来の半導体装置の製造工程の一例を示す断
面図(その1)である。
FIG. 15 is a cross-sectional view (part 1) illustrating an example of a manufacturing process of a conventional semiconductor device.

【図16】従来の半導体装置の製造工程の一例を示す断
面図(その2)である。
FIG. 16 is a cross-sectional view (part 2) illustrating an example of a process for manufacturing a conventional semiconductor device.

【図17】従来の半導体装置の製造工程の素子分離の酸
化膜に形成される欠陥を示す断面図である。
FIG. 17 is a cross-sectional view showing a defect formed in an oxide film for element isolation in a conventional semiconductor device manufacturing process.

【図18】図16に示したMOSトランジスタのトラン
ジスタ特性図である。
18 is a transistor characteristic diagram of the MOS transistor shown in FIG.

【符号の説明】[Explanation of symbols]

1 p型シリコン基板(半導体基板) 2 シリコン酸化膜(パッド酸化膜) 3 シリコン窒化膜(ストッパ層) 4 シリコン酸化膜 5 レジスト 6 第1の開口部 6a,第2の開口部 7 溝 8 シリコン酸化膜(基板保護層) 9 シリコン酸化膜 9a シリコン酸化膜の突出部 10 ウェル 11 ゲート絶縁膜 12 ゲート電極 13、14 不純物拡散層 Reference Signs List 1 p-type silicon substrate (semiconductor substrate) 2 silicon oxide film (pad oxide film) 3 silicon nitride film (stopper layer) 4 silicon oxide film 5 resist 6 first opening 6a, second opening 7 groove 8 silicon oxide Film (substrate protection layer) 9 Silicon oxide film 9a Protrusion of silicon oxide film 10 Well 11 Gate insulating film 12 Gate electrode 13, 14 Impurity diffusion layer

───────────────────────────────────────────────────── フロントページの続き (72)発明者 木内 謙二 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 (72)発明者 宮嶋 基守 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 (72)発明者 佐久間 崇 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor Kenji Kiuchi 4-1-1, Kamiodanaka, Nakahara-ku, Kawasaki-shi, Kanagawa Prefecture Inside Fujitsu Limited (72) Inventor Motomori Miyajima 4-chome, Kamiodanaka, Nakahara-ku, Kawasaki-shi, Kanagawa No. 1 Fujitsu Limited (72) Inventor Takashi Sakuma 4-1-1 Kamikadanaka, Nakahara-ku, Kawasaki-shi, Kanagawa Prefecture Fujitsu Limited

Claims (9)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板上に第1の絶縁膜を形成する
工程と、 該第1の絶縁膜上に、該第1の絶縁膜とはエッチング特
性が異なる第2の絶縁膜を形成する工程と、 該第2の絶縁膜に第1の開口部を形成して素子分離領域
を画定する工程と、 該第1の開口部を介して該第1の絶縁膜をエッチングす
る工程と、 該第1の開口部を介して前記半導体基板をエッチングし
て、前記半導体基板に溝を形成する工程と、 前記第2の絶縁膜をマスクにして、前記第1の絶縁膜に
該第1の開口部よりも開口径が大きい第2の開口部を形
成する工程と、 該第2の開口部を有する該第1の絶縁膜をマスクにし
て、前記半導体基板をエッチングする工程と、 前記溝内を埋め込んで、前記第2の絶縁膜上に延在する
埋め込み膜を形成する工程と、 該第2の絶縁膜をストッパとして、該第2の絶縁膜上の
該埋め込み膜を除去する工程と、 該第2の絶縁膜を除去する工程とを有することを特徴と
する半導体装置の製造方法。
A step of forming a first insulating film over a semiconductor substrate; and a step of forming a second insulating film over the first insulating film, the second insulating film having different etching characteristics from the first insulating film. Forming a first opening in the second insulating film to define an element isolation region; etching the first insulating film through the first opening; Forming a groove in the semiconductor substrate by etching the semiconductor substrate through the first opening; and forming the first opening in the first insulating film using the second insulating film as a mask. Forming a second opening having an opening diameter larger than the opening diameter, etching the semiconductor substrate using the first insulating film having the second opening as a mask, and filling the trench. Forming a buried film extending on the second insulating film, and the second insulating film As a stopper, a method of manufacturing a semiconductor device, characterized in that it comprises a step of removing the buried layer on the second insulating film, and removing the second insulating film.
【請求項2】 前記第2の開口部を形成した後であっ
て、前記埋め込み膜を形成する前に、前記溝内壁に熱酸
化膜を形成する工程を有することを特徴とする請求項1
記載の半導体装置の製造方法。
2. The method according to claim 1, further comprising the step of forming a thermal oxide film on the inner wall of the groove after forming the second opening and before forming the buried film.
The manufacturing method of the semiconductor device described in the above.
【請求項3】 前記第2の絶縁膜を除去する工程の後
に、前記半導体基板から突出した前記埋め込み膜の側部
を縮小化する工程をさらに有することを特徴とする請求
項1または2のいずれかに記載の半導体装置の製造方
法。
3. The method according to claim 1, further comprising, after the step of removing the second insulating film, a step of reducing a side portion of the buried film protruding from the semiconductor substrate. 13. A method for manufacturing a semiconductor device according to
【請求項4】 前記埋め込み膜はシリコン酸化膜であっ
て、前記半導体基板から突出した該埋め込み膜はフッ酸
によって縮小化されることを特徴とする請求項3記載の
半導体装置の製造方法。
4. The method according to claim 3, wherein said buried film is a silicon oxide film, and said buried film protruding from said semiconductor substrate is reduced in size by hydrofluoric acid.
【請求項5】前記第1の絶縁膜は酸化膜によって形成さ
れ、 前記第2の絶縁膜は窒化膜によって形成されることを特
徴とする請求項1乃至4のいずれかに記載の半導体装置
の製造方法。
5. The semiconductor device according to claim 1, wherein said first insulating film is formed of an oxide film, and said second insulating film is formed of a nitride film. Production method.
【請求項6】 前記第1の開口部を形成する前に、前記
第2の絶縁膜上にマスク層を形成する工程と、 該マスク層に第3の開口部を形成し、該第3の開口部を
介して該第1の開口部を形成する工程とをさらに有する
ことを特徴とする請求項1記載の半導体装置の製造方
法。
6. forming a mask layer on the second insulating film before forming the first opening; forming a third opening in the mask layer; Forming the first opening through the opening. The method according to claim 1, further comprising: forming the first opening through the opening.
【請求項7】 前記マスク層はシリコン酸化膜の成長又
はレジストの塗布によって形成され、 前記半導体基板に溝を形成する工程は、該マスク層をマ
スクにして、半導体基板を選択的にエッチングすること
により、該溝を形成することを特徴とする請求項6記載
の半導体装置の製造方法。
7. The method according to claim 7, wherein the mask layer is formed by growing a silicon oxide film or applying a resist. The step of forming a groove in the semiconductor substrate includes selectively etching the semiconductor substrate using the mask layer as a mask. 7. The method of manufacturing a semiconductor device according to claim 6, wherein the groove is formed by the following.
【請求項8】 前記第2の絶縁膜上の前記埋め込み膜の
除去は、研磨又は異方性エッチングによって行われるこ
とを特徴とする請求項1記載の半導体装置の製造方法。
8. The method according to claim 1, wherein the removal of the buried film on the second insulating film is performed by polishing or anisotropic etching.
【請求項9】 前記半導体基板のエッチングは、CDE
法を用いたエッチングにより行うことを特徴とする請求
項1記載の半導体装置の製造方法。
9. The etching of the semiconductor substrate is performed by CDE.
2. The method according to claim 1, wherein the etching is performed by an etching method.
JP30623997A 1997-11-07 1997-11-07 Manufacture of semiconductor device Withdrawn JPH11145273A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP30623997A JPH11145273A (en) 1997-11-07 1997-11-07 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP30623997A JPH11145273A (en) 1997-11-07 1997-11-07 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JPH11145273A true JPH11145273A (en) 1999-05-28

Family

ID=17954682

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30623997A Withdrawn JPH11145273A (en) 1997-11-07 1997-11-07 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPH11145273A (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005322872A (en) * 2004-05-10 2005-11-17 Hynix Semiconductor Inc Method for manufacturing semiconductor element equipped with trench type element separation film
JP2005340840A (en) * 2004-05-28 2005-12-08 Samsung Electronics Co Ltd Method of manufacturing recess channel mosfet
JP2006237182A (en) * 2005-02-24 2006-09-07 Hitachi High-Technologies Corp Semiconductor manufacturing method
JP2007184609A (en) * 2005-12-29 2007-07-19 Dongbu Electronics Co Ltd Method of forming trench
JP2008187208A (en) * 2008-04-28 2008-08-14 Sharp Corp Method for manufacturing semiconductor device
JP2009302528A (en) * 2008-06-11 2009-12-24 Magnachip Semiconductor Ltd Method for forming triple gate of semiconductor element
US7670466B2 (en) 2004-02-20 2010-03-02 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US7972485B2 (en) 2000-08-30 2011-07-05 Round Rock Research, Llc Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US8048756B2 (en) 2002-08-29 2011-11-01 Micron Technology, Inc. Method for removing metal layers formed outside an aperture of a BPSG layer utilizing multiple etching processes including electrochemical-mechanical polishing
US8048287B2 (en) 2000-08-30 2011-11-01 Round Rock Research, Llc Method for selectively removing conductive material from a microelectronic substrate
US9214359B2 (en) 2000-08-30 2015-12-15 Micron Technology, Inc. Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US20160192507A1 (en) * 2012-07-10 2016-06-30 Hsio Technologies, Llc Electrodeposited contact terminal for use as an electrical connector or semiconductor packaging substrate

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972485B2 (en) 2000-08-30 2011-07-05 Round Rock Research, Llc Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US9214359B2 (en) 2000-08-30 2015-12-15 Micron Technology, Inc. Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US8048287B2 (en) 2000-08-30 2011-11-01 Round Rock Research, Llc Method for selectively removing conductive material from a microelectronic substrate
US8048756B2 (en) 2002-08-29 2011-11-01 Micron Technology, Inc. Method for removing metal layers formed outside an aperture of a BPSG layer utilizing multiple etching processes including electrochemical-mechanical polishing
US7670466B2 (en) 2004-02-20 2010-03-02 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US8101060B2 (en) 2004-02-20 2012-01-24 Round Rock Research, Llc Methods and apparatuses for electrochemical-mechanical polishing
JP2005322872A (en) * 2004-05-10 2005-11-17 Hynix Semiconductor Inc Method for manufacturing semiconductor element equipped with trench type element separation film
JP2005340840A (en) * 2004-05-28 2005-12-08 Samsung Electronics Co Ltd Method of manufacturing recess channel mosfet
JP2006237182A (en) * 2005-02-24 2006-09-07 Hitachi High-Technologies Corp Semiconductor manufacturing method
JP2007184609A (en) * 2005-12-29 2007-07-19 Dongbu Electronics Co Ltd Method of forming trench
JP2008187208A (en) * 2008-04-28 2008-08-14 Sharp Corp Method for manufacturing semiconductor device
JP2009302528A (en) * 2008-06-11 2009-12-24 Magnachip Semiconductor Ltd Method for forming triple gate of semiconductor element
US20160192507A1 (en) * 2012-07-10 2016-06-30 Hsio Technologies, Llc Electrodeposited contact terminal for use as an electrical connector or semiconductor packaging substrate
US9761520B2 (en) * 2012-07-10 2017-09-12 Hsio Technologies, Llc Method of making an electrical connector having electrodeposited terminals

Similar Documents

Publication Publication Date Title
US5811347A (en) Nitrogenated trench liner for improved shallow trench isolation
US6881646B2 (en) Semiconductor device and process for producing the same
US6989316B2 (en) Semiconductor device and method for manufacturing
US5786263A (en) Method for forming a trench isolation structure in an integrated circuit
US6524931B1 (en) Method for forming a trench isolation structure in an integrated circuit
JPH0513566A (en) Manufacture of semiconductor device
JP2003273206A (en) Semiconductor and its manufacturing method
JPH09129721A (en) Manufacture of semiconductor device
JPH1174340A (en) Manufacture of semiconductor device
US20030003644A1 (en) Semiconductor integrated circuit device and method of fabricating the same
US6087241A (en) Method of forming side dielectrically isolated semiconductor devices and MOS semiconductor devices fabricated by this method
US6326272B1 (en) Method for forming self-aligned elevated transistor
JP2802600B2 (en) Method for manufacturing semiconductor device
JPH11145273A (en) Manufacture of semiconductor device
JPH1041291A (en) Element isolation film forming method of semiconductor device
JP3064994B2 (en) Semiconductor device and manufacturing method thereof
US6344374B1 (en) Method of fabricating insulators for isolating electronic devices
JPH08125010A (en) Isolation structure of semiconductor device and formation thereof
US20010001723A1 (en) Nitrogenated trench liner for improved shallow trench isolation
US7061128B2 (en) Semiconductor device and manufacturing method of the same
JP2003273207A (en) Method of manufacturing semiconductor device
KR20020081462A (en) Semiconductor device and fabrication method therefor
US5956589A (en) Method of forming narrow thermal silicon dioxide side isolation regions in a semiconductor substrate and MOS semiconductor devices fabricated by this method
JP2001244324A (en) Method for manufacturing semiconductor device
JP2002100670A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050201