JP2001085679A - Method for manufacturing semiconductor integrated circuit device - Google Patents

Method for manufacturing semiconductor integrated circuit device

Info

Publication number
JP2001085679A
JP2001085679A JP25626599A JP25626599A JP2001085679A JP 2001085679 A JP2001085679 A JP 2001085679A JP 25626599 A JP25626599 A JP 25626599A JP 25626599 A JP25626599 A JP 25626599A JP 2001085679 A JP2001085679 A JP 2001085679A
Authority
JP
Japan
Prior art keywords
film
silicon oxide
oxide film
forming
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP25626599A
Other languages
Japanese (ja)
Inventor
Norio Suzuki
範夫 鈴木
Naotaka Hashimoto
直孝 橋本
Yaichiro Miura
弥一郎 三浦
Koichiro Sakanishi
光一郎 坂西
Takayuki Kanda
隆行 神田
Shinichi Horibe
晋一 堀部
Hiroyuki Ichizoe
宏之 壹添
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Solutions Technology Ltd
Original Assignee
Hitachi Ltd
Hitachi ULSI Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi ULSI Systems Co Ltd filed Critical Hitachi Ltd
Priority to JP25626599A priority Critical patent/JP2001085679A/en
Publication of JP2001085679A publication Critical patent/JP2001085679A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Element Separation (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To improve the reliability and manufacturing yield of a minute MISFET(metal insulator semiconductor filed effect transistor) by suppressing the recess of a silicon oxide film being buried in an element separation groove. SOLUTION: At the lower part of a silicon nitride film 3 that becomes a mask when a substrate 1 of an element separation region is etched for forming a groove 5a, an amorphous silicon film (buffer film) 16 is provided. When the silicon nitride film 3 and the amorphous silicon film 16 are eliminated after the element separation groove is formed, the surface of a silicon oxide film 7 being buried to the element separation groove is set higher by the amount of the film thickness of the silicon nitride film 3 and the amorphous silicon film 16, thus preventing the height of the surface from greatly retreating (being recessed) downward as compared with the surface of the substrate 1 of an active region even when the silicon oxide film 7 is etched in a subsequent wet etching process.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路装
置の製造技術に関し、特に、微細なMISFET(Metal
Insulator Semiconductor Field Effect Transistor)
を実現するための素子分離溝形成プロセスに適用して有
効な技術に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a technique for manufacturing a semiconductor integrated circuit device, and more particularly to a fine MISFET (metal
Insulator Semiconductor Field Effect Transistor)
The present invention relates to a technique which is effective when applied to an element isolation groove forming process for realizing the above.

【0002】[0002]

【従来の技術】LSI製造プロセスにおける素子分離技
術として、選択酸化(Local Oxidization of Silicon;
LOCOS)法が広く用いられてきたが、半導体素子の
微細化に伴って新たな素子分離技術の導入が進められて
いる。
2. Description of the Related Art As an element isolation technique in an LSI manufacturing process, a selective oxidation (Local Oxidization of Silicon;
Although the LOCOS method has been widely used, a new element isolation technique has been introduced with miniaturization of semiconductor elements.

【0003】半導体基板に形成した溝の内部に酸化シリ
コン膜などの絶縁膜を埋め込んだ素子分離溝は、(a)
素子分離間隔を縮小することができる、(b)素子分離
膜厚の制御が容易で、フィールド反転電圧の設定が容易
である、(c)溝の内部の側壁と底部とで不純物を打ち
分けることによって、反転防止層を拡散層やチャネル領
域から分離できるので、サブスレッショルド特性の確
保、接合リーク、バックゲート効果の低減に対しても有
利であるなど、選択酸化法に比べて優れた特長を備えて
いる。
An element isolation groove in which an insulating film such as a silicon oxide film is buried in a groove formed in a semiconductor substrate has the following characteristics.
(B) easy control of the element isolation film thickness and easy setting of the field reversal voltage; (c) separate impurities between the side wall and the bottom inside the trench By separating the inversion prevention layer from the diffusion layer and the channel region, it is superior to the selective oxidation method, such as ensuring sub-threshold characteristics, reducing junction leakage, and reducing the back gate effect. ing.

【0004】上記素子分離溝の一般的な形成方法は、次
の通りである。まず、半導体基板(以下、単に基板とい
う)を熱酸化してその表面にパッド酸化膜と呼ばれる薄
い酸化シリコン膜を形成し、さらにその上部にCVD(C
hemical Vapor Deposition)法で窒化シリコン膜を堆積
した後、フォトレジスト膜をマスクにしたドライエッチ
ングで素子分離領域の窒化シリコン膜を除去する。次
に、上記フォトレジスト膜を除去し、窒化シリコン膜を
マスクにしたドライエッチングで基板に350nm 〜4
00nm 程度の深さの溝を形成した後、基板を熱酸化す
ることによって、溝の内壁に薄い酸化シリコン膜を形成
する。この酸化シリコン膜は、溝の内壁に生じたエッチ
ングダメージの除去と、後の工程で溝の内部に埋め込ま
れる酸化シリコン膜のストレス緩和を目的として形成さ
れる。
[0004] A general method of forming the above-mentioned element isolation groove is as follows. First, a semiconductor substrate (hereinafter simply referred to as a substrate) is thermally oxidized to form a thin silicon oxide film called a pad oxide film on the surface thereof, and a CVD (C
After depositing a silicon nitride film by a chemical vapor deposition method, the silicon nitride film in the element isolation region is removed by dry etching using a photoresist film as a mask. Next, the photoresist film is removed, and the substrate is subjected to dry etching using a silicon nitride film as a mask.
After forming a groove having a depth of about 00 nm, the substrate is thermally oxidized to form a thin silicon oxide film on the inner wall of the groove. This silicon oxide film is formed for the purpose of removing the etching damage generated on the inner wall of the groove and alleviating the stress of the silicon oxide film embedded in the groove in a later step.

【0005】次に、溝の内部を含む基板上にCVD法で
厚い酸化シリコン膜を堆積した後、基板を熱処理し、溝
の内部に埋め込んだ酸化シリコン膜を緻密に焼き締める
(デンシファイ)。続いて、化学機械研磨(Chemical Me
chanical Polishing; CMP) 法を用いて窒化シリコン
膜の上部の酸化シリコン膜を除去し、溝の内部のみに酸
化シリコン膜を残した後、不要となった窒化シリコン膜
をエッチングで除去することによって、素子分離溝が完
成する。
Next, after depositing a thick silicon oxide film on the substrate including the inside of the groove by the CVD method, the substrate is heat-treated, and the silicon oxide film embedded in the inside of the groove is densified by densification. Subsequently, Chemical Mechanical Polishing (Chemical Me
By removing the silicon oxide film on the silicon nitride film using the (Chemical Polishing; CMP) method and leaving the silicon oxide film only inside the trench, the unnecessary silicon nitride film is removed by etching. The element isolation groove is completed.

【0006】ところで、上記のような素子分離構造にお
いては、活性領域の基板表面に形成するゲート酸化膜が
活性領域の端部(肩部)で局所的に薄くなり、この肩部
にゲート電圧の電界が集中する結果、低いゲート電圧で
もドレイン電流が流れてしまう現象(キンク特性あるい
はハンプ特性などと呼ばれる)が生じることが知られて
おり、これを解決する対策として、活性領域の肩部に丸
みを付ける技術などが提案されている。
In the above-described device isolation structure, the gate oxide film formed on the substrate surface in the active region is locally thinned at the end (shoulder) of the active region, and the gate voltage is applied to the shoulder. It is known that as a result of the concentration of an electric field, a phenomenon (called a kink characteristic or a hump characteristic) in which a drain current flows even at a low gate voltage occurs. A technique for attaching a tag has been proposed.

【0007】例えば、特開昭63−2371号公報は、
上記のような素子分離溝によって囲まれた基板の活性領
域にチャネル幅が1μm 以下の微細なMISFETを形
成した場合、しきい値電圧( Vth) が低下する、いわゆ
る狭チャネル効果が顕在化し、デバイスとして使用不可
能になる問題を指摘している。これは、基板に溝を形成
してその内部に絶縁膜を埋め込んだ素子分離構造では、
活性領域の肩部が直角に近い尖った断面形状となるた
め、この領域にゲート電圧の電界が集中し、低いゲート
電圧でもチャネルが形成されてしまうからである。
For example, JP-A-63-2371 discloses that
When a fine MISFET having a channel width of 1 μm or less is formed in the active region of the substrate surrounded by the above-described element isolation trench, a so-called narrow channel effect in which the threshold voltage (Vth) lowers becomes apparent, Point out the problem of becoming unusable. This is an element isolation structure in which a groove is formed in the substrate and an insulating film is embedded in the groove.
This is because the shoulder of the active region has a sharp cross-sectional shape close to a right angle, so that the electric field of the gate voltage concentrates in this region, and a channel is formed even at a low gate voltage.

【0008】また、特開平2−260660号公報も、
上記したキンク(ハンプ)特性の発生を防ぐために、活
性領域の肩部に丸みを付け、この領域にゲート電圧の電
界が集中する不具合を抑制する技術を開示している。
[0008] Japanese Patent Application Laid-Open No. 2-260660 also discloses
In order to prevent the above-described kink (hump) characteristic from occurring, a technique is disclosed in which a shoulder of an active region is rounded to suppress a problem that a gate voltage electric field is concentrated in this region.

【0009】[0009]

【発明が解決しようとする課題】本発明者が検討した素
子分離溝の形成方法およびその後のMISFETの形成
方法は、概略次の工程(a)〜(k)からなる。
The method of forming the element isolation trench and the method of forming the MISFET studied by the present inventor generally comprise the following steps (a) to (k).

【0010】(a)基板を熱酸化してその表面に薄い第
1酸化シリコン膜(パッド酸化膜)を形成した後、その
上部にCVD法で窒化シリコン膜を堆積する。
(A) After a substrate is thermally oxidized to form a thin first silicon oxide film (pad oxide film) on its surface, a silicon nitride film is deposited thereon by a CVD method.

【0011】(b)フォトレジスト膜をマスクにしたド
ライエッチングで素子分離領域の窒化シリコン膜を除去
し、続いてフォトレジスト膜を除去した後、窒化シリコ
ン膜をマスクにしたドライエッチングで素子分離領域の
基板に溝を形成する。
(B) The silicon nitride film in the element isolation region is removed by dry etching using the photoresist film as a mask, the photoresist film is removed, and then the element isolation region is removed by dry etching using the silicon nitride film as a mask. A groove is formed in the substrate.

【0012】(c)基板を熱酸化して溝の内壁に薄い第
2酸化シリコン膜を形成する。この第2酸化シリコン膜
は、溝の内壁に生じたエッチングダメージの除去と、次
の工程で溝の内部に埋め込まれる第3酸化シリコン膜の
ストレス緩和を目的として形成する。この熱処理を行う
と、活性領域の肩部に丸みが付けられる。
(C) The substrate is thermally oxidized to form a thin second silicon oxide film on the inner wall of the groove. This second silicon oxide film is formed for the purpose of removing the etching damage generated on the inner wall of the groove and relieving the stress of the third silicon oxide film embedded in the groove in the next step. This heat treatment rounds the shoulder of the active region.

【0013】(d)溝の内部を含む基板上にCVD法で
厚い第3酸化シリコン膜を堆積した後、基板を熱処理す
ることによって、溝の内部に埋め込んだ第3酸化シリコ
ン膜を緻密に焼締め(デンシファイ)する。
(D) After depositing a thick third silicon oxide film on the substrate including the inside of the groove by the CVD method, the substrate is subjected to a heat treatment to densely burn the third silicon oxide film embedded in the inside of the groove. Tighten (densify).

【0014】(e)化学機械研磨法で窒化シリコン膜の
上部の第3酸化シリコン膜を除去し、溝の内部のみに第
3酸化シリコン膜を残す。
(E) The third silicon oxide film on the silicon nitride film is removed by chemical mechanical polishing, leaving the third silicon oxide film only inside the trench.

【0015】(f)窒化シリコン膜を熱リン酸で除去す
ることによって、素子分離溝が完成する。この窒化シリ
コン膜を除去すると、素子分離溝によって周囲を規定さ
れた活性領域の基板表面に形成された第1酸化シリコン
膜の表面と素子分離溝に埋め込まれた第3酸化シリコン
膜の表面との間に窒化シリコン膜の膜厚に相当する段差
が生じる。
(F) By removing the silicon nitride film with hot phosphoric acid, an element isolation groove is completed. When the silicon nitride film is removed, the surface of the first silicon oxide film formed on the substrate surface in the active region defined by the element isolation groove and the surface of the third silicon oxide film embedded in the element isolation groove A step corresponding to the thickness of the silicon nitride film is generated therebetween.

【0016】(g)基板を熱酸化し、活性領域の基板表
面に薄い第4酸化シリコン膜(犠牲酸化膜)を形成す
る。この第4酸化シリコン膜は、素子分離溝形成時に生
じた活性領域の基板表面のダメージの除去、次の工程で
行なわれる不純物のイオン打ち込みによる基板の汚染防
止およびダメージの低減を目的として形成する。
(G) The substrate is thermally oxidized to form a thin fourth silicon oxide film (sacrificial oxide film) on the substrate surface in the active region. This fourth silicon oxide film is formed for the purpose of removing damage to the substrate surface in the active region generated during the formation of the isolation trench, preventing contamination of the substrate by ion implantation of impurities performed in the next step, and reducing damage.

【0017】(h)基板にウエルを形成するために、上
記第4酸化シリコン膜を通して基板に不純物をイオン注
入する。また、MISFETのしきい値電圧を調整する
ために、上記第4酸化シリコン膜を通して基板に不純物
をイオン注入する。
(H) In order to form wells in the substrate, impurities are ion-implanted into the substrate through the fourth silicon oxide film. Further, in order to adjust the threshold voltage of the MISFET, impurities are ion-implanted into the substrate through the fourth silicon oxide film.

【0018】(i)基板を熱処理してウエルを形成し、
続いて基板の表面の第4酸化シリコン膜をウェットエッ
チングで除去した後、基板を熱酸化することによって、
活性領域の基板表面に清浄なゲート酸化膜を形成する。
(I) heat-treating the substrate to form a well;
Subsequently, after the fourth silicon oxide film on the surface of the substrate is removed by wet etching, the substrate is thermally oxidized,
A clean gate oxide film is formed on the substrate surface in the active region.

【0019】(j)ゲート酸化膜の上部にMISFET
のゲート電極を形成する。
(J) MISFET on top of gate oxide film
Is formed.

【0020】上記したプロセスでは、化学機械研磨のば
らつきなどによって窒化シリコン膜の残膜が小さくなっ
た場合、(h)工程での第4酸化シリコン膜形成前のウ
ェットエッチングにおいて、第3酸化シリコン膜がその
上面だけでなく側面もエッチング液に晒されるので、活
性領域から離れた領域の第3酸化シリコン膜に比べて被
エッチング量が多くなる。これにより、活性領域の肩部
近傍の素子分離溝において第3酸化シリコン膜表面が下
方に後退(リセス)し、活性領域の肩部の基板表面が露
出する。
In the above-described process, when the remaining silicon nitride film becomes small due to a variation in chemical mechanical polishing or the like, the third silicon oxide film is formed in the wet etching before the formation of the fourth silicon oxide film in the step (h). However, since not only the upper surface but also the side surfaces are exposed to the etchant, the amount to be etched is larger than that of the third silicon oxide film in a region away from the active region. As a result, the surface of the third silicon oxide film recedes (recesses) downward in the element isolation trench near the shoulder of the active region, and the substrate surface at the shoulder of the active region is exposed.

【0021】また、上記したプロセスでは、(i)工程
において、基板の表面の第4酸化シリコン膜をウェット
エッチングで除去した後、基板を熱酸化することによっ
て、活性領域の基板表面に清浄なゲート酸化膜を形成す
る。そのため、(h)工程において生じた第3酸化シリ
コン膜の後退(リセス)がこのウェットエッチングによ
ってさらに進行する。
In the above-mentioned process, in the step (i), after the fourth silicon oxide film on the surface of the substrate is removed by wet etching, the substrate is thermally oxidized, so that a clean gate is formed on the substrate surface in the active region. An oxide film is formed. Therefore, the recess (recess) of the third silicon oxide film generated in the step (h) further progresses by the wet etching.

【0022】このように、素子分離溝に埋め込まれた
(第3)酸化シリコン膜が活性領域の近傍で下方に後退
(リセス)すると、活性領域の肩部の基板表面に形成さ
れるゲート酸化膜の端部が素子分離溝の側壁の一部にま
で達するようになる。ところが、素子分離溝の側壁には
MISFETのしきい値電圧を調整するための不純物が
打ち込まれ難いため、この領域の不純物濃度は活性領域
の平坦部の不純物濃度よりも低下する。その結果、ゲー
ト電極に電圧を印加したときに、活性領域の平坦部にチ
ャネルが形成されるよりも先に活性領域の肩部にサブチ
ャネルが形成されてしまうので、しきい値電圧が低下す
る。特に、MISFETの微細化に伴ってゲート幅が小
さくなると、サブチャネルの影響が顕著になり、しきい
値電圧の低下量が大きくなる。このような現象は、n型
の多結晶シリコンでゲート電極を形成する表面チャネル
型MISFETで特に深刻な問題となる。
As described above, when the (third) silicon oxide film buried in the element isolation trench is recessed (recessed) near the active region, the gate oxide film formed on the substrate surface at the shoulder of the active region is formed. End reaches a part of the side wall of the element isolation groove. However, since impurities for adjusting the threshold voltage of the MISFET are hard to be implanted into the sidewalls of the element isolation trench, the impurity concentration in this region is lower than the impurity concentration in the flat portion of the active region. As a result, when a voltage is applied to the gate electrode, a subchannel is formed on the shoulder of the active region before a channel is formed on the flat portion of the active region, so that the threshold voltage is reduced. . In particular, when the gate width is reduced along with the miniaturization of the MISFET, the influence of the sub-channel becomes remarkable, and the amount of decrease in the threshold voltage is increased. Such a phenomenon becomes a particularly serious problem in a surface channel type MISFET in which a gate electrode is formed of n-type polycrystalline silicon.

【0023】上記のようなしきい値電圧の低下を防ぐ対
策として、不純物のドーズ量を増やし、活性領域の肩部
における不純物濃度の低下を補償することも考えられ
る。しかし、この方法では基板の不純物濃度が高くなる
ために、例えばDRAM(Dynamic Random Access Memor
y)においては、蓄積ノードの半導体領域近傍における電
界強度が大きくなり、リーク電流の増大によるリフレッ
シュ特性の低下や、ビット線の寄生容量の増大といった
問題を引き起こす。
As a countermeasure to prevent the above-mentioned decrease in the threshold voltage, it is conceivable to increase the dose of the impurity to compensate for the decrease in the impurity concentration at the shoulder of the active region. However, in this method, since the impurity concentration of the substrate becomes high, for example, a dynamic random access memory (DRAM) is used.
In y), the electric field intensity in the vicinity of the semiconductor region of the storage node increases, which causes problems such as a decrease in refresh characteristics due to an increase in leak current and an increase in parasitic capacitance of the bit line.

【0024】本発明の目的は、素子分離溝の形成プロセ
スを改善することによって、微細化されたMISFET
を有する半導体集積回路装置の信頼性、製造歩留まりを
向上させる技術を提供することにある。
An object of the present invention is to improve a process for forming an element isolation groove, thereby miniaturizing a MISFET.
It is an object of the present invention to provide a technique for improving the reliability and manufacturing yield of a semiconductor integrated circuit device having the above.

【0025】本発明の他の目的は、素子分離溝の形成プ
ロセスを改善することによって、微細化されたDRAM
のリフレッシュ特性を向上させる技術を提供することに
ある。
Another object of the present invention is to improve the process for forming the element isolation trench, thereby miniaturizing the DRAM.
To provide a technique for improving the refresh characteristics of the semiconductor device.

【0026】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
The above and other objects and novel features of the present invention will become apparent from the description of the present specification and the accompanying drawings.

【0027】[0027]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
SUMMARY OF THE INVENTION Among the inventions disclosed in the present application, the outline of a representative one will be briefly described.
It is as follows.

【0028】本発明の半導体集積回路装置の製造方法
は、以下の工程を含んでいる。
The method of manufacturing a semiconductor integrated circuit device according to the present invention includes the following steps.

【0029】(a)半導体基板の主面上に第1酸化シリ
コン膜、バッファ膜および窒化シリコン膜を形成した
後、素子分離領域の前記窒化シリコン膜、前記バッファ
膜、前記第1酸化シリコン膜および前記半導体基板を順
次エッチングすることによって、前記素子分離領域の前
記半導体基板に溝を形成する工程、(b)前記溝の内部
を含む前記半導体基板上に第2酸化シリコン膜を形成し
た後、前記溝の外部の前記第2酸化シリコン膜を化学機
械研磨法で除去し、前記溝の内部に前記第2酸化シリコ
ン膜を残すことによって、前記素子分離領域に素子分離
溝を形成する工程、(c)前記窒化シリコン膜および前
記バッファ膜を除去した後、前記第1酸化シリコン膜を
通じて前記半導体基板の主面に、MISFETのしきい
値電圧を調整するための不純物をイオン注入する工程、
(d)前記半導体基板の主面をウェットエッチングして
前記第1酸化シリコン膜を除去した後、前記素子分離領
域によって周囲を規定された前記半導体基板の活性領域
の表面にゲート絶縁膜を形成する工程、(e)前記ゲー
ト絶縁膜の上部にMISFETのゲート電極を形成する
工程。
(A) After forming a first silicon oxide film, a buffer film, and a silicon nitride film on the main surface of a semiconductor substrate, the silicon nitride film, the buffer film, the first silicon oxide film, Forming a groove in the semiconductor substrate in the element isolation region by sequentially etching the semiconductor substrate; (b) forming a second silicon oxide film on the semiconductor substrate including the inside of the groove; Forming an element isolation groove in the element isolation region by removing the second silicon oxide film outside the groove by a chemical mechanical polishing method and leaving the second silicon oxide film inside the groove; (c) After the removal of the silicon nitride film and the buffer film, the threshold voltage of the MISFET is adjusted on the main surface of the semiconductor substrate through the first silicon oxide film. A step of ion-implanting the impurity,
(D) after removing the first silicon oxide film by wet etching the main surface of the semiconductor substrate, forming a gate insulating film on the surface of the active region of the semiconductor substrate defined by the element isolation region; And (e) forming a gate electrode of the MISFET on the gate insulating film.

【0030】上記した手段によれば、素子分離領域の基
板をエッチングして溝を形成する際のマスクとして使用
される窒化シリコン膜の下部にバッファ膜を設けたこと
により、素子分離溝の形成後に上記窒化シリコン膜およ
びバッファ膜を除去した際、素子分離溝に埋め込まれた
第2酸化シリコン膜の表面が窒化シリコン膜およびバッ
ファ膜の膜厚に相当する分だけ高くなる。そのため、そ
の後のウェットエッチング工程で第2酸化シリコン膜の
表面が後退しても、その表面の高さが活性領域の基板の
表面よりも下方に大きく後退(リセス)することはな
い。
According to the above-described means, the buffer film is provided below the silicon nitride film used as a mask when the substrate in the element isolation region is etched to form the groove. When the silicon nitride film and the buffer film are removed, the surface of the second silicon oxide film buried in the isolation trench becomes higher by an amount corresponding to the thickness of the silicon nitride film and the buffer film. Therefore, even if the surface of the second silicon oxide film recedes in the subsequent wet etching step, the height of the surface does not largely recede (recess) below the surface of the substrate in the active region.

【0031】[0031]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において同一機能を有するものは同一の符
号を付し、その繰り返しの説明は省略する。
Embodiments of the present invention will be described below in detail with reference to the drawings. In all the drawings for describing the embodiments, components having the same function are denoted by the same reference numerals, and the repeated description thereof will be omitted.

【0032】(実施の形態1)本実施の形態であるDR
AMの製造方法を図1〜図13を用いて説明する。な
お、各図の左側部分はDRAMのメモリアレイの一部を
示し、右側部分はDRAMの周辺回路の一部を示してい
る。
(Embodiment 1) DR according to the present embodiment
A method for manufacturing an AM will be described with reference to FIGS. The left part of each figure shows a part of a DRAM memory array, and the right part shows part of a DRAM peripheral circuit.

【0033】まず、図1に示すように、例えば1〜10
Ωcm程度の比抵抗を有するp型の単結晶シリコンからな
る基板(ウエハ)1を約850℃で熱酸化してその表面
に酸化シリコン膜(第1酸化シリコン膜)2を形成し、
続いて酸化シリコン膜2の上部にCVD法で膜厚20nm
程度のアモルファスシリコン膜(バッファ膜)16を堆
積した後、アモルファスシリコン膜16の上部にCVD
法で膜厚120nm程度の窒化シリコン膜3を堆積する。
First, as shown in FIG.
A substrate (wafer) 1 made of p-type single crystal silicon having a specific resistance of about Ωcm is thermally oxidized at about 850 ° C. to form a silicon oxide film (first silicon oxide film) 2 on its surface.
Subsequently, a film thickness of 20 nm is formed on the silicon oxide film 2 by the CVD method.
After an amorphous silicon film (buffer film) 16 is deposited on the amorphous silicon film 16, a CVD
A silicon nitride film 3 having a thickness of about 120 nm is deposited by the method.

【0034】酸化シリコン膜2は、基板1と窒化シリコ
ン膜3との界面に生じるストレスを緩和し、このストレ
スに起因して基板1の表面に転位などの欠陥が発生する
のを防ぐために形成する。また、酸化シリコン膜2は、
後述するゲート酸化膜形成前のウェット洗浄時に素子分
離溝内の酸化シリコン膜がエッチングされる量を少なく
するために、その膜厚をできるだけ薄く(10nm以下)
することが望ましい。窒化シリコン膜3は、素子分離領
域の基板1をエッチングして溝を形成する際のマスクと
して使用する。また、窒化シリコン膜3は、酸化されに
くい性質を持つので、その下部の基板1の表面が酸化さ
れるのを防ぐマスクとしても使用される。
The silicon oxide film 2 is formed to alleviate the stress generated at the interface between the substrate 1 and the silicon nitride film 3 and to prevent defects such as dislocations from occurring on the surface of the substrate 1 due to the stress. . In addition, the silicon oxide film 2
In order to reduce the amount of etching of the silicon oxide film in the element isolation trench during wet cleaning before forming a gate oxide film, which will be described later, the film thickness is made as small as possible (10 nm or less).
It is desirable to do. The silicon nitride film 3 is used as a mask when the substrate 1 in the element isolation region is etched to form a groove. Further, since the silicon nitride film 3 has a property of being hardly oxidized, it is also used as a mask for preventing the surface of the substrate 1 under the silicon nitride film 3 from being oxidized.

【0035】次に、図2に示すように、フォトレジスト
膜4をマスクにしたドライエッチングで素子分離領域の
窒化シリコン膜3、アモルファスシリコン膜16および
酸化シリコン膜2を選択的に除去した後、図3に示すよ
うに、窒化シリコン膜3をマスクにしたドライエッチン
グで素子分離領域の基板1に深さ350〜400nm程度
の溝5aを形成する。溝5aの形成は、フォトレジスト
膜4をマスクにしたドライエッチングで素子分離領域の
窒化シリコン膜3、アモルファスシリコン膜16および
酸化シリコン膜2を除去した後、引き続きフォトレジス
ト膜4をマスクにして基板1をドライエッチングするこ
とによって形成してもよい。
Next, as shown in FIG. 2, the silicon nitride film 3, the amorphous silicon film 16 and the silicon oxide film 2 in the element isolation region are selectively removed by dry etching using the photoresist film 4 as a mask. As shown in FIG. 3, a trench 5a having a depth of about 350 to 400 nm is formed in the substrate 1 in the element isolation region by dry etching using the silicon nitride film 3 as a mask. The groove 5a is formed by removing the silicon nitride film 3, the amorphous silicon film 16 and the silicon oxide film 2 in the element isolation region by dry etching using the photoresist film 4 as a mask, and subsequently using the photoresist film 4 as a mask. 1 may be formed by dry etching.

【0036】次に、図4に示すように、基板1を約80
0〜1100℃で熱酸化することによって、溝5aの内
壁に膜厚10nm程度の薄い酸化シリコン膜6を形成す
る。この酸化シリコン膜6は、溝5aの内壁に生じたド
ライエッチングのダメージを回復すると共に、後の工程
で溝5aの内部に埋め込まれる酸化シリコン膜7と基板
1との界面に生じるストレスを緩和するために形成す
る。また、この熱酸化処理を行なうことにより、アモル
ファスシリコン膜16の端部(矢印で示す箇所)が酸化
されると共に、活性領域の肩部の基板1表面が丸められ
る。
Next, as shown in FIG.
By performing thermal oxidation at 0 to 1100 ° C., a thin silicon oxide film 6 having a thickness of about 10 nm is formed on the inner wall of the groove 5a. The silicon oxide film 6 recovers the damage of the dry etching generated on the inner wall of the groove 5a and relieves the stress generated at the interface between the silicon oxide film 7 embedded in the groove 5a and the substrate 1 in a later step. To form. In addition, by performing this thermal oxidation treatment, the end portions (points indicated by arrows) of the amorphous silicon film 16 are oxidized, and the surface of the substrate 1 at the shoulder of the active region is rounded.

【0037】上記酸化シリコン膜6を厚く形成すると、
後の工程で基板の表面にしきい値電圧調整用の不純物を
イオン注入した際、活性領域の肩部において不純物のド
ーズ量が不足し、実効チャネル幅の減少やしきい値電圧
の低下を招くおそれがある。従って、その膜厚は、活性
領域の肩部を丸めるのに必要な程度(10nm程度)にす
ることが望ましい。
When the silicon oxide film 6 is formed thick,
When impurities for adjusting the threshold voltage are ion-implanted into the surface of the substrate in a later step, the dose of the impurity may be insufficient at the shoulder of the active region, which may cause a decrease in the effective channel width and a decrease in the threshold voltage. There is. Therefore, it is desirable that the film thickness is set to a degree necessary for rounding the shoulder of the active region (about 10 nm).

【0038】次に、図5に示すように、溝5aの内部を
含む基板1上にCVD法で酸化シリコン膜(第2酸化シ
リコン膜)7を堆積する。この酸化シリコン膜7は、溝
5aの深さよりも厚い膜厚(例えば450〜500nm程
度)で堆積し、溝5aの内部に酸化シリコン膜7を隙間
なく埋め込む。酸化シリコン膜7は、例えば酸素とテト
ラエトキシシラン((C2 5)4 Si) とを使って成膜さ
れる酸化シリコン膜のように、ステップカバレージのよ
い成膜方法で形成する。
Next, as shown in FIG. 5, a silicon oxide film (second silicon oxide film) 7 is deposited on the substrate 1 including the inside of the groove 5a by the CVD method. The silicon oxide film 7 is deposited with a thickness (for example, about 450 to 500 nm) larger than the depth of the groove 5a, and the silicon oxide film 7 is buried in the groove 5a without gaps. The silicon oxide film 7 is formed by a film forming method with good step coverage, such as a silicon oxide film formed using oxygen and tetraethoxysilane ((C 2 H 5 ) 4 Si).

【0039】次に、基板1を約1000℃で熱酸化し、
溝5aに埋め込んだ酸化シリコン膜7の膜質を改善する
ためのデンシファイ(焼き締め)処理を行った後、図6
に示すように、化学機械研磨(CMP)法を用いて溝5
aの外部の酸化シリコン膜7を除去すると共に、溝5a
の上部の酸化シリコン膜7の表面を平坦化する。この研
磨は、活性領域の基板1の表面を覆っている窒化シリコ
ン膜3をストッパに用いて行ない、酸化シリコン膜7の
表面の高さが窒化シリコン膜3のそれと同じになった時
点を終点とする。
Next, the substrate 1 is thermally oxidized at about 1000 ° C.
After performing a densify (sintering) process to improve the film quality of the silicon oxide film 7 embedded in the groove 5a, FIG.
As shown in FIG. 5, the grooves 5 are formed using a chemical mechanical polishing (CMP) method.
a, the silicon oxide film 7 outside the groove 5a is removed.
Is flattened on the surface of the silicon oxide film 7 on the top. This polishing is performed using the silicon nitride film 3 covering the surface of the substrate 1 in the active region as a stopper, and the time when the height of the surface of the silicon oxide film 7 becomes the same as that of the silicon nitride film 3 is defined as an end point. I do.

【0040】次に、基板1の活性領域を覆う窒化シリコ
ン膜3を熱リン酸などのエッチング液を用いて除去し、
続いてドライまたはウェットエッチングでその下部のア
モルファスシリコン膜16を除去することにより、図7
に示すように、酸化シリコン膜7が埋め込まれた素子分
離溝5が完成する。図示のように、窒化シリコン膜3お
よびアモルファスシリコン膜16を除去すると、素子分
離溝5によって周囲を規定された活性領域の基板1表面
に残っている酸化シリコン膜2の表面と素子分離溝5に
埋め込まれた酸化シリコン膜7の表面との間に窒化シリ
コン膜3およびアモルファスシリコン膜16の膜厚に相
当する段差が発生する。
Next, the silicon nitride film 3 covering the active region of the substrate 1 is removed using an etching solution such as hot phosphoric acid.
Subsequently, the amorphous silicon film 16 under the amorphous silicon film 16 is removed by dry or wet etching.
As shown in FIG. 7, the element isolation trench 5 in which the silicon oxide film 7 is embedded is completed. As shown in the figure, when the silicon nitride film 3 and the amorphous silicon film 16 are removed, the surface of the silicon oxide film 2 remaining on the surface of the substrate 1 in the active region defined by the element isolation trench 5 and the element isolation trench 5 A step corresponding to the thickness of the silicon nitride film 3 and the amorphous silicon film 16 is generated between the surface of the buried silicon oxide film 7 and the surface of the buried silicon oxide film 7.

【0041】次に、図8に示すように、基板1にウエル
(p型ウエル8、n型ウエル9)を形成するために、酸
化シリコン膜2を通して基板1の一部にn型不純物(例
えばリン)をイオン注入し、他の一部にp型不純物(ホ
ウ素)をイオン注入した後、MISFETのしきい値電
圧を調整するために、基板1にp型不純物(ホウ素)を
イオン注入する。ウエル(p型ウエル8、n型ウエル
9)を形成するための不純物は、高いエネルギーで基板
1の深い領域に導入し、しきい値電圧を調整するための
不純物は、低いエネルギーで基板1の浅い領域に導入す
る。
Next, as shown in FIG. 8, in order to form wells (p-type well 8 and n-type well 9) in the substrate 1, n-type impurities (for example, After phosphorus (ion) is ion-implanted and another part is ion-implanted with a p-type impurity (boron), a p-type impurity (boron) is ion-implanted into the substrate 1 in order to adjust the threshold voltage of the MISFET. Impurities for forming wells (p-type well 8 and n-type well 9) are introduced into a deep region of the substrate 1 with high energy, and impurities for adjusting a threshold voltage are formed on the substrate 1 with low energy. Introduce into shallow areas.

【0042】次に、図9に示すように、基板1を約95
0℃で熱処理して上記不純物を引き延ばし拡散させるこ
とにより、メモリアレイの基板1にp型ウエル8を形成
し、周辺回路の基板1にp型ウエル8およびn型ウエル
9を形成する。
Next, as shown in FIG.
The impurity is extended and diffused by heat treatment at 0 ° C., thereby forming a p-type well 8 on the substrate 1 of the memory array and a p-type well 8 and an n-type well 9 on the substrate 1 of the peripheral circuit.

【0043】次に、図10に示すように、フッ酸を用い
たウェットエッチングで活性領域の基板1の表面に残っ
た酸化シリコン膜2を除去し、基板1の表面を露出させ
る。このエッチングを行うと、素子分離溝5に埋め込ま
れた酸化シリコン膜7の表面もエッチングされるので、
その表面が下方に後退する。しかし、素子分離溝5が形
成された時点(図7に示す工程)での酸化シリコン膜7
の表面は、活性領域に形成された酸化シリコン膜2の表
面よりも窒化シリコン膜3およびアモルファスシリコン
膜16の膜厚に相当する分だけ高くなっている。また、
素子分離溝5の端部には、前記図4に示す熱酸化工程で
アモルファスシリコン膜16の一部が酸化されて生じた
酸化膜が残っている。
Next, as shown in FIG. 10, the silicon oxide film 2 remaining on the surface of the substrate 1 in the active region is removed by wet etching using hydrofluoric acid, and the surface of the substrate 1 is exposed. When this etching is performed, the surface of the silicon oxide film 7 buried in the element isolation trench 5 is also etched.
Its surface recedes downward. However, the silicon oxide film 7 at the time when the element isolation groove 5 is formed (the process shown in FIG. 7)
Is higher than the surface of the silicon oxide film 2 formed in the active region by an amount corresponding to the thickness of the silicon nitride film 3 and the amorphous silicon film 16. Also,
An oxide film formed by partially oxidizing the amorphous silicon film 16 in the thermal oxidation step shown in FIG. 4 remains at the end of the element isolation groove 5.

【0044】そのため、酸化シリコン膜2を除去するた
めの上記ウェットエッチングによって酸化シリコン膜7
の表面が後退しても、その表面の高さが活性領域の基板
1の表面よりも下方に大きく後退(リセス)することは
ない。すなわち、図10に示すように、酸化シリコン膜
2を除去した後の活性領域の基板1表面と素子分離溝5
に埋め込まれた酸化シリコン膜7の表面とはほぼ同じ高
さになる。
Therefore, the silicon oxide film 7 is removed by the wet etching for removing the silicon oxide film 2.
Does not recede (recess) largely below the surface of the substrate 1 in the active region. That is, as shown in FIG. 10, the surface of the substrate 1 in the active region after the removal of the silicon oxide film 2 is
Is almost the same height as the surface of the silicon oxide film 7 buried in the substrate.

【0045】次に、図11に示すように、基板1を約8
00〜850℃で熱酸化することによって、活性領域の
基板1の表面に清浄なゲート酸化膜11を形成した後、
図12に示すように、上記ゲート酸化膜11の上部にゲ
ート電極12A(ワード線WL)、12B、12Cを形
成する。ゲート電極12A(ワード線WL)、12B、
12Cは、例えばゲート酸化膜11上にリンをドープし
た多結晶シリコン膜をCVD法で堆積し、続いてその上
部にスパッタリング法でWN膜およびW膜を堆積し、さ
らにその上部にCVD法で窒化シリコン膜13を堆積し
た後、フォトレジスト膜(図示せず)をマスクにしたエ
ッチングでこれらの膜をパターニングすることによって
形成する。
Next, as shown in FIG.
After forming a clean gate oxide film 11 on the surface of the substrate 1 in the active region by thermal oxidation at 00 to 850 ° C.,
As shown in FIG. 12, gate electrodes 12A (word lines WL), 12B, and 12C are formed on the gate oxide film 11. The gate electrodes 12A (word lines WL), 12B,
12C, for example, a polycrystalline silicon film doped with phosphorus is deposited on the gate oxide film 11 by a CVD method, and then a WN film and a W film are deposited thereon by a sputtering method, and further nitrided by a CVD method on the top thereof. After the silicon film 13 is deposited, it is formed by patterning these films by etching using a photoresist film (not shown) as a mask.

【0046】次に、図13に示すように、p型ウエル8
にn型不純物(リンまたはヒ素)をイオン注入すること
によって、メモリアレイのp型ウエル8にメモリセル選
択用MISFETQsのソース、ドレインを構成するn
- 型半導体領域14を形成し、周辺回路のp型ウエル8
にn - 型半導体領域14を形成する。また、周辺回路
のn型ウエル9にp型不純物(ホウ素)をイオン注入す
ることによって、p-型半導体領域15を形成する。こ
こまでの工程により、DRAMのメモリセル選択用MI
SFETQsが略完成する。
Next, as shown in FIG.
Is implanted with an n-type impurity (phosphorous or arsenic) to form the source and drain of the memory cell selecting MISFET Qs in the p-type well 8 of the memory array.
- -type semiconductor region 14 is formed, the peripheral circuit p-type well 8
Then, an n type semiconductor region 14 is formed. Further, a p - type semiconductor region 15 is formed by ion-implanting a p-type impurity (boron) into the n-type well 9 of the peripheral circuit. By the steps up to this point, the memory cell selecting MI of the DRAM is performed.
The SFET Qs is substantially completed.

【0047】(実施の形態2)図14は、化学機械研磨
法を用いて溝5aの外部の酸化シリコン膜7を除去する
と共に、溝5aの上部の酸化シリコン膜7の表面を平坦
化する工程を示している。ここまでの工程は、前記実施
の形態1の図1〜図6に示す工程と同じである。
(Embodiment 2) FIG. 14 shows a step of removing the silicon oxide film 7 outside the groove 5a by using a chemical mechanical polishing method and flattening the surface of the silicon oxide film 7 above the groove 5a. Is shown. The steps so far are the same as the steps shown in FIGS. 1 to 6 of the first embodiment.

【0048】次に、基板1の活性領域を覆う窒化シリコ
ン膜3を熱リン酸などのエッチング液を用いて除去した
後、図15に示すように、基板1にウエル(p型ウエル
8、n型ウエル9)を形成するために、アモルファスシ
リコン膜16および酸化シリコン膜2を通して基板1の
一部にn型不純物(例えばリン)イオンを注入し、他の
一部にp型不純物(ホウ素)イオンを注入した後、MI
SFETのしきい値電圧を調整するために、基板1にp
型不純物(ホウ素)イオンを注入する。
Next, after removing the silicon nitride film 3 covering the active region of the substrate 1 using an etching solution such as hot phosphoric acid, the wells (p-type wells 8 and n-type wells) are formed in the substrate 1 as shown in FIG. In order to form the mold well 9), n-type impurity (for example, phosphorus) ions are implanted into a part of the substrate 1 through the amorphous silicon film 16 and the silicon oxide film 2, and p-type impurity (boron) ions are implanted into the other part. After injecting
In order to adjust the threshold voltage of the SFET,
Type impurity (boron) ions are implanted.

【0049】前記実施の形態1では、基板1の活性領域
を覆う窒化シリコン膜3およびその下部のアモルファス
シリコン膜16を除去してから、上記イオン注入を行っ
たが、酸化シリコン膜2が薄い場合は、アモルファスシ
リコン膜16を除去する際に酸化シリコン膜2も除去さ
れ、基板1の表面が露出してしまうことがある。
In the first embodiment, the ion implantation is performed after removing the silicon nitride film 3 covering the active region of the substrate 1 and the amorphous silicon film 16 thereunder. In some cases, when the amorphous silicon film 16 is removed, the silicon oxide film 2 is also removed, and the surface of the substrate 1 may be exposed.

【0050】本実施の形態によれば、上記した不具合を
防止できるので、イオン注入による基板1の表面の汚染
やダメージを確実に防止することができる。
According to the present embodiment, since the above-mentioned problems can be prevented, contamination and damage of the surface of the substrate 1 due to ion implantation can be reliably prevented.

【0051】なお、基板1にウエル(p型ウエル8、n
型ウエル9)を形成するための上記イオン注入を行った
後、図16に示すように、アモルファスシリコン膜16
のみを除去し、続いてMISFETのしきい値電圧を調
整するために、酸化シリコン膜2を通して基板1にp型
不純物(ホウ素)イオンを注入してもよい。この場合
は、薄い酸化シリコン膜2を通して基板1に不純物が打
ち込まれるため、しきい値電圧を調整するための不純物
を低いエネルギーで基板1の浅い領域に導入することが
できる。
The substrate 1 has wells (p wells 8, n wells).
After performing the ion implantation for forming the mold well 9), as shown in FIG.
Only the p-type impurity (boron) ions may be implanted into the substrate 1 through the silicon oxide film 2 in order to remove only the MISFET and adjust the threshold voltage of the MISFET. In this case, since impurities are implanted into substrate 1 through thin silicon oxide film 2, impurities for adjusting the threshold voltage can be introduced into a shallow region of substrate 1 with low energy.

【0052】次に、図17に示すように、基板1を約9
50℃で熱処理して上記不純物を引き延ばし拡散させる
ことにより、メモリアレイの基板1にp型ウエル8を形
成し、周辺回路の基板1にp型ウエル8およびn型ウエ
ル9を形成する。その後の工程は、前記実施の形態1と
同様である。
Next, as shown in FIG.
The impurities are extended and diffused by heat treatment at 50 ° C., thereby forming a p-type well 8 on the substrate 1 of the memory array and a p-type well 8 and an n-type well 9 on the substrate 1 of the peripheral circuit. Subsequent steps are the same as in the first embodiment.

【0053】(実施の形態3)前記実施の形態2では、
基板1の表面の汚染やダメージを確実に防止する対策と
して、アモルファスシリコン膜16および酸化シリコン
膜2を通して基板1に不純物をイオン注入したが、次の
ような対策も可能である。
(Embodiment 3) In Embodiment 2,
As a countermeasure for surely preventing contamination and damage on the surface of the substrate 1, impurities are ion-implanted into the substrate 1 through the amorphous silicon film 16 and the silicon oxide film 2, but the following countermeasures are also possible.

【0054】まず、図18に示すように、化学機械研磨
法を用いて溝5aの外部の酸化シリコン膜7を除去する
と共に、溝5aの上部の酸化シリコン膜7の表面を平坦
化した後、図19に示すように、基板1の活性領域を覆
う窒化シリコン膜3およびその下部のアモルファスシリ
コン膜16を除去する。ここまでの工程は、前記実施の
形態1の図1〜図6に示す工程と同じである。
First, as shown in FIG. 18, the silicon oxide film 7 outside the groove 5a is removed by using a chemical mechanical polishing method, and the surface of the silicon oxide film 7 above the groove 5a is planarized. As shown in FIG. 19, the silicon nitride film 3 covering the active region of the substrate 1 and the amorphous silicon film 16 thereunder are removed. The steps so far are the same as the steps shown in FIGS. 1 to 6 of the first embodiment.

【0055】次に、図20に示すように、基板1上にC
VD法で膜厚10nm〜20nm程度の酸化シリコン膜17
を堆積した後、基板1にウエル(p型ウエル8、n型ウ
エル9)を形成するために、酸化シリコン膜17および
酸化シリコン膜2を通して基板1の一部にn型不純物
(例えばリン)イオンを注入し、他の一部にp型不純物
(ホウ素)イオンを注入する。続いて、MISFETの
るために、基板1にp型不純物(ホウ素)イオンを注入
する。なお、前記実施の形態2と同様、しきい値電圧を
調整するための不純物のイオン注入は、酸化シリコン膜
17を除去してから行ってもよい。その後の工程は、前
記実施の形態1、2と同様である。
Next, as shown in FIG.
A silicon oxide film 17 having a thickness of about 10 nm to 20 nm by a VD method.
Is deposited on the substrate 1 to form wells (p-type wells 8 and n-type wells 9) in the substrate 1 through the silicon oxide film 17 and the silicon oxide film 2 so that n-type impurity (for example, phosphorus) ions , And p-type impurity (boron) ions are implanted into other portions. Subsequently, p-type impurity (boron) ions are implanted into the substrate 1 for the MISFET. As in the second embodiment, the ion implantation of impurities for adjusting the threshold voltage may be performed after the silicon oxide film 17 is removed. Subsequent steps are the same as in the first and second embodiments.

【0056】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
Although the invention made by the inventor has been specifically described based on the embodiment, the invention is not limited to the embodiment and can be variously modified without departing from the gist of the invention. Needless to say,

【0057】前記実施の形態では、DRAMに適用した
場合について説明したが、これに限定されるものではな
く、素子分離溝を有する基板に微細なMISFETを形
成する半導体集積回路装置に広く適用することができ
る。
In the above embodiment, the case where the present invention is applied to a DRAM has been described. However, the present invention is not limited to this, and is widely applied to a semiconductor integrated circuit device in which a fine MISFET is formed on a substrate having element isolation grooves. Can be.

【0058】[0058]

【発明の効果】本願によって開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば、
以下の通りである。
Advantageous effects obtained by typical ones of the inventions disclosed by the present application will be briefly described as follows.
It is as follows.

【0059】本発明によれば、素子分離溝に埋め込まれ
た酸化シリコン膜のリセスを抑制することができるの
で、微細化されたMISFETのしきい値電圧の低下
や、実効チャネル幅の低下を抑制することができる。こ
れにより、DRAMの場合は、リーク電流の低減による
リフレッシュ特性の向上を実現することができる。
According to the present invention, the recess of the silicon oxide film buried in the isolation trench can be suppressed, so that the threshold voltage and the effective channel width of the miniaturized MISFET can be suppressed. can do. As a result, in the case of a DRAM, it is possible to realize an improvement in refresh characteristics by reducing a leak current.

【0060】また、本発明によれば、イオン注入による
基板表面の汚染やダメージを確実に防止することができ
るので、半導体集積回路装置の信頼性、製造歩留まりを
向上させることができる。
Further, according to the present invention, the contamination and damage of the substrate surface due to the ion implantation can be reliably prevented, so that the reliability and the production yield of the semiconductor integrated circuit device can be improved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 1 is a fragmentary cross-sectional view of a substrate, illustrating a method of manufacturing a semiconductor device according to Embodiment 1 of the present invention;

【図2】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 2 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図3】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 3 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図4】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 4 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図5】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 5 is a fragmentary cross-sectional view of the substrate, illustrating the method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図6】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 6 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図7】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 7 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図8】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 8 is a cross-sectional view of a substantial part of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図9】本発明の実施の形態1である半導体装置の製造
方法を方法を示す基板の要部断面図である。
FIG. 9 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図10】本発明の実施の形態1である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 10 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図11】本発明の実施の形態1である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 11 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention;

【図12】本発明の実施の形態1である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 12 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to Embodiment 1 of the present invention;

【図13】本発明の実施の形態1である半導体装置の製
造方法を方法を示す基板の要部断面図である。
13 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention; FIG.

【図14】本発明の実施の形態2である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 14 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the second embodiment of the present invention;

【図15】本発明の実施の形態2である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 15 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the second embodiment of the present invention;

【図16】本発明の実施の形態2である半導体装置の製
造方法を方法を示す基板の要部断面図である。
16 is a fragmentary cross-sectional view of a substrate, illustrating a method of manufacturing a semiconductor device according to Embodiment 2 of the present invention; FIG.

【図17】本発明の実施の形態2である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 17 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to Embodiment 2 of the present invention;

【図18】本発明の実施の形態3である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 18 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to the third embodiment of the present invention;

【図19】本発明の実施の形態3である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 19 is a fragmentary cross-sectional view of the substrate, illustrating a method of manufacturing the semiconductor device according to Embodiment 3 of the present invention;

【図20】本発明の実施の形態3である半導体装置の製
造方法を方法を示す基板の要部断面図である。
FIG. 20 is an essential part cross sectional view of the substrate for illustrating the method of manufacturing the semiconductor device according to Embodiment 3 of the present invention;

【符号の説明】[Explanation of symbols]

1 ウエハ(基板) 2 酸化シリコン膜 3 窒化シリコン膜 4 フォトレジスト膜 5 素子分離溝 5a 溝 6 酸化シリコン膜 7 酸化シリコン膜 8 p型ウエル 9 n型ウエル 10 フォトレジスト膜 11 ゲート酸化膜 12A〜12C ゲート電極 13 窒化シリコン膜 14 n- 型半導体領域 15 p- 型半導体領域 16 アモルファスシリコン膜(バッファ膜) 17 酸化シリコン膜 Qs メモリセル選択用MISFET WL ワード線Reference Signs List 1 wafer (substrate) 2 silicon oxide film 3 silicon nitride film 4 photoresist film 5 element isolation groove 5a groove 6 silicon oxide film 7 silicon oxide film 8 p-type well 9 n-type well 10 photoresist film 11 gate oxide film 12A to 12C Gate electrode 13 Silicon nitride film 14 n - type semiconductor region 15 p - type semiconductor region 16 amorphous silicon film (buffer film) 17 silicon oxide film Qs MISFET WL for memory cell selection word line

───────────────────────────────────────────────────── フロントページの続き (72)発明者 橋本 直孝 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 (72)発明者 三浦 弥一郎 東京都小平市上水本町5丁目22番1号 株 式会社日立超エル・エス・アイ・システム ズ内 (72)発明者 坂西 光一郎 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 (72)発明者 神田 隆行 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 堀部 晋一 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 壹添 宏之 東京都小平市上水本町5丁目22番1号 株 式会社日立超エル・エス・アイ・システム ズ内 Fターム(参考) 5F032 AA14 AA36 AA44 AA66 AA69 AB01 BB01 CA03 CA17 CA20 DA02 DA23 DA24 DA33 DA74 DA78 5F040 DA06 DA28 DB03 DC01 EA08 EC02 EC04 EC07 EK05 FA17 FA18 FA19 FC10 5F083 AD01 GA06 JA32 JA39 JA40 NA01 NA03 PR21 PR22 PR36 PR43 PR44 PR45 PR46 PR53 PR54 PR55 PR56  ──────────────────────────────────────────────────続 き Continuing from the front page (72) Inventor Naotaka Hashimoto 5-2-1, Josuihonmachi, Kodaira-shi, Tokyo Within the Semiconductor Group, Hitachi, Ltd. (72) Yaichiro Miura 5, Josuihoncho 5 22-22-1, Hitachi Ultra-SII Systems Co., Ltd. (72) Inventor Koichiro Sakanishi 5-2-1, Josuihoncho, Kodaira-shi, Tokyo Within the semiconductor group of Hitachi, Ltd. (72) Inventor Takayuki Kanda 3-16, Shinmachi, Shinmachi, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Shinichi Horibe 3-16-16 Shinmachi, Ome-shi, Tokyo, Japan 3 72) Inventor Hiroyuki Izoe 5-22-1, Josuihoncho, Kodaira-shi, Tokyo Hitachi, Ltd. F-Terms in Lee Systems (reference) PR22 PR36 PR43 PR44 PR45 PR46 PR53 PR54 PR55 PR56

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 以下の工程を含む半導体集積回路装置の
製造方法; (a)半導体基板の主面上に第1酸化シリコン膜、バッ
ファ膜および窒化シリコン膜を形成した後、素子分離領
域の前記窒化シリコン膜、前記バッファ膜、前記第1酸
化シリコン膜および前記半導体基板を順次エッチングす
ることによって、前記素子分離領域の前記半導体基板に
溝を形成する工程、(b)前記溝の内部を含む前記半導
体基板上に第2酸化シリコン膜を形成した後、前記溝の
外部の前記第2酸化シリコン膜を化学機械研磨法で除去
し、前記溝の内部に前記第2酸化シリコン膜を残すこと
によって、前記素子分離領域に素子分離溝を形成する工
程、(c)前記窒化シリコン膜および前記バッファ膜を
除去した後、前記第1酸化シリコン膜を通じて前記半導
体基板の主面に、MISFETのしきい値電圧を調整す
るための不純物をイオン注入する工程、(d)前記半導
体基板の主面をウェットエッチングして前記第1酸化シ
リコン膜を除去した後、前記素子分離領域によって周囲
を規定された前記半導体基板の活性領域の表面にゲート
絶縁膜を形成する工程、(e)前記ゲート絶縁膜の上部
にMISFETのゲート電極を形成する工程。
1. A method of manufacturing a semiconductor integrated circuit device comprising the steps of: (a) forming a first silicon oxide film, a buffer film, and a silicon nitride film on a main surface of a semiconductor substrate, Forming a groove in the semiconductor substrate in the element isolation region by sequentially etching the silicon nitride film, the buffer film, the first silicon oxide film, and the semiconductor substrate; and (b) including the inside of the groove. After forming a second silicon oxide film on the semiconductor substrate, removing the second silicon oxide film outside the groove by a chemical mechanical polishing method, leaving the second silicon oxide film inside the groove, Forming an element isolation groove in the element isolation region; and (c) removing the silicon nitride film and the buffer film, and then removing the semiconductor substrate through the first silicon oxide film. Ion-implanting an impurity for adjusting a threshold voltage of a MISFET into the main surface; (d) wet-etching the main surface of the semiconductor substrate to remove the first silicon oxide film; Forming a gate insulating film on the surface of the active region of the semiconductor substrate whose periphery is defined by a region; and (e) forming a gate electrode of a MISFET on the gate insulating film.
【請求項2】 以下の工程を含む半導体集積回路装置の
製造方法;(a)半導体基板の主面上に第1酸化シリコ
ン膜、バッファ膜および窒化シリコン膜を形成した後、
素子分離領域の前記窒化シリコン膜、前記バッファ膜、
前記第1酸化シリコン膜および前記半導体基板を順次エ
ッチングすることによって、前記素子分離領域の前記半
導体基板に溝を形成する工程、(b)前記溝の内部を含
む前記半導体基板上に第2酸化シリコン膜を形成した
後、前記溝の外部の前記第2酸化シリコン膜を化学機械
研磨法で除去し、前記溝の内部に前記第2酸化シリコン
膜を残すことによって、前記素子分離領域に素子分離溝
を形成する工程、(c)前記窒化シリコン膜を除去した
後、前記バッファ膜および前記第1酸化シリコン膜を通
じて前記半導体基板の主面に、MISFETのしきい値
電圧を調整するための不純物をイオン注入する工程、
(d)前記バッファ膜を除去し、続いて前記半導体基板
の主面をウェットエッチングして前記第1酸化シリコン
膜を除去した後、前記素子分離領域によって周囲を規定
された前記半導体基板の活性領域の表面にゲート絶縁膜
を形成する工程、(e)前記ゲート絶縁膜の上部にMI
SFETのゲート電極を形成する工程。
2. A method of manufacturing a semiconductor integrated circuit device including the following steps: (a) forming a first silicon oxide film, a buffer film, and a silicon nitride film on a main surface of a semiconductor substrate;
The silicon nitride film in the element isolation region, the buffer film,
Forming a groove in the semiconductor substrate in the element isolation region by sequentially etching the first silicon oxide film and the semiconductor substrate; and (b) forming a second silicon oxide on the semiconductor substrate including the inside of the groove. After forming the film, the second silicon oxide film outside the trench is removed by a chemical mechanical polishing method, and the second silicon oxide film is left inside the trench, so that the device isolation trench is formed in the device isolation region. (C) removing the silicon nitride film, ion-implanting an impurity for adjusting a threshold voltage of a MISFET into the main surface of the semiconductor substrate through the buffer film and the first silicon oxide film. The process of injecting,
(D) removing the buffer film, subsequently wet-etching the main surface of the semiconductor substrate to remove the first silicon oxide film, and then defining an active region of the semiconductor substrate whose periphery is defined by the element isolation region Forming a gate insulating film on the surface of the gate insulating film;
Forming a gate electrode of the SFET;
【請求項3】 以下の工程を含む半導体集積回路装置の
製造方法;(a)半導体基板の主面上に第1酸化シリコ
ン膜、バッファ膜および窒化シリコン膜を形成した後、
素子分離領域の前記窒化シリコン膜、前記バッファ膜、
前記第1酸化シリコン膜および前記半導体基板を順次エ
ッチングすることによって、前記素子分離領域の前記半
導体基板に溝を形成する工程、(b)前記溝の内部を含
む前記半導体基板上に第2酸化シリコン膜を形成した
後、前記溝の外部の前記第2酸化シリコン膜を化学機械
研磨法で除去し、前記溝の内部に前記第2酸化シリコン
膜を残すことによって、前記素子分離領域に素子分離溝
を形成する工程、(c)前記窒化シリコン膜および前記
バッファ膜を除去した後、前記半導体基板上に第3酸化
シリコン膜を形成し、前記第3酸化シリコン膜および前
記第1酸化シリコン膜を通じて前記半導体基板の主面
に、MISFETのしきい値電圧を調整するための不純
物をイオン注入する工程、(d)前記第3酸化シリコン
膜を除去し、続いて前記半導体基板の主面をウェットエ
ッチングして前記第1酸化シリコン膜を除去した後、前
記素子分離領域によって周囲を規定された前記半導体基
板の活性領域の表面にゲート絶縁膜を形成する工程、
(e)前記ゲート絶縁膜の上部にMISFETのゲート
電極を形成する工程。
3. A method of manufacturing a semiconductor integrated circuit device including the following steps: (a) forming a first silicon oxide film, a buffer film, and a silicon nitride film on a main surface of a semiconductor substrate;
The silicon nitride film in the element isolation region, the buffer film,
Forming a groove in the semiconductor substrate in the element isolation region by sequentially etching the first silicon oxide film and the semiconductor substrate; and (b) forming a second silicon oxide on the semiconductor substrate including the inside of the groove. After forming the film, the second silicon oxide film outside the trench is removed by a chemical mechanical polishing method, and the second silicon oxide film is left inside the trench, so that the device isolation trench is formed in the device isolation region. (C) removing the silicon nitride film and the buffer film, forming a third silicon oxide film on the semiconductor substrate, and forming the third silicon oxide film through the third silicon oxide film and the first silicon oxide film. A step of ion-implanting an impurity for adjusting the threshold voltage of the MISFET into the main surface of the semiconductor substrate; and (d) removing the third silicon oxide film. After removal of the first oxide silicon film main surface of the semiconductor substrate by wet etching, forming a gate insulating film on the surface of the active region of the semiconductor substrate defined around by the isolation region,
(E) forming a gate electrode of the MISFET on the gate insulating film;
【請求項4】 請求項1、2または3記載の半導体集積
回路装置の製造方法において、前記バッファ膜は、アモ
ルファスシリコンからなることを特徴とする半導体集積
回路装置の製造方法。
4. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein said buffer film is made of amorphous silicon.
【請求項5】 請求項1、2、3または4記載の半導体
集積回路装置の製造方法において、前記(a)工程の
後、前記(b)工程に先立って、前記半導体基板を熱酸
化することによって、前記溝の内壁に第4酸化シリコン
膜を形成する工程をさらに含むことを特徴とする半導体
集積回路装置の製造方法。
5. The method of manufacturing a semiconductor integrated circuit device according to claim 1, wherein the semiconductor substrate is thermally oxidized after the step (a) and prior to the step (b). Forming a fourth silicon oxide film on the inner wall of the groove.
【請求項6】 請求項1〜5のいずれか一項に記載の半
導体集積回路装置の製造方法において、前記第1酸化シ
リコン膜の膜厚を10nm以下にすることを特徴とする半
導体集積回路装置の製造方法。
6. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein said first silicon oxide film has a thickness of 10 nm or less. Manufacturing method.
【請求項7】 請求項1〜6のいずれか一項に記載の半
導体集積回路装置の製造方法において、前記バッファ膜
の膜厚を20nm以下にすることを特徴とする半導体集積
回路装置の製造方法。
7. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein said buffer film has a thickness of 20 nm or less. .
【請求項8】 請求項1〜7のいずれか一項に記載の半
導体集積回路装置の製造方法において、前記MISFE
Tは、DRAMのメモリセルを構成していることを特徴
とする半導体集積回路装置の製造方法。
8. The method of manufacturing a semiconductor integrated circuit device according to claim 1, wherein said MISFE
T is a method of manufacturing a semiconductor integrated circuit device, wherein the method constitutes a DRAM memory cell.
JP25626599A 1999-09-09 1999-09-09 Method for manufacturing semiconductor integrated circuit device Withdrawn JP2001085679A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP25626599A JP2001085679A (en) 1999-09-09 1999-09-09 Method for manufacturing semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP25626599A JP2001085679A (en) 1999-09-09 1999-09-09 Method for manufacturing semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
JP2001085679A true JP2001085679A (en) 2001-03-30

Family

ID=17290255

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25626599A Withdrawn JP2001085679A (en) 1999-09-09 1999-09-09 Method for manufacturing semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2001085679A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100413830B1 (en) * 2001-04-30 2003-12-31 삼성전자주식회사 Semiconductor device having trench isolation structure and method of fabricating the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100413830B1 (en) * 2001-04-30 2003-12-31 삼성전자주식회사 Semiconductor device having trench isolation structure and method of fabricating the same

Similar Documents

Publication Publication Date Title
US7524729B2 (en) Method of manufacturing a semiconductor integrated circuit device having a trench
JP5234886B2 (en) Manufacturing method of semiconductor device
JPH06232367A (en) Dram based on silicon on insulator and manufacture thereof
JP2007158269A (en) Semiconductor device and its manufacturing method
KR20010110456A (en) Integrated circuit and method of manufacture thereof
EP1000439B1 (en) Method of forming side dielectrically isolated semiconductor devices
JPH11145273A (en) Manufacture of semiconductor device
US6410973B2 (en) Thin film SOI MOSFET
JP4197576B2 (en) Manufacturing method of semiconductor device
JP4039854B2 (en) Manufacturing method of semiconductor device
JP2001085679A (en) Method for manufacturing semiconductor integrated circuit device
JP2001053274A (en) Manufacture of semiconductor integrated circuit device
JP3608293B2 (en) Manufacturing method of semiconductor device
KR100481987B1 (en) (Method for fabricating MOS capacitor of semiconductor device
JPH1174475A (en) Semiconductor integrated circuit device and its manufacture
JPH113974A (en) Semiconductor integrated circuit device and manufacture thereof
KR100540339B1 (en) Method For Making Gate Structure In The Semiconductor Device Manufacture Processing
JP2004214605A (en) Method of manufacturing merged dram with logic device
KR100379516B1 (en) method for manufacturing in a semiconductor device
KR20040008618A (en) Method for isolation in semiconductor device using trench structure
JP4571116B2 (en) Manufacturing method of semiconductor integrated circuit device
KR0167231B1 (en) Isolation method for semiconductor device
JPH05198570A (en) Semiconductor integrated circuit device and its manufacture
JP2002043549A (en) Semiconductor integrated circuit device and production method therefor
JP2006339669A (en) Semiconductor integrated circuit device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20061205