JP2000223573A - 平坦なレベル間誘電体層を有する集積回路素子 - Google Patents

平坦なレベル間誘電体層を有する集積回路素子

Info

Publication number
JP2000223573A
JP2000223573A JP2000016665A JP2000016665A JP2000223573A JP 2000223573 A JP2000223573 A JP 2000223573A JP 2000016665 A JP2000016665 A JP 2000016665A JP 2000016665 A JP2000016665 A JP 2000016665A JP 2000223573 A JP2000223573 A JP 2000223573A
Authority
JP
Japan
Prior art keywords
layer
fsg
conductive
metal
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000016665A
Other languages
English (en)
Inventor
Mahjoub Ali Abdelgadir
アリ アブデルガディール マージョウブ
Maury Alvaro
マウリー アルバロ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JP2000223573A publication Critical patent/JP2000223573A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 伝導層がフッ素への露出から保護されたFS
G層を含む平坦化された低Kのレベル間誘電体層を有す
る集積回路素子が提供される。 【解決手段】 集積回路は半導体基板に隣接した伝導層
を含む。伝導層はそのあいだにギャップを有する導電性
の線を含む。フッ素−ケイ酸塩ガラス(FSG)層がパ
ターン付けされた伝導層を覆い、導電性の線のあいだの
ギャップを満たす。また、ドープされない酸化物層がF
SG層の上に存在する。導電性の金属線を覆うFSG層
のピークがCMPによって減じられる。このようにして
続く伝導層はFSG層がフッ素にさらされることから実
質的に保護される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、集積回路の分野、特に
平坦なレベル間誘電体層を有する集積回路に関する。
【0002】
【従来の技術】現在の集積回路の高い充填密度に適合す
るように、半導体基板に形成された集積回路素子への金
属接続は多層相互接続によってなされている。多層相互
接続のそれぞれのレベルはレベル間誘電体によって半導
体基板上に支持されている。一般的に、集積回路の構造
は誘電体層を含み、金属線は誘電体層上部の平行なスト
リップのなかに埋め込まれている。多層相互接続の追加
のレベルが、それぞれ追加の金属相互接続とレベル間誘
電体層を含む、この誘電体層の上に形成される。
【0003】化学気相成長法(CVD)によって誘電体
材料を堆積するための多くの方法が現在利用できる。ギ
ャップの充填の応用にたいしてCVD法は有利である。
それは良く知られたプロセスであり、一般的にスピン−
オン法より全体として少ないステップを必要としてい
る。ダマシーンプロセスで、ブランケットの堆積がレベ
ル間層に対して必要とされるものの全てであり、CVD
あるいはスピン−オンプロセスのどちらかでなされる。
ダマシーン法が使われるかどうかにかかわらず、プレ金
属誘電体(PMD)と浅溝分離(STI)によって効果
的にギャップを充填する能力が必要とされる。STIに
対して、高い縦横比(例えば4:1)の溝が高品質の誘
電体材料によって満たされなければならない。
【0004】誘電体によるギャップの充填の応用に対す
る一つのプロセスは高密度プラズマCVD(HDP−C
VD)である。HDP−CVD膜は、乾燥し、圧縮され
た膜であり、マイクロプロセッサーのような多金属層の
応用に役に立つ。それはプラズマに基づくシステムであ
るので、典型的なHDPシステムはテトラエトキシルオ
キシレンオゾン(TEOS/O3)システムよりもコス
トが高いが、より少ない工程が必要とされるというよう
に単位時間当たりの処理時間における利点を提供する。
市場は、コストに敏感であり、TEOS−オゾン施設が
コストが安いために、TEOS−オゾンは多くのDRA
Mの応用に利用されている。フロー機構に基づく他の物
のように、TEOS−オゾン膜は、ステップを追加し、
かつ生産時間を増加する、アニールをされなければなら
ない。
【0005】HDP−CVD酸化物堆積プロセスは実際
には堆積−エッチバックプロセスであり、両方が同時に
なされる。プラズマは酸素とアルゴンを含む高密度混合
物である。DCバイアスによって、酸素はウェーハの表
面に引き上げられ、シラン(SiH4)と反応してSi
2を形成する。アルゴンは同時に堆積材料をまき散ら
す。エッチバックはギャップの上部での堆積材料の突出
部を取り除くように設計される。堆積材料の多くが取り
除かれるが、アニールが必要でないために、他のいくつ
かの方法よりも時間の節約ができる。
【0006】HDP−CVDは本来、レベル間誘電体
(ILD)応用に対して発展してきた物だが、STI、
PMD、チッカ物エッチストップへの応用に対しては高
品質の材料をまた堆積する。プラズマのスパッタ要素の
除去とともに、化学機械研磨(CMP)に対する準備と
してPECVDキャップ層が道具となる。エッチ:堆積
(E:D)比は通常0.14と0.33の間に保たれ、
基板上のガスの比、チャンバ圧、イオン−中性フラック
ス比、イオンエネルギー及びrfバイアスによって制御
される。
【0007】HDP−CVDが、フルオロケイ酸塩ガラ
ス(FSG)、低誘電率(低K)誘電体に対して用いら
れる。低K誘電体は隣り合う金属層の間の容量性結合を
減少する。さらに、理想的低K誘電体は、低Kと同様に
例えば低リーク、低熱膨張係数、高ブレークダウン電圧
誘電体、低水吸収を提供する。FSG層は、フッ化ケイ
素酸化物(FxSiOy)であり、フッ素濃度に依存する
約3.2−3.6の誘電率を持つことが知られている。
フッ素の高い電気陰性度は、その誘電率を減少させなが
ら、膜の分極率を減少する。FSG層は四フッ化ケイ素
(SiF4)をシラン(SiH4)、O2及びアルゴンガ
スに加えることによって形成される。FSG層のHDP
−CVDは、比較的時間を消耗し、かつ高価である。
【0008】化学機械研磨(CMP)は半導体ウェーハ
に堆積された層を平坦化するために用いられる。化学機
械研磨は、制御された化学的、圧力的、温度的な条件下
で湿った研磨用プラテンに対して半導体ウェーハを回
転、保持することを含んでいる。典型的には、スラリー
溶液が研磨用液として用いられる。研磨機構は、機械的
動作とスラリー溶液によって研磨される材料の化学反応
の組合せである。
【0009】例えば、ラビ(Ravi)のUS特許第5,807,7
85号に、半導体基板上の金属層のギャップを満たすため
の二酸化ケイ素のサンドウィッチ構造が開示されてい
る。第一層はプラズマCVD(PECVD)によって形
成され、第二層は原子合成CVD(SACVD)によっ
て形成され、低誘電率が達成される。また、ロウ(Lou)
のUS特許第5,759,906号には、堆積され、それぞれの
層の堆積後にベークされるスピンオンガラス(SOG)
の多層が用いる、誘電体層に対する平坦化法が開示され
ている。
【0010】
【発明が解決しようとする課題】さらにコスト面で効果
的で、かつ実質的に伝導層の金属を可能なフッ素の攻撃
にさらす危険を減少させたHDP−CVD FSG層を
含む平坦化された低Kのレベル間誘電体層に対する必要
性が存在している。
【0011】前述の背景に関連して、伝導層をフッ素へ
の露出から保護し、HDP−CVDFSG層を含む平坦
化された低Kのレベル間誘電体層を有する集積回路素子
の提供が、それゆえ、本発明の目的となる。
【0012】
【課題を解決するための手段】本発明に従うこの、そし
て他の目的、特徴および利点は、半導体基板に隣接しそ
の間にギャップを有するパターン付けされた複数の金属
線と異なった幅を有する複数のパターン付けされた金属
線の少なくともいくつかを含む第一の金属層からなる集
積回路素子によって提供される。素子はさらに、複数の
パターン付けされた金属線の間のギャップを満たし、複
数のパターン付けされた金属線の上のフッ化ケイ酸塩ガ
ラス(FSG)層とFSG層上の平坦なドープされない
酸化物層からなる複合誘電体層を含む。第二の金属層は
平坦なドープされない酸化物層に隣接するかもしれな
い。FSG層は複数のピークを有し、各々のピークが複
数のパターン付けされた金属線の一つの上にあり、かつ
第二の金属層をFSG層からのフッ素にさらすことから
保護するために第一の金属層上に実質的に同じ高さを有
する。
【0013】素子はまた、パターン付けされた金属線上
に保護誘電体層を含み、ドープされない酸化物層はドー
プされないケイ素ガラス(USG)からなるかもしれな
い。第一の金属層はアルミニウム及び銅の少なくとも一
つを含み、FSG層は好ましくは第一の金属層の厚みよ
り高く、少なくとも100ナノメーターの厚さを有す
る。
【0014】
【実施例】本発明は、これから本発明の好ましい実施例
が示された添付の図を参照しながら、さらに完全に説明
される。しかしながら本発明は多くの異なる形態で実施
されるかもしれず、ここに記載された実施例に制限され
るように解釈すべきではない。むしろ、これらの実施例
は本開示が完全に理解され、かつ当業者にとって本発明
の範囲を完全に伝えられるように提供された。同じ数字
はいくつかの図を通して同じ要素を示している。層と領
域の寸法は図を明瞭にするために強調されている。
【0015】図1を参照すると、本件発明に従うレベル
間誘電体層を含む集積回路を製作するための方法の基本
的なステップが説明されている。本発明に従って、方法
が開始され(ブロック10)、導電性金属層がブロック
12で堆積される。そのような金属層は、当業者には良
く知られた電着、電気メッキあるいはCVD法によって
形成あるいは堆積される。金属層がパターン付けされ
(ブロック14)、そのあいだにギャップを有する金属
線が形成される。そのような金属線は異なった幅を持つ
かもしれず、当業者によってすぐに理解されるように、
良く知られたフォトリソグラフィ法によってパターン付
けされるかもしれない。
【0016】次ぎにブロック16でFSG層が高密度プ
ラズマ化学気相成長法(HDP−CVD)によって形成
される。FSG層は金属線間のギャップの中に埋めら
れ、かつ金属層を覆い、それによって金属線に対応する
ピークが形成され、あるいは金属線が覆われる。ブロッ
ク18ではFSG層のピークが化学的、機械的に研磨さ
れその高さが減少する。これは比較的短いCMPのステ
ップであり、金属線を露出することなしに金属線のうえ
のFSG層ののピークを減じる。
【0017】CMPは、適合して堆積されたFSG層を
実質的により平坦な酸化物の表面に変換するために用い
られる。CMPがなければ適合FSG層はパターン付け
された金属線の層の形状に一致する。適合酸化物層の表
面のうねりが金属層の金属線の上に存在する。CMPを
用いると、ウェーハの表面上のFSGのピークが減じら
れ、金属線の上の酸化物のより平坦な層が産み出され
る。ドープされない酸化物層は、ドープされないケイ酸
塩ガラス(USG)の様に、ブロック20でFSG層の
上に形成され、もし必要ならば最終プロセス(ブロック
24)の前にレベル間誘電体層を平坦化するために化学
的機械的研磨(ブロック22)される。
【0018】断面図2−4を参照しながら、本発明に従
うレベル間誘電体層を含む集積回路素子28がここで説
明される。半導体基板30は好ましくはシリコンである
か、シリコン、ポリシリコン層基板に形成された構造で
あるかもしれない。トランジスタ(図示されていない)
のような複数の素子が良く知られた技法を用いて基板3
0のなかに形成される。半導体素子28は基板30に隣
接する第一の誘電体層(図示されていない)を含むかも
しれない。このような第一の誘電体層は例えば二酸化ケ
イ素、チッ化ケイ素、そして/あるいは所望の誘電率を
持つ何からの材料あるいは材料の合金といったいづれか
適した誘電体から形成される。他の適した材料には、例
えば五酸化タンタルやバリウムストロンチウムチタン化
物が含まれる。
【0019】集積回路28は複数の導電性の線32を基
板30の上に含んでいる。導電性の線32は、基板30
に電着されるアルミニウムや/あるいは銅のような伝導
層に従来のエッチング法によって形成され、フォトレジ
スト層(示されていない)が形成され、かつ良く知られ
たフォトリソグラフィ法を用いて伝導層の上にパターン
付けされ導電性の線32が形成されるべき位置を規定す
る。次ぎに伝導層が所望のパターンでエッチングされ、
そのあいだにギャップ40を有する導電性の線32が形
成される。
【0020】集積回路28は保護誘電体層34を導電性
の線32を覆って持つかもしれない。このような保護誘
電体層34はUSGから形成され、なんらかの他の適し
た技法によって、成長、堆積あるいは形成されるかもし
れない。保護誘電体層34は、続いて堆積されるFSG
層36の潜在的に有害なフッ素にさらされることから導
電性の線32を保護するように働く。
【0021】低KのFSG層36はHDP−CVDによ
って所望の高さに形成され、フッ素濃度に依存した約
3.2−3.6の誘電率を有する。例えば、堆積FSG
層36の高さは導電性の線32のうえに少なくとも25
0ナノメーターであるかもしれない。HDP−CVD酸
化物堆積ステップは、堆積−エッチバックプロセスであ
り、両方が同時に行われる。プラズマは、酸素とアルゴ
ンを含んだ高密度混合物である。DCバイアスは酸素を
ウェーハの表面に引き上げ、シラン(SiH4)および
/あるいは四フッ化ケイ素と反応しSiO2を形成す
る。同時にアルゴンは堆積材料をはじきとばす。HDP
−CVD層はマイクロプロセッサーのような多金属層応
用に役に立つ、ドライで、圧縮された層である。
【0022】図2に示されたようにHDP−CVDのF
SGは導電性の線32のうえに形成されたピーク42を
有する適合酸化物層である。ピーク42の高さは、導電
性の線32のそれぞれの幅及び/あるいは間隔に対応す
る。最も幅の広い導電性の線42(例えば所定の寸法を
越える)はそこに堆積されたFSG層の十分な高さを有
するかもしれない。
【0023】これらピーク42の高さを減じるために、
FSG層は化学的機械的に研磨される。集積回路28
は、制御された化学的、圧力的、温度的条件の下で湿っ
た研磨プラテンに対して保持され、回転される。典型的
にはスラリー溶液が研磨液として用いられる。研磨機構
は、機械的動作とスラリー溶液によって研磨される材料
の化学反応の組合せである。これは比較的短いCMPの
ステップで、導電性の線32のうえのFSGのピーク4
2を、金属線を露出することなしに、減じる。他のエッ
チバックステップにおけるCMPに対する典型的な研磨
速度は約250ナノメーター/分であるが、本発明にお
いて、FSG層36は約15−30秒研磨される(ある
いは、約150nmのブランケットの酸化物を除去する
ために等価な時間)。これは、導電性の線32上に約1
00nmのFSG36を残すために行われる。研磨され
得られるピーク42のそれぞれが実質的に同じ高さを持
つように、CMPステップはピーク42の高さのバラツ
キを実質的に除外する。FSG層36のピーク42の高
さが減じられるので、続く導電性の線がフッ素にさらさ
れる可能性が実質的に減少される。
【0024】図2にまた示されたように、集積回路28
はドープされない酸化物層38を含んでいる。このドー
プされない酸化物層38は、例えばドープされないケイ
酸塩ガラス(USG)で、当業者に知られた何らかのふ
さわしい技法によって形成されるかもしれない。ドープ
されない酸化物層38は必要であれば図3に示されたよ
うにCMPによって平坦化される。FSG層36とドー
プされない酸化物層38は複合誘電体層を形成する。そ
のような複合誘電体層によって、比較的高価な比較的厚
い誘電体層を用いる必要性なしにギャップを満たす誘電
体としてFSGのような低K誘電体材料を集積させる。
さらに伝導体層をフッ素への露出から保護する、低K誘
電体を含む平坦化レベル間誘電体層が達成される。
【0025】前述の説明と関連する図に提示された教示
の利点を持つ本発明の多くの修正及び他の実施例が当業
者に思いつくであろう。それゆえ、本発明が開示された
特定の実施例に制限されず、修正及び実施例が添付の特
許請求の範囲のなかに含まれると意図されることが理解
される。
【0026】
【発明の効果】本発明によれば、伝導層がフッ素への露
出から保護されたFSG層を含む平坦化された低Kのレ
ベル間誘電体層を有する集積回路素子が提供される。
【図面の簡単な説明】
【図1】図1は、本発明によるレベル間誘電体層を伴う
集積回路素子を製作するための方法のステップを一般に
示した流れ図である。
【図2】図2は、本発明による集積回路素子の内部構造
を示した断面図である。
【図3】図3は、本発明による集積回路素子の内部構造
を示した断面図である。
【図4】図4は、本発明による集積回路素子の内部構造
を示した断面図である。
【符号の説明】
28 集積回路(半導体素子) 30 基板 32 導電性の線 34 保護誘電体層 36 低KのFSG層 38 ドープされない酸化物層 40 ギャップ 42 ピーク
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アルバロ マウリー アメリカ合衆国 32819 フロリダ,オー ランド,ランドグルーブ コート 8034

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板と、 前記半導体基板に隣接し、その間にギャップを有するパ
    ターン付けされた導電性の線を含む伝導層であって、前
    記導電性の線の少なくともいくつかが異なる幅を有する
    伝導層と、 前記パターン付けされた導電性の線を覆い、かつ前記導
    電性の線の間のギャップを満たすフッ化ケイ酸塩ガラス
    (FSG)層であって、前記導電性の線のうえにあり、
    前記伝導層のうえに実質的に均一な高さを有するFSG
    層、及び 前記FSG層上の平坦なドープされない酸化
    物層を含む複合誘電体層と、を含む集積回路素子。
  2. 【請求項2】 請求項1記載の素子において、さらに保
    護誘電体層を前記パターン付けされた導電性の線上に含
    む素子。
  3. 【請求項3】 請求項1記載の素子において、前記ドー
    プされない酸化物層が、ドープされないケイ酸塩ガラス
    (USG)層を含む素子。
  4. 【請求項4】 請求項1記載の素子において、前記伝導
    層が、金属層であり、かつ少なくともアルミニウム及び
    銅の少なくとも一つを含む素子。
  5. 【請求項5】 請求項1記載の素子において、前記FS
    G層が、前記伝導層の厚さより高く、少なくとも100
    ナノメーターの厚さを有する素子。
  6. 【請求項6】 半導体基板と、 前記半導体基板に隣接し、その間にギャップを有する複
    数のパターン付けされた金属線を含む第一の金属層であ
    って、前記複数のパターン付けされた金属線の少なくと
    もいくつかが異なる幅を有する第一の金属層と、 前記パターン付けされた金属線を覆い、かつ前記金属線
    の間のギャップを満たすフッ化ケイ酸塩ガラス(FS
    G)層、 前記FSG層上の平坦なドープされない酸化
    物層、及び 前記平坦なドープされない酸化物層に隣接
    する第二の金属層を含む複合誘電体層とを含む集積回路
    素子であって、 前記FSG層が複数のピークを有し、各々のピークが、
    前記複数のパターン付けされた金属線の一つの上にあ
    り、かつ各々のピークが、前記第二の金属層が前記FS
    G層からのフッ素にさらされることから保護するために
    前記第一の金属層の上に実質的に同一の高さを有するこ
    とを特徴とする集積回路素子。
  7. 【請求項7】 請求項6記載の素子であって、前記パタ
    ーン付けされた金属線上に保護誘電体層を含む素子。
  8. 【請求項8】 請求項6記載の素子において、前記ドー
    プされない酸化物層が、ドープされないケイ酸塩ガラス
    (USG)層を含む素子。
  9. 【請求項9】 請求項6記載の素子において、前記第一
    の金属層が、アルミニウム及び銅の少なくとも一つを含
    む素子。
  10. 【請求項10】 請求項6記載の素子において、前記F
    SG層が、前記第一の金属層の厚さより高く、少なくと
    も100ナノメーターの厚さを有する素子。
  11. 【請求項11】 その間にギャップを有する複数のパタ
    ーン付けされた金属線を含む集積回路に対する複合平坦
    レベル間誘電体であって、 前記複数のパターン付けされた金属線を覆い、かつ前記
    複数のパターン付けされた金属線の間の前記ギャップを
    満たすフッ化ケイ酸塩ガラス(FSG)層であって、前
    記FSG層が複数のピークを有し、それぞれのピークが
    前記複数のパターン付けされた金属線の上にあり、かつ
    続く金属層が前記FSG層からのフッ素にさらされるこ
    とから保護するために前記複数のパターン付けされた金
    属線の上に実質的に同一の高さを有するFSG層、及び
    前記FSG層上の平坦なドープされない酸化物層を含む
    ことを特徴とする複合平坦レベル間誘電体。
  12. 【請求項12】 請求項11記載の誘電体において、さ
    らに前記複数のパターン付けされた金属線上に保護酸化
    物層を含む誘電体。
  13. 【請求項13】 請求項11記載の誘電体において、前
    記ドープされない酸化物層が、ドープされないケイ酸塩
    ガラス(USG)層を含む誘電体。
  14. 【請求項14】 請求項11記載の誘電体において、前
    記FSG層が、前記複数のパターン付けされた金属線の
    厚さより高く、少なくとも100ナノメーターの厚さを
    有する誘電体。
JP2000016665A 1999-01-26 2000-01-26 平坦なレベル間誘電体層を有する集積回路素子 Pending JP2000223573A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11718699P 1999-01-26 1999-01-26
US60/117186 1999-08-17
US09/376233 1999-08-17
US09/376,233 US6274933B1 (en) 1999-01-26 1999-08-17 Integrated circuit device having a planar interlevel dielectric layer

Publications (1)

Publication Number Publication Date
JP2000223573A true JP2000223573A (ja) 2000-08-11

Family

ID=26815029

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000016665A Pending JP2000223573A (ja) 1999-01-26 2000-01-26 平坦なレベル間誘電体層を有する集積回路素子

Country Status (5)

Country Link
US (1) US6274933B1 (ja)
EP (1) EP1024526A1 (ja)
JP (1) JP2000223573A (ja)
KR (1) KR20000057801A (ja)
SG (1) SG93222A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660618B1 (en) * 1999-08-18 2003-12-09 Advanced Micro Devices, Inc. Reverse mask and oxide layer deposition for reduction of vertical capacitance variation in multi-layer metallization systems

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2356289A (en) * 1999-08-19 2001-05-16 Lucent Technologies Inc Process for deposition of low-k dielectric gap filling layer onto high aspect ratio features in integrated circuits
GB2358733A (en) * 1999-08-30 2001-08-01 Lucent Technologies Inc Integrated circuit with multi-layer dielectric having reduced capacitance
GB2358734A (en) * 1999-08-30 2001-08-01 Lucent Technologies Inc Process for fabricating integrated circuit with multi-layer dielectric having reduced capacitance
US6472336B1 (en) * 2000-02-23 2002-10-29 Advanced Micro Devices, Inc. Forming an encapsulating layer after deposition of a dielectric comprised of corrosive material
JP2002100628A (ja) * 2000-09-25 2002-04-05 Sony Corp 半導体装置の製造方法
US6600227B1 (en) * 2002-01-31 2003-07-29 Stmicroelectronics, Inc. System and method for providing mechanical planarization of a sequential build up substrate for an integrated circuit package
TW556316B (en) * 2002-09-25 2003-10-01 Nanya Technology Corp A method of fabricating a shallow trench isolation with high aspect ratio
US6982207B2 (en) * 2003-07-11 2006-01-03 Micron Technology, Inc. Methods for filling high aspect ratio trenches in semiconductor layers
US20050194683A1 (en) * 2004-03-08 2005-09-08 Chen-Hua Yu Bonding structure and fabrication thereof
US7659628B2 (en) * 2004-08-13 2010-02-09 Imec Contact structure comprising semiconductor and metal islands
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
KR102317316B1 (ko) * 2019-06-03 2021-10-26 한국생산기술연구원 스탬프를 이용한 액체 금속의 패터닝 방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3152788B2 (ja) * 1993-03-29 2001-04-03 株式会社東芝 半導体装置の製造方法
JP3297787B2 (ja) 1994-10-12 2002-07-02 ソニー株式会社 平坦化絶縁膜の形成方法
JPH09219448A (ja) 1996-02-09 1997-08-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6071830A (en) * 1996-04-17 2000-06-06 Sony Corporation Method of forming insulating film
US6157083A (en) 1996-06-03 2000-12-05 Nec Corporation Fluorine doping concentrations in a multi-structure semiconductor device
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5759906A (en) 1997-04-11 1998-06-02 Industrial Technology Research Institute Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6300672B1 (en) 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660618B1 (en) * 1999-08-18 2003-12-09 Advanced Micro Devices, Inc. Reverse mask and oxide layer deposition for reduction of vertical capacitance variation in multi-layer metallization systems

Also Published As

Publication number Publication date
US6274933B1 (en) 2001-08-14
EP1024526A1 (en) 2000-08-02
SG93222A1 (en) 2002-12-17
KR20000057801A (ko) 2000-09-25

Similar Documents

Publication Publication Date Title
US5607773A (en) Method of forming a multilevel dielectric
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6573168B2 (en) Methods for forming conductive contact body for integrated circuits using dummy dielectric layer
US6627996B1 (en) Semiconductor device having fluorine containing silicon oxide layer as dielectric for wiring pattern having anti-reflective layer and insulating layer thereon
US6806203B2 (en) Method of forming a dual damascene structure using an amorphous silicon hard mask
US7335598B2 (en) Chemical-mechanical polishing method
EP0822586A2 (en) Improvements in or relating to integrated circuits
JP2000223573A (ja) 平坦なレベル間誘電体層を有する集積回路素子
US5789315A (en) Eliminating metal extrusions by controlling the liner deposition temperature
US5681425A (en) Teos plasma protection technology
US6043152A (en) Method to reduce metal damage in the HDP-CVD process by using a sacrificial dielectric film
US5930677A (en) Method for reducing microloading in an etchback of spin-on-glass or polymer
US6479399B2 (en) Method of forming interlevel dielectric layer of semiconductor device
US20020001876A1 (en) Method of making an integrated circuit device having a planar interlevel dielectric layer
EP0790645A2 (en) Intermetal dielectric planarization
US5817571A (en) Multilayer interlevel dielectrics using phosphorus-doped glass
US5639692A (en) Non-etch back SOG process using a metal via stud
JP3601988B2 (ja) 絶縁膜の形成方法
US6380066B1 (en) Methods for eliminating metal corrosion by FSG
US6277732B1 (en) Method of planarizing inter-metal dielectric layer
KR100301530B1 (ko) 반도체소자의 층간 절연막 형성방법
US6399482B1 (en) Method and structure for a conductive and a dielectric layer
US20080132030A1 (en) Method of manufacturing semiconductor device
US5920791A (en) Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
US6096653A (en) Method for fabricating conducting lines with a high topography height