IL157691A0 - Line profile asymmetry measurement using scatterometry - Google Patents

Line profile asymmetry measurement using scatterometry

Info

Publication number
IL157691A0
IL157691A0 IL15769102A IL15769102A IL157691A0 IL 157691 A0 IL157691 A0 IL 157691A0 IL 15769102 A IL15769102 A IL 15769102A IL 15769102 A IL15769102 A IL 15769102A IL 157691 A0 IL157691 A0 IL 157691A0
Authority
IL
Israel
Prior art keywords
scatterometry
line profile
asymmetry measurement
profile asymmetry
measurement
Prior art date
Application number
IL15769102A
Other languages
English (en)
Original Assignee
Accent Optical Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Accent Optical Tech Inc filed Critical Accent Optical Tech Inc
Publication of IL157691A0 publication Critical patent/IL157691A0/xx

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices By Optical Means (AREA)
IL15769102A 2001-03-02 2002-02-28 Line profile asymmetry measurement using scatterometry IL157691A0 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US27303901P 2001-03-02 2001-03-02
PCT/US2002/006403 WO2002070985A1 (en) 2001-03-02 2002-02-28 Line profile asymmetry measurement using scatterometry

Publications (1)

Publication Number Publication Date
IL157691A0 true IL157691A0 (en) 2004-03-28

Family

ID=23042286

Family Applications (2)

Application Number Title Priority Date Filing Date
IL15769102A IL157691A0 (en) 2001-03-02 2002-02-28 Line profile asymmetry measurement using scatterometry
IL157691A IL157691A (en) 2001-03-02 2003-09-01 Asymmetrical measurement of a line profile using spectrometry

Family Applications After (1)

Application Number Title Priority Date Filing Date
IL157691A IL157691A (en) 2001-03-02 2003-09-01 Asymmetrical measurement of a line profile using spectrometry

Country Status (8)

Country Link
US (1) US6856408B2 (zh)
EP (1) EP1370828B1 (zh)
JP (1) JP2004529330A (zh)
KR (1) KR100536646B1 (zh)
CN (1) CN1261736C (zh)
IL (2) IL157691A0 (zh)
TW (1) TW513553B (zh)
WO (1) WO2002070985A1 (zh)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US7130029B2 (en) * 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
WO2005028992A2 (en) * 2003-09-12 2005-03-31 Accent Optical Technologies, Inc. Line profile asymmetry measurement
US7515279B2 (en) * 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6898537B1 (en) 2001-04-27 2005-05-24 Nanometrics Incorporated Measurement of diffracting structures using one-half of the non-zero diffracted orders
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6986280B2 (en) * 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
EP1400855A3 (en) * 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US20040133362A1 (en) * 2002-10-21 2004-07-08 Emit Systems Co. Method for significantly increasing computational speed, accuracy and versatility of electromagnetic reconstruction of shapes and composition of complex targets containing lossy materials
EP1416328A3 (en) * 2002-11-01 2006-11-15 ASML Netherlands B.V. Inspection method and device manufacturing method
US7151594B2 (en) 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
DE10315086B4 (de) * 2003-04-02 2006-08-24 Infineon Technologies Ag Verfahren und Vorrichtung zum Ausrichten von Halbleiterwafern bei der Halbleiterherstellung
FI117354B (fi) * 2003-06-02 2006-09-15 Tamglass Ltd Oy Menetelmä reunamuotilla taivutettavan lasilevyn taivutuspussikkuuden mittaamiseksi
US7271921B2 (en) * 2003-07-23 2007-09-18 Kla-Tencor Technologies Corporation Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7629697B2 (en) * 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US20070091325A1 (en) * 2005-01-07 2007-04-26 Mehrdad Nikoonahad Multi-channel optical metrology
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
EP1880238A4 (en) 2005-05-03 2010-09-08 Technion Res & Dev Foundation HANDLING SPACE VARIATION POLARIZATION OF THERMAL EMISSIONS
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7759136B2 (en) * 2006-03-29 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension (CD) control by spectrum metrology
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US7643666B2 (en) * 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7532331B2 (en) * 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8294907B2 (en) 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US7873220B2 (en) * 2007-01-03 2011-01-18 Collins Dennis G Algorithm to measure symmetry and positional entropy of a data set
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
JP2008249386A (ja) * 2007-03-29 2008-10-16 Dainippon Screen Mfg Co Ltd 欠陥検査装置および欠陥検査方法
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
JP2009162494A (ja) * 2007-12-28 2009-07-23 Nec Electronics Corp 計測方法
KR100904685B1 (ko) * 2008-03-03 2009-06-24 이화여자대학교 산학협력단 마이크로미러를 이용한 다파장 측정 광학 모듈
JP5175605B2 (ja) * 2008-04-18 2013-04-03 株式会社日立ハイテクノロジーズ パターン形状検査方法
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
WO2012010458A1 (en) * 2010-07-19 2012-01-26 Asml Netherlands B.V. Method and apparatus for determining an overlay error
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US9075027B2 (en) 2012-11-21 2015-07-07 Kla-Tencor Corporation Apparatus and methods for detecting defects in vertical memory
US9740108B2 (en) * 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
WO2015138316A2 (en) * 2014-03-14 2015-09-17 Flir Systems, Inc. Planar high angle line generator
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
KR102362671B1 (ko) * 2017-07-06 2022-02-14 케이엘에이 코포레이션 오버레이 계측에서 높은 정확도를 달성하기 위한 이미징 기술의 진폭 및 위상 비대칭 추정
CN107449740A (zh) * 2017-07-26 2017-12-08 嘉兴申宁精密科技有限公司 一种用于测量样品的衍射结构的装置及方法
TW201923332A (zh) 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
TWI683732B (zh) 2019-05-21 2020-02-01 吳明杰 五連桿夾鉗

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US4978862A (en) 1988-07-13 1990-12-18 Vti, Inc. Method and apparatus for nondestructively measuring micro defects in materials
US4933567A (en) 1988-07-13 1990-06-12 Vti, Inc. Method and apparatus for nondestructively measuring subsurface defects in materials
JP2661314B2 (ja) 1990-03-07 1997-10-08 松下電器産業株式会社 形状測定装置及び形状測定方法
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
DE69327080T2 (de) 1992-09-08 2000-06-08 Hitachi Ltd Informationsverarbeitungsvorrichtung mit Schlussfolgerung und adaptivem Lernen
US5313542A (en) 1992-11-30 1994-05-17 Breault Research Organization, Inc. Apparatus and method of rapidly measuring hemispherical scattered or radiated light
JPH06317412A (ja) 1993-04-30 1994-11-15 Shuichi Sato 形状読取装置
US5864394A (en) 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
US6118525A (en) 1995-03-06 2000-09-12 Ade Optical Systems Corporation Wafer inspection system for distinguishing pits and particles
US5637873A (en) 1995-06-07 1997-06-10 The Boeing Company Directional reflectometer for measuring optical bidirectional reflectance
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5739909A (en) 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5982489A (en) 1996-01-29 1999-11-09 Nikon Corporation Method and apparatus for measuring depth of a depression in a pattern by light interference from crossed light beams
US6021000A (en) 1996-07-09 2000-02-01 Asahi Kogaku Kogyo Kabushiki Kaisha Beam splitting diffractive optical element
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US6075594A (en) 1997-07-16 2000-06-13 Ncr Corporation System and method for spectroscopic product recognition and identification
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5905573A (en) 1997-10-22 1999-05-18 Sandia Corporation Near field optical probe for critical dimension measurements
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6137570A (en) * 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
IL128920A0 (en) 1999-03-10 2000-02-17 Nova Measuring Instr Ltd Method for monitoring metal cmp
JP2001074636A (ja) 1999-09-03 2001-03-23 Canon Inc 走査型プローブによる信号検出方法および信号検出装置、並びに該信号検出装置を備えた原子間力顕微鏡
JP2004507719A (ja) 2000-08-10 2004-03-11 サーマ−ウェーブ・インコーポレイテッド 回折微細構造の光学的測定のためのデータベース補間方法
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
TW519746B (en) 2001-01-26 2003-02-01 Timbre Tech Inc System and method for characterizing macro-grating test patterns in advanced lithography and etch processes
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6650422B2 (en) 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
DE10142318C1 (de) 2001-08-30 2003-01-30 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
DE10142317B4 (de) 2001-08-30 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Vorrichtung zur Bestimmung eines Überlagerungsfehlers und kritischer Dimensionen in einer Halbleiterstruktur mittels Streuungsmessung
DE10142316A1 (de) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
WO2002070985A1 (en) 2002-09-12
EP1370828B1 (en) 2016-11-23
EP1370828A1 (en) 2003-12-17
US6856408B2 (en) 2005-02-15
JP2004529330A (ja) 2004-09-24
KR100536646B1 (ko) 2005-12-14
IL157691A (en) 2007-06-03
TW513553B (en) 2002-12-11
KR20030080060A (ko) 2003-10-10
CN1509404A (zh) 2004-06-30
US20020149782A1 (en) 2002-10-17
CN1261736C (zh) 2006-06-28
EP1370828A4 (en) 2007-03-21

Similar Documents

Publication Publication Date Title
IL157691A0 (en) Line profile asymmetry measurement using scatterometry
GB2395005B (en) Absolute position measurement
DE60237732D1 (de) Shearographischer Messapparat
GB2371867B (en) Measurement apparatus
GB0129883D0 (en) Test meter calibration
GB0007587D0 (en) Flow-rate measurement
MXPA03008527A (es) Nueva tecnica de medicion.
DE50201686D1 (de) Anzeigeinstrument
GB0108497D0 (en) Surface profile measurement
GB0022447D0 (en) Measurement method
DE60216557D1 (de) Formmessvorrichtung
GB2379744B (en) Fluid gauging
GB0118415D0 (en) Level measurement
GB0118320D0 (en) Level measurement
GB0219545D0 (en) Measuring apparatus
PL367465A1 (en) Measuring transformer
GB0127071D0 (en) Fluid density measurement
DE60229840D1 (de) Offset-messverfahren
AU2002244225A1 (en) Line profile asymmetry measurement using scatterometry
GB2371592B (en) Measure
GB0121256D0 (en) Flow measurement
GB2375181B (en) Measurement device
DK1266231T3 (da) Måletransformer
DK1430313T3 (da) Måletransformer
GB2382410B (en) Measuring aid

Legal Events

Date Code Title Description
FF Patent granted
KB Patent renewed
MM9K Patent not in force due to non-payment of renewal fees
MM9K Patent not in force due to non-payment of renewal fees